From a4ead08a9aae5845e32632103b490d15598d41de Mon Sep 17 00:00:00 2001 From: MHeinrichs Date: Thu, 24 Sep 2015 17:00:50 +0200 Subject: [PATCH] DMA-Bug fixed When BGACK gets deasserted, the DMA-Statemachine has to wait for AS_000 to deassert too! --- Logic/68030-68000-bus.vhd | 16 +- Logic/68030_TK.STY | 4 +- Logic/68030_TK.tcl | 716 +++ Logic/68030_tk-50MHz.jed | 1115 ++++ Logic/68030_tk-gba060.jed | 1115 ++++ Logic/68030_tk.bl2 | 3056 +++++---- Logic/68030_tk.bl3 | 568 +- Logic/68030_tk.crf | 2 +- Logic/68030_tk.eq3 | 76 +- Logic/68030_tk.fti | 215 +- Logic/68030_tk.grp | 47 +- Logic/68030_tk.ipr | 2 +- Logic/68030_tk.jed | 1227 ++-- Logic/68030_tk.lco | 161 +- Logic/68030_tk.out | 598 ++ Logic/68030_tk.plc | 173 +- Logic/68030_tk.prd | 1407 ++--- Logic/68030_tk.rpt | 1002 +-- Logic/68030_tk.tal | 52 +- Logic/68030_tk.tt2 | 1238 ++-- Logic/68030_tk.tt3 | 1238 ++-- Logic/68030_tk.tt4 | 617 +- Logic/68030_tk.tte | 617 +- Logic/68030_tk.vcl | 121 +- Logic/68030_tk.vco | 161 +- Logic/68030_tk.xrf | 2 +- Logic/BUS68030.bl0 | 3276 +++++----- Logic/BUS68030.bl1 | 3056 +++++---- Logic/BUS68030.cmd | 8 + Logic/BUS68030.edi | 4072 ++++++------ Logic/BUS68030.prj | 2 +- Logic/BUS68030.srm | 5526 ++++++++--------- Logic/BUS68030.srr | 21 +- Logic/BUS68030.srs | Bin 12715 -> 12845 bytes Logic/bus68030.exf | 1267 ++-- Logic/bus68030.srf | 21 +- Logic/dm/BUS68030_comp.xdm | 4 +- Logic/run_options.txt | 2 +- Logic/synlog/BUS68030_multi_srs_gen.srr | 2 +- Logic/synlog/bus68030_fpga_mapper.srr | 12 +- .../report/BUS68030_compiler_runstatus.xml | 4 +- .../report/BUS68030_compiler_warnings.txt | 1 - .../report/BUS68030_fpga_mapper_runstatus.xml | 2 +- .../report/BUS68030_fpga_mapper_warnings.txt | 2 +- Logic/syntmp/BUS68030_srr.htm | 69 +- Logic/syntmp/BUS68030_toc.htm | 2 +- Logic/syntmp/run_option.xml | 2 +- Logic/syntmp/statusReport.html | 8 +- Logic/synwork/BUS68030_comp.fdep | 2 +- Logic/synwork/BUS68030_comp.fdeporig | 2 +- Logic/synwork/BUS68030_comp.srs | Bin 12174 -> 12309 bytes Logic/synwork/BUS68030_comp.tlg | 1 - Logic/synwork/BUS68030_mult.srs | Bin 12715 -> 12845 bytes Logic/synwork/BUS68030_mult_srs/skeleton.srs | Bin 1142 -> 1146 bytes Logic/synwork/BUS68030_s.srs | Bin 12174 -> 12309 bytes 55 files changed, 18254 insertions(+), 14656 deletions(-) create mode 100644 Logic/68030_tk-50MHz.jed create mode 100644 Logic/68030_tk-gba060.jed create mode 100644 Logic/BUS68030.cmd diff --git a/Logic/68030-68000-bus.vhd b/Logic/68030-68000-bus.vhd index 8b455c6..4475f50 100644 --- a/Logic/68030-68000-bus.vhd +++ b/Logic/68030-68000-bus.vhd @@ -269,6 +269,7 @@ begin BGACK_030_INT <= '0'; elsif ( BGACK_000='1' AND CLK_000_PE='1' + AND AS_000 = '1' --the amiga AS can be still active while bgack is deasserted, so wait for this signal too! --AND CLK_000_D0='1' and CLK_000_D1='0' ) then -- BGACK_000 is high here! BGACK_030_INT_PRE<= '1'; @@ -492,10 +493,10 @@ begin end process pos_clk; --output clock assignment - --CLK_DIV_OUT <= CLK_OUT_INT; - --CLK_EXP <= CLK_OUT_INT; - CLK_DIV_OUT <= 'Z'; - CLK_EXP <= CLK_030; + CLK_DIV_OUT <= CLK_OUT_INT; + CLK_EXP <= CLK_OUT_INT; + --CLK_DIV_OUT <= 'Z'; + --CLK_EXP <= CLK_030; @@ -556,10 +557,9 @@ begin --cache inhibit: Tristate for expansion (it decides) and off for the Amiga - CIIN <= 'Z' WHEN nEXP_SPACE_D0 = '0' ELSE --Tristate for expansion (it decides) - '1' WHEN A(31 downto 24) = x"00" and AS_030_D0 ='0' and nEXP_SPACE_D0 = '1' ELSE -- Enable for Kick-rom - 'Z'; --off for the Amiga - + CIIN <= '1' WHEN A(31 downto 20) = x"00F" and AS_030_D0 ='0' ELSE -- Enable for Kick-rom + 'Z' WHEN nEXP_SPACE_D0 = '0' ELSE --Tristate for expansion (it decides) + '0'; --off for the Amiga --e and VMA E <= cpu_est(3); diff --git a/Logic/68030_TK.STY b/Logic/68030_TK.STY index 1bb8e88..b15bf23 100644 --- a/Logic/68030_TK.STY +++ b/Logic/68030_TK.STY @@ -1,4 +1,4 @@ -[synthesis-type] -tool=Synplify [STRATEGY-LIST] Normal=True, 1412327082 +[synthesis-type] +tool=Synplify diff --git a/Logic/68030_TK.tcl b/Logic/68030_TK.tcl index aee4a85..d630a32 100644 --- a/Logic/68030_TK.tcl +++ b/Logic/68030_TK.tcl @@ -342396,3 +342396,719 @@ if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 6 ########## Tcl recorder end at 07/09/15 18:48:45 ########### + +########## Tcl recorder starts at 09/24/15 15:46:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/24/15 15:46:43 ########### + + +########## Tcl recorder starts at 09/24/15 15:46:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/24/15 15:46:43 ########### + + +########## Tcl recorder starts at 09/24/15 16:04:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/24/15 16:04:18 ########### + + +########## Tcl recorder starts at 09/24/15 16:04:18 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/24/15 16:04:18 ########### + + +########## Tcl recorder starts at 09/24/15 16:16:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/24/15 16:16:41 ########### + + +########## Tcl recorder starts at 09/24/15 16:20:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/24/15 16:20:44 ########### + + +########## Tcl recorder starts at 09/24/15 16:20:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/24/15 16:20:44 ########### + diff --git a/Logic/68030_tk-50MHz.jed b/Logic/68030_tk-50MHz.jed new file mode 100644 index 0000000..ef54cea --- /dev/null +++ b/Logic/68030_tk-50MHz.jed @@ -0,0 +1,1115 @@ +|--------------------------------------------| +|- ispLEVER Fitter Report File -| +|- Version 1.8.00.04.29.14 -| +|- (c)Copyright, Lattice Semiconductor 2002 -| +|--------------------------------------------| + + +TITLE: +AUTHOR: +PATTERN: +COMPANY: +REVISION: +DATE: Thu Sep 24 16:17:03 2015 + +ABEL mach447a + * +QP100* +QF54096* +G0*F0* +NOTE Part Number : M4A5-128/64-10VC * +NOTE Handling of Preplacements No Change * +NOTE Use placement data from 68030_tk.vct * +NOTE Global clocks routable as PT clocks? N * +NOTE 22V10/MACH1XX/2XX S/R Compatibility? Y * +NOTE SET/RESET treated as DONT_CARE? N * +NOTE Reduce Unforced Global Clocks? N * +NOTE Iterate between partitioning and place/route? Y * +NOTE Balanced partitioning? Y * +NOTE Reduce Routes Per Placement? N * +NOTE Spread Placement? Y * +NOTE Run Time Upper Bound in 15 minutes 0 * +NOTE Zero Hold Time For Input Registers? Y * +NOTE Table of pin names and numbers* +NOTE PINS SIZE_0_:70 A_30_:5 SIZE_1_:79 A_29_:6 A_28_:15* +NOTE PINS A_31_:4 A_27_:16 A_26_:17 A_25_:18 A_24_:19 IPL_2_:68* +NOTE PINS A_23_:85 A_22_:84 FC_1_:58 A_21_:94 AS_030:82 A_20_:93* +NOTE PINS AS_000:42 A_19_:97 A_18_:95 DS_030:98 A_17_:59* +NOTE PINS UDS_000:32 A_16_:96 LDS_000:31 A1:60 nEXP_SPACE:14* +NOTE PINS BERR:41 BG_030:21 BGACK_000:28 CLK_030:64 CLK_000:11* +NOTE PINS CLK_OSZI:61 CLK_DIV_OUT:65 FPU_CS:78 FPU_SENSE:91* +NOTE PINS IPL_1_:56 DTACK:30 IPL_0_:67 AVEC:92 FC_0_:57 VPA:36* +NOTE PINS RST:86 AMIGA_ADDR_ENABLE:33 AMIGA_BUS_DATA_DIR:48* +NOTE PINS AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* +NOTE PINS CIIN:47 IPL_030_2_:9 RW_000:80 A0:69 BG_000:29* +NOTE PINS BGACK_030:83 CLK_EXP:10 IPL_030_1_:7 IPL_030_0_:8* +NOTE PINS DSACK1:81 E:66 VMA:35 RESET:3 RW:71 * +NOTE Table of node names and numbers* +NOTE NODES RN_SIZE_0_:263 RN_SIZE_1_:287 RN_AS_030:281 RN_AS_000:203 * +NOTE NODES RN_DS_030:101 RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 * +NOTE NODES RN_IPL_030_2_:131 RN_RW_000:269 RN_A0:257 RN_BG_000:175 * +NOTE NODES RN_BGACK_030:275 RN_IPL_030_1_:143 RN_IPL_030_0_:137 * +NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RESET:125 * +NOTE NODES RN_RW:245 cpu_est_0_:167 cpu_est_1_:187 inst_AS_000_INT:259 * +NOTE NODES SM_AMIGA_5_:139 inst_AMIGA_BUS_ENABLE_DMA_LOW:104 * +NOTE NODES inst_AS_030_D0:277 inst_nEXP_SPACE_D0reg:133 * +NOTE NODES inst_DS_030_D0:184 inst_AS_030_000_SYNC:229 inst_BGACK_030_INT_D:289 * +NOTE NODES inst_AS_000_DMA:103 inst_DS_000_DMA:110 CYCLE_DMA_0_:106 * +NOTE NODES CYCLE_DMA_1_:122 SIZE_DMA_0_:253 SIZE_DMA_1_:119 * +NOTE NODES inst_VPA_D:265 inst_UDS_000_INT:115 inst_LDS_000_INT:109 * +NOTE NODES inst_CLK_OUT_PRE_D:145 inst_DTACK_D0:172 inst_CLK_OUT_PRE_50:142 * +NOTE NODES inst_CLK_000_D1:217 inst_CLK_000_D0:209 inst_CLK_000_PE:155 * +NOTE NODES CLK_000_P_SYNC_9_:166 inst_CLK_000_NE:113 CLK_000_N_SYNC_11_:278 * +NOTE NODES cpu_est_2_:193 IPL_D0_0_:136 IPL_D0_1_:236 IPL_D0_2_:178 * +NOTE NODES SM_AMIGA_3_:161 inst_CLK_000_NE_D0:233 SM_AMIGA_0_:223 * +NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:121 SM_AMIGA_6_:227 * +NOTE NODES RST_DLY_0_:158 RST_DLY_1_:169 RST_DLY_2_:151 * +NOTE NODES RST_DLY_3_:128 RST_DLY_4_:134 RST_DLY_5_:163 * +NOTE NODES RST_DLY_6_:152 RST_DLY_7_:164 N_203_i:170 CLK_000_P_SYNC_0_:230 * +NOTE NODES CLK_000_P_SYNC_1_:256 CLK_000_P_SYNC_2_:250 CLK_000_P_SYNC_3_:266 * +NOTE NODES CLK_000_P_SYNC_4_:130 CLK_000_P_SYNC_5_:260 CLK_000_P_SYNC_6_:224 * +NOTE NODES CLK_000_P_SYNC_7_:194 CLK_000_P_SYNC_8_:241 CLK_000_N_SYNC_0_:235 * +NOTE NODES CLK_000_N_SYNC_1_:160 CLK_000_N_SYNC_2_:146 CLK_000_N_SYNC_3_:140 * +NOTE NODES CLK_000_N_SYNC_4_:154 CLK_000_N_SYNC_5_:254 CLK_000_N_SYNC_6_:112 * +NOTE NODES CLK_000_N_SYNC_7_:248 CLK_000_N_SYNC_8_:188 CLK_000_N_SYNC_9_:211 * +NOTE NODES CLK_000_N_SYNC_10_:272 inst_CLK_030_H:116 SM_AMIGA_1_:239 * +NOTE NODES SM_AMIGA_4_:176 SM_AMIGA_2_:157 inst_DS_000_ENABLE:182 * +NOTE NODES SM_AMIGA_i_7_:221 CIIN_0:205 * +NOTE BLOCK 0 * +L000000 + 111111111011111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111110111111111111111111111111111111 + 101111111110111111111111111111111111111111111111111111111111110111 + 111111111111111111111111111101111111111111111111111111111110111111 + 111111101111111111111111111111111111111101111111111101111111111111 + 111111111111111101111111111111011111111011111111110111101111111111 + 111101111111111111110111110111111111111111111111011111111011111111 + 111111111111011011111111011111111111011111111110111111111111111111 + 111111111111111111011111111111111011111111100111111111111111111111* +L000594 + 000000000000000000000000000000000000000000000000000000000000000000* +L000660 111111111111111111111011111111111011111111111111111111111111111111* +L000726 111111111111111111111111111111111111111111101111111111111111111111* +L000792 111111111111111111111111111111111111111111111101111111111111111111* +L000858 111111111011111111011111111111111111111111111111111111111111111111* +L000924 111111111111011111111111111111111111111101111111111111111111111111* +L000990 111111111111101111111111111111111111111110111111111111111111111111* +L001056 111111111111111111111111111111110111111111111111111111111111111111* +L001122 111111111111111111111111011111111111111111111111011111111111111111* +L001188 000000000000000000000000000000000000000000000000000000000000000000* +L001254 000000000000000000000000000000000000000000000000000000000000000000* +L001320 + 111111111111111111111111111111111111111111111111111111111111111111* +L001386 111111011111111111111111111111111111111111011110111111111111111111* +L001452 111111111111111111111111111111111111110111011001111111111111111111* +L001518 000000000000000000000000000000000000000000000000000000000000000000* +L001584 000000000000000000000000000000000000000000000000000000000000000000* +L001650 000000000000000000000000000000000000000000000000000000000000000000* +L001716 111111111111101111111111111101111011111111011110111111111111111111* +L001782 111111111111011111111111111110111011111111011110111111111111111111* +L001848 000000000000000000000000000000000000000000000000000000000000000000* +L001914 000000000000000000000000000000000000000000000000000000000000000000* +L001980 000000000000000000000000000000000000000000000000000000000000000000* +L002046 + 000000000000000000000000000000000000000000000000000000000000000000* +L002112 111111111111111111111111111111111111111111111111111111111111111111* +L002178 111111111111111111111111111111111111111111101111111111111111111111* +L002244 111111111111111111111111110111111111011111111111111111111111111111* +L002310 111111111111111111111111111111111111011111111111111111111110111111* +L002376 101111111111111111111111111011111101111111111111111111101101111111* +L002442 111111111111111111111111111111111111111111101111111111111111111111* +L002508 111111111111111111111111111111111111111111111101111111111111111111* +L002574 111111111111011111111111111111111111111101111111111111111111111111* +L002640 111111111111101111111111111111111111111110111111111111111111111111* +L002706 111111111111111111111111111111110111111111111111111111111111111111* +L002772 + 000000000000000000000000000000000000000000000000000000000000000000* +L002838 111111111111111111111111011111111111111111111111011111111111111111* +L002904 111111111011111111110111111111111111111111111111111111111011111111* +L002970 111111110111111101011111111111111111111111111111111111111011111111* +L003036 111111111111111110110111111111111111111111111111111111111011111111* +L003102 000000000000000000000000000000000000000000000000000000000000000000* +L003168 111111111101111111111111111111111111111111111111111111111111111111* +L003234 000000000000000000000000000000000000000000000000000000000000000000* +L003300 000000000000000000000000000000000000000000000000000000000000000000* +L003366 000000000000000000000000000000000000000000000000000000000000000000* +L003432 000000000000000000000000000000000000000000000000000000000000000000* +L003498 + 000000000000000000000000000000000000000000000000000000000000000000* +L003564 111111111111110111111111111111111111111111111111111111111111111111* +L003630 000000000000000000000000000000000000000000000000000000000000000000* +L003696 000000000000000000000000000000000000000000000000000000000000000000* +L003762 000000000000000000000000000000000000000000000000000000000000000000* +L003828 000000000000000000000000000000000000000000000000000000000000000000* +L003894 111110111111111111111111110111111111111111011111111111111111111111* +L003960 111110111111111111111111111111111111111111011111111111111110111111* +L004026 101111111111111111111111111011111111111111011111111111111101111111* +L004092 000000000000000000000000000000000000000000000000000000000000000000* +L004158 000000000000000000000000000000000000000000000000000000000000000000* +L004224 + 000000000000000000000000000000000000000000000000000000000000000000* +L004290 111111111011101111101111101111111011111101011110111111111111111111* +L004356 111111111011011111101111101111111011111110011110111111111111111111* +L004422 111111111111101101111111101111111011111101011110111111111111111111* +L004488 111111111111011101111111101111111011111110011110111111111111111111* +L004554 111111111011101111101111111111111011111101011110101111111111111111* +L004620 111111111011011111101111111111111011111110011110101111111111111111* +L004686 111111111111101101111111111111111011111101011110101111111111111111* +L004752 111111111111011101111111111111111011111110011110101111111111111111* +L004818 000000000000000000000000000000000000000000000000000000000000000000* +L004884 000000000000000000000000000000000000000000000000000000000000000000* +L004950 + 000000000000000000000000000000000000000000000000000000000000000000* +L005016 111111111111111111111111111111111111111111101111111111111111111111* +L005082 111111111111111111111111111111011111110111111101111111111111111111* +L005148 111111111111111111111111101111111111111111111110101111111111111111* +L005214 000000000000000000000000000000000000000000000000000000000000000000* +L005280 000000000000000000000000000000000000000000000000000000000000000000* +L005346 111111101111111111111111111111111111111111011110111111111111111111* +L005412 111111111111111111111111111111111111110111011101111011111111111111* +L005478 000000000000000000000000000000000000000000000000000000000000000000* +L005544 000000000000000000000000000000000000000000000000000000000000000000* +L005610 000000000000000000000000000000000000000000000000000000000000000000* +L005676 + 111111111111111111111111111111111111111111111110111101111111111011* +L005742 111111111111101111111111111111111011111101011110111111111111111111* +L005808 111111111111011111111111111101111011111110011110111111111111111111* +L005874 111111111111111111111111111110111011111101011110111111111111111111* +L005940 000000000000000000000000000000000000000000000000000000000000000000* +L006006 000000000000000000000000000000000000000000000000000000000000000000* +L006072 111111111111111111111111111111111111111111111111111111111111111111* +L006138 111111111111111111111111111111111111111111111111111111111111111111* +L006204 111111111111111111111111111111111111111111111111111111111111111111* +L006270 111111111111111111111111111111111111111111111111111111111111111111* +L006336 111111111111111111111111111111111111111111111111111111111111111111* +L006402 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L006534 0010* +L006538 01010011111000* +L006552 10100110010011* +L006566 11100110010101* +L006580 10100110011111* +L006594 00011111111000* +L006608 10010110010010* +L006622 10100110010000* +L006636 00100110010011* +L006650 00100110010000* +L006664 11100110010010* +L006678 10100110010000* +L006692 11111011110011* +L006706 10100110010001* +L006720 11100110010011* +L006734 10100110010100* +L006748 11101111110010* +NOTE BLOCK 1 * +L006762 + 111111111111111111111011011111111111111101111111111111110111011111 + 111111111101010101111111110111111111111011111111111111111111111111 + 111111111011111111111101111111011101011111101111011111111111111111 + 111101111111111111111111111110111011111111111111110111011101111111 + 111111111111111111111111111111111111111111110111111101111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111011111111111111111111111111111111111111111111111111111111111 + 111111111111111111011111111111111111111111111111111111111111111111 + 101011111111111111111111111111111111111111111111111111111111111111* +L007356 + 111111111111111111111111111111111111111111111111111111111111111111* +L007422 011111111111111111111111111111111111111111111111111101111111111111* +L007488 011101011111111101111101110111111111011111110111110111111111011111* +L007554 000000000000000000000000000000000000000000000000000000000000000000* +L007620 000000000000000000000000000000000000000000000000000000000000000000* +L007686 000000000000000000000000000000000000000000000000000000000000000000* +L007752 111111111111111111111111111111111111111111111111111111110111111111* +L007818 011111101111111111111111111111111111111111111111110111111111111111* +L007884 011111111111111111111111111111111111101111111111110111111111111111* +L007950 011111111111111111111111111111111111111111111111110111111111101111* +L008016 011111111111111111111111111111111111111111111011110111111111111111* +L008082 + 111111111111111111111111111111111111111111111111111111111111111111* +L008148 011111011111111111111111111111111111011111110111111011111111011111* +L008214 011101111111111101111101110111111111111111111111110111111111111111* +L008280 000000000000000000000000000000000000000000000000000000000000000000* +L008346 000000000000000000000000000000000000000000000000000000000000000000* +L008412 000000000000000000000000000000000000000000000000000000000000000000* +L008478 111111111111111111110111111111111111111111111111111111111111111111* +L008544 000000000000000000000000000000000000000000000000000000000000000000* +L008610 000000000000000000000000000000000000000000000000000000000000000000* +L008676 000000000000000000000000000000000000000000000000000000000000000000* +L008742 000000000000000000000000000000000000000000000000000000000000000000* +L008808 + 111111111111111111111111111111111111111111111111111111111111111111* +L008874 011111111011111111101111111111110111110111011111011111111111111111* +L008940 011111111011111111101111111111111011110111011111101111111111111111* +L009006 011111111011111111101111111111110111111011101111011111111111111111* +L009072 011111111011111111101111111111111011111011101111101111111111111111* +L009138 011111111011111111111111111111111111111111111111111111101111111111* +L009204 011111111110111111111111111111111111111111111111111111111111111111* +L009270 011111111111111111111111111111111011111111111111011111101111111111* +L009336 011111111111111111111111111111110111111111111111101111101111111111* +L009402 011111111111111111111111111111111111110111101111111111101111111111* +L009468 011111111111111111111111111111111111111011011111111111101111111111* +L009534 + 111111111111111111111111111111111111111111111111111111111111111111* +L009600 011101011111111101111101110111111111011111110111110111111111011111* +L009666 011111111111111111101111111111111111111111111111111111101111111111* +L009732 000000000000000000000000000000000000000000000000000000000000000000* +L009798 000000000000000000000000000000000000000000000000000000000000000000* +L009864 000000000000000000000000000000000000000000000000000000000000000000* +L009930 011111111111111111111111111111111011111111111111111111111111111111* +L009996 101111111111111111111101111111111111111111111111111111111111111111* +L010062 011111011111111111111111111111111111011111110111110111111111011111* +L010128 000000000000000000000000000000000000000000000000000000000000000000* +L010194 000000000000000000000000000000000000000000000000000000000000000000* +L010260 + 000000000000000000000000000000000000000000000000000000000000000000* +L010326 011111111011111111101111111111111011110111011111101111111111111111* +L010392 011111111011111111101111111111111011111011101111101111111111111111* +L010458 011111110111111111011111111111111011111011101111101111111111111111* +L010524 011111110111111111011111111111111011110111011111101111111111111111* +L010590 011111111111111111111111111111111010111111111111111111111111111111* +L010656 011111111111111111111111111111111110111111111111101111111111111111* +L010722 011111111111111111111111111111111110110111101111111111111111111111* +L010788 011111111111111111111111111111111110111011011111111111111111111111* +L010854 011111111011111111011111111111111110111111111111111111111111111111* +L010920 011111110111111111101111111111111110111111111111111111111111111111* +L010986 + 000000000000000000000000000000000000000000000000000000000000000000* +L011052 111111111111111111111111111111111111111101111111111111111111111111* +L011118 011111111111101111111111111101111111111111111111111111111101111111* +L011184 010111101111011111111111111111111111111111111111111111111111111111* +L011250 010111111111011111111111111101111111111111111111111111111111111111* +L011316 000000000000000000000000000000000000000000000000000000000000000000* +L011382 111111111111111011111111111111111111111111111111111111111111111111* +L011448 000000000000000000000000000000000000000000000000000000000000000000* +L011514 000000000000000000000000000000000000000000000000000000000000000000* +L011580 000000000000000000000000000000000000000000000000000000000000000000* +L011646 000000000000000000000000000000000000000000000000000000000000000000* +L011712 + 000000000000000000000000000000000000000000000000000000000000000000* +L011778 011111111011111111101111111111110111111011101111011111111111111111* +L011844 011111111011111111101111111111111011111011101111101111111111111111* +L011910 011111110111111111011111111111110111111011101111011111111111111111* +L011976 011111110111111111011111111111111011111011101111101111111111111111* +L012042 011111111111111111111111101111111111111111101111111111111111111111* +L012108 111111111111110111111111111111111111111111111111111111111111111111* +L012174 011111111111111111111111101111111011111111111111011111111111111111* +L012240 011111111111111111111111101111110111111111111111101111111111111111* +L012306 011111111111111111111111101111111111111011111111111111111111111111* +L012372 011111111011111111011111101111111111111111111111111111111111111111* +L012438 + 111111111111111111111111111111111111111111111111111111111111111111* +L012504 111111111111111111111111111111011111111111111111111111111111111111* +L012570 011111110111111111101111101111111111111111111111111111111111111111* +L012636 000000000000000000000000000000000000000000000000000000000000000000* +L012702 000000000000000000000000000000000000000000000000000000000000000000* +L012768 000000000000000000000000000000000000000000000000000000000000000000* +L012834 111111111111111111111111111111111111111111111111111111111111111111* +L012900 111111111111111111111111111111111111111111111111111111111111111111* +L012966 111111111111111111111111111111111111111111111111111111111111111111* +L013032 111111111111111111111111111111111111111111111111111111111111111111* +L013098 111111111111111111111111111111111111111111111111111111111111111111* +L013164 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L013296 0010* +L013300 10100110011000* +L013314 00010110011110* +L013328 10100110010100* +L013342 00100110011111* +L013356 11100110010011* +L013370 01110110011111* +L013384 00000111010110* +L013398 01110110011110* +L013412 11100110011000* +L013426 10110110010011* +L013440 00110110010001* +L013454 00100110010011* +L013468 11100110011000* +L013482 00110110010010* +L013496 00000110010100* +L013510 11101111111111* +NOTE BLOCK 2 * +L013524 + 111111110101101111111111101111111111111111111111111111111111011111 + 111111111111111101111111110111111111101111111111011111111111111101 + 111111111111110111111101111111111111111110111111111111011111111111 + 111110111111111111110111111101011111111111110111111111111111111111 + 110111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111101111111 + 111111011111111111011111111111111111111111111111110111111111111111 + 111111111111111111111111111111111111111111111110111111111111111111 + 011111111111111111111111111111110110111111101111111101111111111111* +L014118 + 000000000000000000000000000000000000000000000000000000000000000000* +L014184 101111111111111111111111111111111111111111111110111111111111111111* +L014250 000000000000000000000000000000000000000000000000000000000000000000* +L014316 000000000000000000000000000000000000000000000000000000000000000000* +L014382 000000000000000000000000000000000000000000000000000000000000000000* +L014448 000000000000000000000000000000000000000000000000000000000000000000* +L014514 110111101111111111111111111111111111111111011111111111111111111111* +L014580 110111111111111111111111111111111111111111011111111111101111111111* +L014646 110111111111111111111111111111111111111111011111111111111111101111* +L014712 111011011111111111111111111111111111111111011111111111011111011111* +L014778 110111111111111101110101110111111111111111010111111111111111111111* +L014844 + 000000000000000000000000000000000000000000000000000000000000000000* +L014910 111111111111111111111111111111111111111111100111111111111111111111* +L014976 110111011111111111110101110111111111111111011011111111011111011111* +L015042 110111011111111110110101110111111111111111110111111111011111011111* +L015108 000000000000000000000000000000000000000000000000000000000000000000* +L015174 000000000000000000000000000000000000000000000000000000000000000000* +L015240 111111111111111111111111111111111111111111111111011111111111111111* +L015306 000000000000000000000000000000000000000000000000000000000000000000* +L015372 000000000000000000000000000000000000000000000000000000000000000000* +L015438 000000000000000000000000000000000000000000000000000000000000000000* +L015504 000000000000000000000000000000000000000000000000000000000000000000* +L015570 + 000000000000000000000000000000000000000000000000000000000000000000* +L015636 111111111111111111111111111111111111111111111111111111111111111101* +L015702 000000000000000000000000000000000000000000000000000000000000000000* +L015768 000000000000000000000000000000000000000000000000000000000000000000* +L015834 000000000000000000000000000000000000000000000000000000000000000000* +L015900 000000000000000000000000000000000000000000000000000000000000000000* +L015966 111111111110110111111111011111111111111101011111111111111111111111* +L016032 111101111011110111111111101111111111111101011111111010111101111111* +L016098 111111111111110111111111111111011111111111011111111111111111111111* +L016164 111111111111111111111111111110011101111111011111111111111111111111* +L016230 000000000000000000000000000000000000000000000000000000000000000000* +L016296 + 000000000000000000000000000000000000000000000000000000000000000000* +L016362 111111101111111111111111111111111111111111011111111111011111111111* +L016428 111111011111111111111111111111111111111111011111111111101111111111* +L016494 110111111111111101110101110111111111111111010111111111011111011111* +L016560 000000000000000000000000000000000000000000000000000000000000000000* +L016626 000000000000000000000000000000000000000000000000000000000000000000* +L016692 111111111111111111111111111111111111011111111111111111111111111111* +L016758 000000000000000000000000000000000000000000000000000000000000000000* +L016824 000000000000000000000000000000000000000000000000000000000000000000* +L016890 000000000000000000000000000000000000000000000000000000000000000000* +L016956 000000000000000000000000000000000000000000000000000000000000000000* +L017022 + 000000000000000000000000000000000000000000000000000000000000000000* +L017088 111111111111110111111111111111111111111111101111111111111111111111* +L017154 111111111111111011111111111101110111111111011111111111111111111111* +L017220 111111111110110111111111011111111011111101111111111111111111111111* +L017286 111101111011110111111111101111111011111101111111111010111101111111* +L017352 111111111111110111111111111111111110111111111111111111111111111111* +L017418 111111111111111111111111110111111111111111101111111111111111111111* +L017484 110111011111111111110101111011111111111111011111111111011111011111* +L017550 110111011111111111110101110111111111111111111011111111011111011111* +L017616 110111011111111110110101110111111111111111111111111111011111011111* +L017682 000000000000000000000000000000000000000000000000000000000000000000* +L017748 + 111111111111111111111111111111111111111111111111111111111111111111* +L017814 110111011111111111110101110111111111111111010111111111011111011111* +L017880 111111111111111101111111111111111111111111011111111111111111111111* +L017946 000000000000000000000000000000000000000000000000000000000000000000* +L018012 000000000000000000000000000000000000000000000000000000000000000000* +L018078 000000000000000000000000000000000000000000000000000000000000000000* +L018144 111111111111011111111111111111111111111111111111111111111111111111* +L018210 000000000000000000000000000000000000000000000000000000000000000000* +L018276 000000000000000000000000000000000000000000000000000000000000000000* +L018342 000000000000000000000000000000000000000000000000000000000000000000* +L018408 000000000000000000000000000000000000000000000000000000000000000000* +L018474 + 000000000000000000000000000000000000000000000000000000000000000000* +L018540 111111111011111111111111111111111111111101111111111111111111111111* +L018606 111111110111111111111111111111111111111110111111111111111111111111* +L018672 000000000000000000000000000000000000000000000000000000000000000000* +L018738 000000000000000000000000000000000000000000000000000000000000000000* +L018804 000000000000000000000000000000000000000000000000000000000000000000* +L018870 111111101111111111111111111111111111111111011111111111111111011111* +L018936 111111111111111111111111111111111111111111011111111111101111011111* +L019002 111111011111111111111111111111111111111111011111111111011111101111* +L019068 110111111111111101110101110111111111111111010111111111111111011111* +L019134 000000000000000000000000000000000000000000000000000000000000000000* +L019200 + 000000000000000000000000000000000000000000000000000000000000000000* +L019266 111111111110111111111111011111111111111101111111111111111111111111* +L019332 111101111011111111111111101111111111111101111111111010111101111111* +L019398 000000000000000000000000000000000000000000000000000000000000000000* +L019464 000000000000000000000000000000000000000000000000000000000000000000* +L019530 000000000000000000000000000000000000000000000000000000000000000000* +L019596 111111111111111111101111111111111111111111011111111111111111111111* +L019662 000000000000000000000000000000000000000000000000000000000000000000* +L019728 000000000000000000000000000000000000000000000000000000000000000000* +L019794 000000000000000000000000000000000000000000000000000000000000000000* +L019860 000000000000000000000000000000000000000000000000000000000000000000* +L019926 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L020058 0010* +L020062 01100011111000* +L020076 10100110010011* +L020090 10100111010001* +L020104 00100110010011* +L020118 00100110010000* +L020132 10100110010010* +L020146 10100110010000* +L020160 00100110010011* +L020174 10100111010001* +L020188 10100111010011* +L020202 10100110010110* +L020216 00100110011110* +L020230 10100110010000* +L020244 10100110010011* +L020258 11100011110001* +L020272 01100110011111* +NOTE BLOCK 3 * +L020286 + 111111111111111111111111101111011111111111111111111111111111111111 + 111111111111111110111111111101111111111111111111111111111111110111 + 011111111111111111111111111111111111111111111011111111111101111110 + 111111111111011111101110111111111111111111111110111111111111111111 + 111111111101111111111111111111111111111111111111111001111111111111 + 110111111111111111111111111111111111111111111111111111110111111111 + 111111111111110111111111111111111011110111111111111111111111011111 + 111101110111111111111111111011111111111110111111111111111111111111 + 111111011111111111111111111111111110011111101111111111011111111111* +L020880 + 111111111111111111111111111111111111111111111111111111111111111111* +L020946 111111111111111111111111111111111111101111101111111111111111111111* +L021012 110111111111010111111110111111101111101111111111111111111111111111* +L021078 110111111111111011111110101111011111011111011111111111111111011111* +L021144 000000000000000000000000000000000000000000000000000000000000000000* +L021210 000000000000000000000000000000000000000000000000000000000000000000* +L021276 011111111111111111111111110110110111111111011111111111111111111111* +L021342 111111111111111111111111111110111111111111011111111111101111111111* +L021408 000000000000000000000000000000000000000000000000000000000000000000* +L021474 000000000000000000000000000000000000000000000000000000000000000000* +L021540 000000000000000000000000000000000000000000000000000000000000000000* +L021606 + 111111111111111111111111111111111111111111111111111111111111111111* +L021672 111111111111111111111111111111111111111111011111111111111111010111* +L021738 111111011111111111111111111111111111111111011111111111111111110111* +L021804 111111011111101111111111111111111101111111011111111111111111111111* +L021870 000000000000000000000000000000000000000000000000000000000000000000* +L021936 000000000000000000000000000000000000000000000000000000000000000000* +L022002 111111111111111111111111111111111111111111011011111111111111111111* +L022068 000000000000000000000000000000000000000000000000000000000000000000* +L022134 000000000000000000000000000000000000000000000000000000000000000000* +L022200 000000000000000000000000000000000000000000000000000000000000000000* +L022266 000000000000000000000000000000000000000000000000000000000000000000* +L022332 + 111111111111111111111111111111111111111111111111111111111111111111* +L022398 111111111111111111111111111111111111111110111111111111110111111111* +L022464 111111111111111111111111111111111111111101111111111011111111111111* +L022530 000000000000000000000000000000000000000000000000000000000000000000* +L022596 000000000000000000000000000000000000000000000000000000000000000000* +L022662 000000000000000000000000000000000000000000000000000000000000000000* +L022728 000000000000000000000000000000000000000000000000000000000000000000* +L022794 000000000000000000000000000000000000000000000000000000000000000000* +L022860 000000000000000000000000000000000000000000000000000000000000000000* +L022926 000000000000000000000000000000000000000000000000000000000000000000* +L022992 000000000000000000000000000000000000000000000000000000000000000000* +L023058 + 111111111111111111111111111111111111111101111111111101111111111111* +L023124 111111111111111111111111111111111111111111011111111111111101111011* +L023190 111111110111111111111111111011111101111111011111111111111111111111* +L023256 111111111111111101111111111111111111111111011111111111111111110111* +L023322 000000000000000000000000000000000000000000000000000000000000000000* +L023388 000000000000000000000000000000000000000000000000000000000000000000* +L023454 111111111110111111111111111111111111111111011111111111111111111111* +L023520 000000000000000000000000000000000000000000000000000000000000000000* +L023586 000000000000000000000000000000000000000000000000000000000000000000* +L023652 000000000000000000000000000000000000000000000000000000000000000000* +L023718 000000000000000000000000000000000000000000000000000000000000000000* +L023784 + 111111111111111111111111111111111111111101111111111101111111111111* +L023850 111111110110111111111111111111111111111011111111111111111111111111* +L023916 000000000000000000000000000000000000000000000000000000000000000000* +L023982 000000000000000000000000000000000000000000000000000000000000000000* +L024048 000000000000000000000000000000000000000000000000000000000000000000* +L024114 000000000000000000000000000000000000000000000000000000000000000000* +L024180 111111111111111011111101111111011111111111111111111111111111111111* +L024246 110111111111111011111110111111101111111111111111111111111111111111* +L024312 110111111111110111111110111111011111111111111111111111111111111101* +L024378 111011111111111111111101111111011111111111111111111111111111111101* +L024444 111111111111111011111111111111111111111111111111111111111111111110* +L024510 + 000000000000000000000000000000000000000000000000000000000000000000* +L024576 111111111111111111111111111111111111111111111101111111111111111111* +L024642 111111111111111111111111111111111111111111111111111111111111111111* +L024708 111111111111111111111111111111111111111111111111111111111111111111* +L024774 111111111111111111111111111111111111111111111111111111111111111111* +L024840 111111111111111111111111111111111111111111111111111111111111111111* +L024906 111111111111111111111111111111111111111111111111111111111111111111* +L024972 111111111111111111111111111111111111111111111111111111111111111111* +L025038 111111111111111111111111111111111111111111111111111111111111111111* +L025104 111111111111111111111111111111111111111111111111111111111111111111* +L025170 111111111111111111111111111111111111111111111111111111111111111111* +L025236 + 111111111111111111111111111111111111111111111111111111111111111111* +L025302 111110110110111111111111111111111111111111111111111111111111111111* +L025368 111111111111111111111111111111111111111111111111111111111111111111* +L025434 111111111111111111111111111111111111111111111111111111111111111111* +L025500 111111111111111111111111111111111111111111111111111111111111111111* +L025566 111111111111111111111111111111111111111111111111111111111111111111* +L025632 110111111111110111111111111111111111111111111111111111111111111111* +L025698 111111111111111111111101111111011111111111111111111111111111111101* +L025764 111111111111111011111111111111101111111111111111111111111111111101* +L025830 110111111111111111111111111111111111111111111111111111111111111110* +L025896 000000000000000000000000000000000000000000000000000000000000000000* +L025962 + 000000000000000000000000000000000000000000000000000000000000000000* +L026028 111111111111111111011111111111111111111111111111111111111111111111* +L026094 111111111111111111111111111111111111111111111111111111111111111111* +L026160 111111111111111111111111111111111111111111111111111111111111111111* +L026226 111111111111111111111111111111111111111111111111111111111111111111* +L026292 111111111111111111111111111111111111111111111111111111111111111111* +L026358 111111111111111111111111111111111111111111111111111111111111111111* +L026424 111111111111111111111111111111111111111111111111111111111111111111* +L026490 111111111111111111111111111111111111111111111111111111111111111111* +L026556 111111111111111111111111111111111111111111111111111111111111111111* +L026622 111111111111111111111111111111111111111111111111111111111111111111* +L026688 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L026820 0010* +L026824 10100111010000* +L026838 11100110011110* +L026852 10100110010100* +L026866 01100110011111* +L026880 10101011111001* +L026894 00100011111111* +L026908 10100110010100* +L026922 01100110010010* +L026936 01101011110011* +L026950 11100110010011* +L026964 00010110010000* +L026978 11101111110011* +L026992 01110011111011* +L027006 10100110011111* +L027020 00010110010000* +L027034 11101011110010* +NOTE BLOCK 4 * +L027048 + 111111111111111111111111111111111111111111111111011111111111111111 + 111111111111111111111011111111111111110111111111110111111111111111 + 111111110101101111111111111111111111111111110111111111110111111111 + 111011011111111111111111111111111101111111111111111111101111111111 + 011111111111110111111111111111111111111111111111111111111111111111 + 111111111111111111111111011111111111011101111111111111111111111111 + 111111111111111111111111111111111011111111101111111101111111110111 + 111111111111111111111111111010011111111111111110111111111101111110 + 111110111111111110101101111111111111111111111111111111111111111111* +L027642 + 110111111111011111111101101111111111011111111111111110011111111010* +L027708 000000000000000000000000000000000000000000000000000000000000000000* +L027774 000000000000000000000000000000000000000000000000000000000000000000* +L027840 000000000000000000000000000000000000000000000000000000000000000000* +L027906 000000000000000000000000000000000000000000000000000000000000000000* +L027972 000000000000000000000000000000000000000000000000000000000000000000* +L028038 111110111111111111111111111111111111111111011110111111111011111111* +L028104 111111111111111111111111111111111111111111101101111111111111111111* +L028170 000000000000000000000000000000000000000000000000000000000000000000* +L028236 000000000000000000000000000000000000000000000000000000000000000000* +L028302 000000000000000000000000000000000000000000000000000000000000000000* +L028368 + 011111111111111111111111111111111111111111111101111111111111111111* +L028434 111111111111111111111111111111111111111111111111111111111111111111* +L028500 111111111111111111111111111111111111111111111111111111111111111111* +L028566 111111111111111111111111111111111111111111111111111111111111111111* +L028632 111111111111111111111111111111111111111111111111111111111111111111* +L028698 111111111111111111111111111111111111111111111111111111111111111111* +L028764 111111111111111111111111111111111111111111111111111111111111111111* +L028830 111111111111111111111111111111111111111111111111111111111111111111* +L028896 111111111111111111111111111111111111111111111111111111111111111111* +L028962 111111111111111111111111111111111111111111111111111111111111111111* +L029028 111111111111111111111111111111111111111111111111111111111111111111* +L029094 + 000000000000000000000000000000000000000000000000000000000000000000* +L029160 111111111111111111111011111111111111111111111111111111111111111110* +L029226 111111111111111111111111111111111111111111111111111111111111111111* +L029292 111111111111111111111111111111111111111111111111111111111111111111* +L029358 111111111111111111111111111111111111111111111111111111111111111111* +L029424 111111111111111111111111111111111111111111111111111111111111111111* +L029490 111111101010111001011111111011011110111011111011111011111101111111* +L029556 111111111111111111111111111111111111111111111111111111110111111111* +L029622 000000000000000000000000000000000000000000000000000000000000000000* +L029688 000000000000000000000000000000000000000000000000000000000000000000* +L029754 000000000000000000000000000000000000000000000000000000000000000000* +L029820 + 000000000000000000000000000000000000000000000000000000000000000000* +L029886 111111111111111111111111111111111111111111111111111111111111111111* +L029952 111111111111111111111111111111111111111111111111111111111111111111* +L030018 111111111111111111111111111111111111111111111111111111111111111111* +L030084 111111111111111111111111111111111111111111111111111111111111111111* +L030150 111111111111111111111111111111111111111111111111111111111111111111* +L030216 111111111111111111111111111111111111111111111111111111111111111111* +L030282 111111111111111111111111111111111111111111111111111111111111111111* +L030348 111111111111111111111111111111111111111111111111111111111111111111* +L030414 111111111111111111111111111111111111111111111111111111111111111111* +L030480 111111111111111111111111111111111111111111111111111111111111111111* +L030546 + 000000000000000000000000000000000000000000000000000000000000000000* +L030612 111111111111111111111111111111111111111111111111011111111111111111* +L030678 111111111111111111111111111111111111111111111111111111111111111111* +L030744 111111111111111111111111111111111111111111111111111111111111111111* +L030810 111111111111111111111111111111111111111111111111111111111111111111* +L030876 111111111111111111111111111111111111111111111111111111111111111111* +L030942 111111111111111111111111111111111111111101111111111111111111111111* +L031008 111111111111111111111111111111111111111111111111111111111111111111* +L031074 111111111111111111111111111111111111111111111111111111111111111111* +L031140 111111111111111111111111111111111111111111111111111111111111111111* +L031206 111111111111111111111111111111111111111111111111111111111111111111* +L031272 + 000000000000000000000000000000000000000000000000000000000000000000* +L031338 111111111111111111111111111111111111111111111111111111111111111111* +L031404 111111111111111111111111111111111111111111111111111111111111111111* +L031470 111111111111111111111111111111111111111111111111111111111111111111* +L031536 111111111111111111111111111111111111111111111111111111111111111111* +L031602 111111111111111111111111111111111111111111111111111111111111111111* +L031668 111111111111111111111111111111111111111111111111111111111111111111* +L031734 111111111111111111111111111111111111111111111111111111111111111111* +L031800 111111111111111111111111111111111111111111111111111111111111111111* +L031866 111111111111111111111111111111111111111111111111111111111111111111* +L031932 111111111111111111111111111111111111111111111111111111111111111111* +L031998 + 111111111111111111111111111101111111111111111111111111111111111111* +L032064 111111101010111001011111111011011110111011111011111011111101111111* +L032130 111111111111111111111111111111111111111111111111111111111111111111* +L032196 111111111111111111111111111111111111111111111111111111111111111111* +L032262 111111111111111111111111111111111111111111111111111111111111111111* +L032328 111111111111111111111111111111111111111111111111111111111111111111* +L032394 111111111111111111111111111111110111111111111111111111111111111111* +L032460 111111111111111111111111111111111111111111111111111111111111111111* +L032526 111111111111111111111111111111111111111111111111111111111111111111* +L032592 111111111111111111111111111111111111111111111111111111111111111111* +L032658 111111111111111111111111111111111111111111111111111111111111111111* +L032724 + 111111111111111111111111111111111111111111111111111111111111111111* +L032790 111111111111111111111111111111111111111111111111111111111111111111* +L032856 111111111111111111111111111111111111111111111111111111111111111111* +L032922 111111111111111111111111111111111111111111111111111111111111111111* +L032988 111111111111111111111111111111111111111111111111111111111111111111* +L033054 111111111111111111111111111111111111111111111111111111111111111111* +L033120 111111111111111111111111111111111111111111111111111111111111111111* +L033186 111111111111111111111111111111111111111111111111111111111111111111* +L033252 111111111111111111111111111111111111111111111111111111111111111111* +L033318 111111111111111111111111111111111111111111111111111111111111111111* +L033384 111111111111111111111111111111111111111111111111111111111111111111* +L033450 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L033582 0010* +L033586 00100011110000* +L033600 10101111110011* +L033614 11011011110100* +L033628 11110011110010* +L033642 01111111111001* +L033656 10101011111111* +L033670 11011111110000* +L033684 11111011111111* +L033698 00110110010000* +L033712 00000110011110* +L033726 11011111110001* +L033740 11110011111110* +L033754 00111011110000* +L033768 00000110011110* +L033782 11010111111101* +L033796 11111111111110* +NOTE BLOCK 5 * +L033810 + 111111111111111111111111111111111111011111111111111111111111111011 + 111111111110111111111111111111110111111111111111111111111111111111 + 111111111111111111101111111111111111111111101111101111110101111111 + 111111111111110111111011101001111111111111111111111111111111111111 + 111111111111111111111111111111101101111111111111111011111111111111 + 111110111111011111111111111111111111111011111111111111111111111111 + 111111110111111110111101111111111111111111111111111111111111011111 + 111111111111111111111111111111111111111110111111111111101111111111 + 101011011111111111111111111111111111111111111111111111111111111111* +L034404 + 000000000000000000000000000000000000000000000000000000000000000000* +L034470 101111111111111111111111111111111111111111111111111111111111111111* +L034536 111111111111111111111111111101011111111111111111111111111111111111* +L034602 111111101111111011111011111111101011111111111111111111111010111011* +L034668 111111101111111011011011111111101011111111111111111111111110111011* +L034734 111110101111111011111011111111101011111111111111111111111110111011* +L034800 111111101111111001111011111111101011111111111111111111111110111011* +L034866 111011111111111111111111111111110111111111111111111111111111101111* +L034932 111011111111111111111111111111011111111111111111111111111111111111* +L034998 111011111111111111110111111110111111111111111111111111111111111111* +L035064 111011111111111111111111111111111111011111111111111111111101111111* +L035130 + 000000000000000000000000000000000000000000000000000000000000000000* +L035196 111111111101111111111111111111111111111111111111111111111111111111* +L035262 111011111111111111111111111111111111111111111111111111111111100111* +L035328 111011011111111111111111111110111111111111111111111111111111111111* +L035394 111011111111110111111111111110111111111111111111111111111111111111* +L035460 000000000000000000000000000000000000000000000000000000000000000000* +L035526 011111111111111111111111111111101111111111111111111111111111010111* +L035592 010111111111111111111111111110011111111111111111111111111111111111* +L035658 000000000000000000000000000000000000000000000000000000000000000000* +L035724 000000000000000000000000000000000000000000000000000000000000000000* +L035790 000000000000000000000000000000000000000000000000000000000000000000* +L035856 + 000000000000000000000000000000000000000000000000000000000000000000* +L035922 011101111111111110101111111111111111111111111111111011110111111111* +L035988 011111111111111111110111111111111111111111111111111011111111111111* +L036054 010111111111111111110111111110111111111111111111111111111111111111* +L036120 000000000000000000000000000000000000000000000000000000000000000000* +L036186 000000000000000000000000000000000000000000000000000000000000000000* +L036252 010111111111111111101111111111111111111111111111111111101111111111* +L036318 010111111111111111111111101111111111110101111111111011100111111111* +L036384 010111111111011111111111111111111111110101111111111011100111111111* +L036450 010111110111111111111111111111111111110101111111111011100111111111* +L036516 010111111111111111111111111011111111110101111111111011100111111111* +L036582 + 000000000000000000000000000000000000000000000000000000000000000000* +L036648 111110111111111101111111111111111111111111111111111111111111111111* +L036714 010111111111111111111101111111111111110101111111111011100111111111* +L036780 010111111111111111111111111111111111110101111111101011100111111111* +L036846 000000000000000000000000000000000000000000000000000000000000000000* +L036912 000000000000000000000000000000000000000000000000000000000000000000* +L036978 111111111111111111111111111111111111111111111111111111111111111111* +L037044 111111111111111111111111111111111111111111111111111111111111111111* +L037110 111111111111111111111111111111111111111111111111111111111111111111* +L037176 111111111111111111111111111111111111111111111111111111111111111111* +L037242 111111111111111111111111111111111111111111111111111111111111111111* +L037308 + 000000000000000000000000000000000000000000000000000000000000000000* +L037374 111111111111111111111111111111111111111111111111111111111111011111* +L037440 111111111111111111111111111111111111111111111111111111111111111111* +L037506 111111111111111111111111111111111111111111111111111111111111111111* +L037572 111111111111111111111111111111111111111111111111111111111111111111* +L037638 111111111111111111111111111111111111111111111111111111111111111111* +L037704 111101111111111110111111111111111111111111111111111111111111111111* +L037770 111111111111111111111111111111111111111111111111111111111111111111* +L037836 111111111111111111111111111111111111111111111111111111111111111111* +L037902 111111111111111111111111111111111111111111111111111111111111111111* +L037968 111111111111111111111111111111111111111111111111111111111111111111* +L038034 + 000000000000000000000000000000000000000000000000000000000000000000* +L038100 011111111111111111111111111111111111111111101111111111111111111111* +L038166 111111111111111111111111111111111111111111111111111111111111111111* +L038232 111111111111111111111111111111111111111111111111111111111111111111* +L038298 111111111111111111111111111111111111111111111111111111111111111111* +L038364 111111111111111111111111111111111111111111111111111111111111111111* +L038430 111111111111111111111111111111111111111111111111111111111111111111* +L038496 111111111111111111111111111111111111111111111111111111111111111111* +L038562 111111111111111111111111111111111111111111111111111111111111111111* +L038628 111111111111111111111111111111111111111111111111111111111111111111* +L038694 111111111111111111111111111111111111111111111111111111111111111111* +L038760 + 000000000000000000000000000000000000000000000000000000000000000000* +L038826 111111111111111111111111111111111111111111111111111111111111111111* +L038892 111111111111111111111111111111111111111111111111111111111111111111* +L038958 111111111111111111111111111111111111111111111111111111111111111111* +L039024 111111111111111111111111111111111111111111111111111111111111111111* +L039090 111111111111111111111111111111111111111111111111111111111111111111* +L039156 111111111111111111111111111111111101111111111111111111111111111111* +L039222 011111111111110111111111111101111111111111111111111111111111111011* +L039288 010111111111111111111111111111111111111111111111111111111111100111* +L039354 010111111111110111111111111111111111111111111111111111111111110111* +L039420 000000000000000000000000000000000000000000000000000000000000000000* +L039486 + 000000000000000000000000000000000000000000000000000000000000000000* +L039552 111111111111111111111111111111111111111111111111111111111111111111* +L039618 111111111111111111111111111111111111111111111111111111111111111111* +L039684 111111111111111111111111111111111111111111111111111111111111111111* +L039750 111111111111111111111111111111111111111111111111111111111111111111* +L039816 111111111111111111111111111111111111111111111111111111111111111111* +L039882 111111111111111111111111111111111111111111111111111111111111111111* +L039948 111111111111111111111111111111111111111111111111111111111111111111* +L040014 111111111111111111111111111111111111111111111111111111111111111111* +L040080 111111111111111111111111111111111111111111111111111111111111111111* +L040146 111111111111111111111111111111111111111111111111111111111111111111* +L040212 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L040344 0010* +L040348 11100110011110* +L040362 10110110010010* +L040376 00000110011110* +L040390 11000011110011* +L040404 10100110011111* +L040418 11100110010011* +L040432 00110110011110* +L040446 11101111110011* +L040460 00110110011111* +L040474 00000110010011* +L040488 01010110011110* +L040502 11101011111110* +L040516 10110110011110* +L040530 00110110011111* +L040544 11011111111110* +L040558 11110011111110* +NOTE BLOCK 6 * +L040572 + 111111111111111111111111111111011111111111011111111111111111111111 + 111111111111111111111111101111110111111111111111111111111111111111 + 111111111111111111111110111111111111111111111111111110111011110110 + 111110100110111111111111111111111111110111111111111111111111111111 + 011111111111111111111111111111111110111111111111111111111111111111 + 111111111111111011011111111111111111111111111111111111111101111111 + 111111111111101111111111111111111111111101111111110111011111111111 + 111111111111111101111111111011111111111111111110111111111111111111 + 111011111111111111110111111111111111111111111111101111111111111111* +L041166 + 111111111111111111111111111111111111111111111111111111111111111111* +L041232 111111111111110111111111111111111110111111111101011111111111111111* +L041298 111111111111101111111111111111111111111111111110011111111111111111* +L041364 000000000000000000000000000000000000000000000000000000000000000000* +L041430 000000000000000000000000000000000000000000000000000000000000000000* +L041496 000000000000000000000000000000000000000000000000000000000000000000* +L041562 111111111111111111111111111111111111111111011111111111111111111111* +L041628 000000000000000000000000000000000000000000000000000000000000000000* +L041694 000000000000000000000000000000000000000000000000000000000000000000* +L041760 000000000000000000000000000000000000000000000000000000000000000000* +L041826 000000000000000000000000000000000000000000000000000000000000000000* +L041892 + 111111111111111111111111111111111111111111111111111111111111111111* +L041958 111111111111111111111111111111111111111101111111111111111111111111* +L042024 000000000000000000000000000000000000000000000000000000000000000000* +L042090 000000000000000000000000000000000000000000000000000000000000000000* +L042156 000000000000000000000000000000000000000000000000000000000000000000* +L042222 000000000000000000000000000000000000000000000000000000000000000000* +L042288 111111111111111111111111111111111111111111111111111101111111111111* +L042354 000000000000000000000000000000000000000000000000000000000000000000* +L042420 000000000000000000000000000000000000000000000000000000000000000000* +L042486 000000000000000000000000000000000000000000000000000000000000000000* +L042552 000000000000000000000000000000000000000000000000000000000000000000* +L042618 + 000000000000000000000000000000000000000000000000000000000000000000* +L042684 111101111111111111111111111111101111111111111111111111111111111111* +L042750 111101111111111111111111111111111111111111111111111011111111111111* +L042816 111111111111111111111111111111011111111111111111110111111110111101* +L042882 111111111111111111111111111111101111111111111111111011111110111101* +L042948 111101111111111111111111111111111111111111111111111111111111111110* +L043014 111111111111111011111111111111111111111111111101011111111111111111* +L043080 111111101111111111111111111111111111111111111101011111111111111111* +L043146 111111111111111110111111111111111111111111111110011111101111111111* +L043212 000000000000000000000000000000000000000000000000000000000000000000* +L043278 000000000000000000000000000000000000000000000000000000000000000000* +L043344 + 000000000000000000000000000000000000000000000000000000000000000000* +L043410 111111110111111111111111111111111111111111111111111111111111111111* +L043476 000000000000000000000000000000000000000000000000000000000000000000* +L043542 000000000000000000000000000000000000000000000000000000000000000000* +L043608 000000000000000000000000000000000000000000000000000000000000000000* +L043674 000000000000000000000000000000000000000000000000000000000000000000* +L043740 111111111111111111111101111111111111111111111111111111111111111111* +L043806 000000000000000000000000000000000000000000000000000000000000000000* +L043872 000000000000000000000000000000000000000000000000000000000000000000* +L043938 000000000000000000000000000000000000000000000000000000000000000000* +L044004 000000000000000000000000000000000000000000000000000000000000000000* +L044070 + 011111111111111111111111111111111111111111111110111111111111111011* +L044136 111111111111111111111111111111111111111111111111101111111111111111* +L044202 111111111111110111111111111111111111111111111101111111110111111111* +L044268 111111111111111101111111111111111111111111111110111111111111111111* +L044334 000000000000000000000000000000000000000000000000000000000000000000* +L044400 000000000000000000000000000000000000000000000000000000000000000000* +L044466 111111111111111111111111111111110111111111111111011111111111111111* +L044532 110111111111111111111111101011111111111111111111011111111111111111* +L044598 000000000000000000000000000000000000000000000000000000000000000000* +L044664 000000000000000000000000000000000000000000000000000000000000000000* +L044730 000000000000000000000000000000000000000000000000000000000000000000* +L044796 + 111111111111111111111111111111111111111111111110111111111111111011* +L044862 111111111111111111111111111111111111110111111111111111111111111111* +L044928 111111111111111111111111111111111111111111111111111111111111111111* +L044994 111111111111111111111111111111111111111111111111111111111111111111* +L045060 111111111111111111111111111111111111111111111111111111111111111111* +L045126 111111111111111111111111111111111111111111111111111111111111111111* +L045192 111111111111111111111111111111111111111111111111111111111111111111* +L045258 111111111111111111111111111111111111111111111111111111111111111111* +L045324 111111111111111111111111111111111111111111111111111111111111111111* +L045390 111111111111111111111111111111111111111111111111111111111111111111* +L045456 111111111111111111111111111111111111111111111111111111111111111111* +L045522 + 011111111111111111111111111111111111111111111110111111111111111111* +L045588 111111011111111111101111111111111111111111111111111111111111111111* +L045654 111111111111111111111111111111111111111111111111111111111111111111* +L045720 111111111111111111111111111111111111111111111111111111111111111111* +L045786 111111111111111111111111111111111111111111111111111111111111111111* +L045852 111111111111111111111111111111111111111111111111111111111111111111* +L045918 111111111111111111111011111111111111111111111111011111111111111111* +L045984 111111111111111111111111111111111111111111111111111111111111111111* +L046050 111111111111111111111111111111111111111111111111111111111111111111* +L046116 111111111111111111111111111111111111111111111111111111111111111111* +L046182 111111111111111111111111111111111111111111111111111111111111111111* +L046248 + 000000000000000000000000000000000000000000000000000000000000000000* +L046314 111111111101111111111111111111111111111111111111111111111111111111* +L046380 111111111111111111111111111111111111111111111111111111111111111111* +L046446 111111111111111111111111111111111111111111111111111111111111111111* +L046512 111111111111111111111111111111111111111111111111111111111111111111* +L046578 111111111111111111111111111111111111111111111111111111111111111111* +L046644 111111111111111111111111111111111111111111111111111111111111111111* +L046710 111111111111111111111111111111111111111111111111111111111111111111* +L046776 111111111111111111111111111111111111111111111111111111111111111111* +L046842 111111111111111111111111111111111111111111111111111111111111111111* +L046908 111111111111111111111111111111111111111111111111111111111111111111* +L046974 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L047106 0010* +L047110 11100110011000* +L047124 00100110011110* +L047138 00100110010100* +L047152 00100110011111* +L047166 10100110011001* +L047180 11100110010011* +L047194 00100110010000* +L047208 00100110010010* +L047222 10100110010000* +L047236 11100110010011* +L047250 00010110010101* +L047264 11101111110011* +L047278 00110011110010* +L047292 01000110010010* +L047306 00010110010000* +L047320 11101011111111* +NOTE BLOCK 7 * +L047334 + 111111111111111111111111111111111111111110011111111111111111111011 + 111111111111111110111111111111110111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111101111110111111111 + 111111101111111111111111101011111111111111111111111111111101111111 + 111111111111111111111011111111111111111111111111111001111111111111 + 111111111111011111111111111111011111011111111111111111111111111111 + 111111111110111111111101111110111101111111111111111111111111111111 + 111111111111111111111111111111111111111111111110111111101111111110 + 101010111011111111011111111111111111110111111111111111111111101111* +L047928 + 000000000000000000000000000000000000000000000000000000000000000000* +L047994 011111111111111111111111111111111011111111111111110111111110101111* +L048060 011111111111111111111011111111111011111111111111110111111111101111* +L048126 011111111111111110111111111111110111111111111111111111111111111111* +L048192 000000000000000000000000000000000000000000000000000000000000000000* +L048258 000000000000000000000000000000000000000000000000000000000000000000* +L048324 111111111111101111111110010111111110011011111111011111111111111110* +L048390 000000000000000000000000000000000000000000000000000000000000000000* +L048456 000000000000000000000000000000000000000000000000000000000000000000* +L048522 000000000000000000000000000000000000000000000000000000000000000000* +L048588 000000000000000000000000000000000000000000000000000000000000000000* +L048654 + 000000000000000000000000000000000000000000000000000000000000000000* +L048720 111111111111111111111111111101111111111111111111111111111111111111* +L048786 111111111111111111111111111111111111111111111111111111111111111111* +L048852 111111111111111111111111111111111111111111111111111111111111111111* +L048918 111111111111111111111111111111111111111111111111111111111111111111* +L048984 111111111111111111111111111111111111111111111111111111111111111111* +L049050 111111111111111111111111111111111111111111111111111111111111111111* +L049116 111111111111111111111111111111111111111111111111111111111111111111* +L049182 111111111111111111111111111111111111111111111111111111111111111111* +L049248 111111111111111111111111111111111111111111111111111111111111111111* +L049314 111111111111111111111111111111111111111111111111111111111111111111* +L049380 + 111111111111111111111111111111111111111111111111111111111111111111* +L049446 101111111111111111111111111111111111111111111111111111111111111111* +L049512 111111111111111111111111111111111111011111111101111111111111111111* +L049578 111101111111111111111111111111111111011111111111111111111101111111* +L049644 000000000000000000000000000000000000000000000000000000000000000000* +L049710 000000000000000000000000000000000000000000000000000000000000000000* +L049776 011111111111111111111111111111111111111111111111111111111111111110* +L049842 111111111111111111111111111111111111111111111111111111111111111111* +L049908 111111111111111111111111111111111111111111111111111111111111111111* +L049974 111111111111111111111111111111111111111111111111111111111111111111* +L050040 111111111111111111111111111111111111111111111111111111111111111111* +L050106 + 111111111111111111111111111111111111111111111110111101111011111111* +L050172 111111110111111111111111111111111111111111111111111111111111111111* +L050238 111111111111111111111111111111111111111111111111111111111111111111* +L050304 111111111111111111111111111111111111111111111111111111111111111111* +L050370 111111111111111111111111111111111111111111111111111111111111111111* +L050436 111111111111111111111111111111111111111111111111111111111111111111* +L050502 111111111111111111111111111111111111111111111111111111111111111111* +L050568 111111111111111111111111111111111111111111111111111111111111111111* +L050634 111111111111111111111111111111111111111111111111111111111111111111* +L050700 111111111111111111111111111111111111111111111111111111111111111111* +L050766 111111111111111111111111111111111111111111111111111111111111111111* +L050832 + 111111111111111111111111111111111111111111111111111111110111111111* +L050898 111110111111111111101111111111111111111111111111111111111111111111* +L050964 111111111111111111111111111111111111111111111111111111111111111111* +L051030 111111111111111111111111111111111111111111111111111111111111111111* +L051096 111111111111111111111111111111111111111111111111111111111111111111* +L051162 111111111111111111111111111111111111111111111111111111111111111111* +L051228 011111111111111111111111111101111111111110111111111111111111110111* +L051294 011111111111111111111111111101111111111111011111111111111111110111* +L051360 011111110111111111111111111111111111111111111111111111111111110111* +L051426 010111111110111111111111111111111111111111111111111111101111111111* +L051492 000000000000000000000000000000000000000000000000000000000000000000* +L051558 + 111111111111111111111111111111111111111111111101111101111111111111* +L051624 111111111111111111111111111111111111111111111111111111111111111111* +L051690 111111111111111111111111111111111111111111111111111111111111111111* +L051756 111111111111111111111111111111111111111111111111111111111111111111* +L051822 111111111111111111111111111111111111111111111111111111111111111111* +L051888 111111111111111111111111111111111111111111111111111111111111111111* +L051954 111111111111111111111111111111111111111111111111111111111111111111* +L052020 111111111111111111111111111111111111111111111111111111111111111111* +L052086 111111111111111111111111111111111111111111111111111111111111111111* +L052152 111111111111111111111111111111111111111111111111111111111111111111* +L052218 111111111111111111111111111111111111111111111111111111111111111111* +L052284 + 111111111111111111111111111111111111111111111110111111111011111111* +L052350 111111101111111111111111111111011111111111111111111111111111111111* +L052416 111111111111111111111111111111111111111111111111111111111111111111* +L052482 111111111111111111111111111111111111111111111111111111111111111111* +L052548 111111111111111111111111111111111111111111111111111111111111111111* +L052614 111111111111111111111111111111111111111111111111111111111111111111* +L052680 011111111111111111111111111111111111111111111110111111111111111111* +L052746 111111111111111111111111111111111111111111111111111111111111111111* +L052812 111111111111111111111111111111111111111111111111111111111111111111* +L052878 111111111111111111111111111111111111111111111111111111111111111111* +L052944 111111111111111111111111111111111111111111111111111111111111111111* +L053010 + 111111111111111111111111111111111111111111111111111111111111111111* +L053076 111111111111111111111111111111111111111111111111111111111111111111* +L053142 111111111111111111111111111111111111111111111111111111111111111111* +L053208 111111111111111111111111111111111111111111111111111111111111111111* +L053274 111111111111111111111111111111111111111111111111111111111111111111* +L053340 111111111111111111111111111111111111111111111111111111111111111111* +L053406 111111111111111111111111111111111111111111111111111111111111111111* +L053472 111111111111111111111111111111111111111111111111111111111111111111* +L053538 111111111111111111111111111111111111111111111111111111111111111111* +L053604 111111111111111111111111111111111111111111111111111111111111111111* +L053670 111111111111111111111111111111111111111111111111111111111111111111* +L053736 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L053868 0010* +L053872 11100110011100* +L053886 01101011110010* +L053900 00010110010001* +L053914 11101011110011* +L053928 10100110010000* +L053942 01000110011110* +L053956 00010110010101* +L053970 11100011110011* +L053984 01111111111000* +L053998 11100110011110* +L054012 11010011110110* +L054026 11111011110011* +L054040 00111111110001* +L054054 01000110010011* +L054068 11010011111100* +L054082 11111011111111* +E1 +1 +01111100 +1 +01110010 +1 +00000000 +1 +01000011 +1 +01011001 +1 +00000000 +1 +10001110 +1 +10000010 +1 +* +CF9B2* +U00000000000000000000000000000000* +11B6 diff --git a/Logic/68030_tk-gba060.jed b/Logic/68030_tk-gba060.jed new file mode 100644 index 0000000..21e60af --- /dev/null +++ b/Logic/68030_tk-gba060.jed @@ -0,0 +1,1115 @@ +|--------------------------------------------| +|- ispLEVER Fitter Report File -| +|- Version 1.8.00.04.29.14 -| +|- (c)Copyright, Lattice Semiconductor 2002 -| +|--------------------------------------------| + + +TITLE: +AUTHOR: +PATTERN: +COMPANY: +REVISION: +DATE: Thu Sep 24 16:21:08 2015 + +ABEL mach447a + * +QP100* +QF54096* +G0*F0* +NOTE Part Number : M4A5-128/64-10VC * +NOTE Handling of Preplacements No Change * +NOTE Use placement data from 68030_tk.vct * +NOTE Global clocks routable as PT clocks? N * +NOTE 22V10/MACH1XX/2XX S/R Compatibility? Y * +NOTE SET/RESET treated as DONT_CARE? N * +NOTE Reduce Unforced Global Clocks? N * +NOTE Iterate between partitioning and place/route? Y * +NOTE Balanced partitioning? Y * +NOTE Reduce Routes Per Placement? N * +NOTE Spread Placement? Y * +NOTE Run Time Upper Bound in 15 minutes 0 * +NOTE Zero Hold Time For Input Registers? Y * +NOTE Table of pin names and numbers* +NOTE PINS A_28_:15 A_27_:16 SIZE_1_:79 A_26_:17 A_25_:18* +NOTE PINS A_31_:4 A_24_:19 A_23_:85 A_22_:84 A_21_:94 IPL_2_:68* +NOTE PINS A_20_:93 A_19_:97 FC_1_:58 A_18_:95 AS_030:82 A_17_:59* +NOTE PINS AS_000:42 A_16_:96 DS_030:98 UDS_000:32 LDS_000:31* +NOTE PINS A1:60 nEXP_SPACE:14 BERR:41 BG_030:21 BGACK_000:28* +NOTE PINS CLK_030:64 CLK_000:11 CLK_OSZI:61 CLK_DIV_OUT:65* +NOTE PINS CLK_EXP:10 IPL_1_:56 FPU_CS:78 IPL_0_:67 FPU_SENSE:91* +NOTE PINS FC_0_:57 DTACK:30 AVEC:92 VPA:36 RST:86 AMIGA_ADDR_ENABLE:33* +NOTE PINS AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* +NOTE PINS CIIN:47 SIZE_0_:70 A_30_:5 A_29_:6 IPL_030_2_:9* +NOTE PINS RW_000:80 A0:69 BG_000:29 BGACK_030:83 IPL_030_1_:7* +NOTE PINS IPL_030_0_:8 DSACK1:81 E:66 VMA:35 RESET:3 RW:71* +NOTE Table of node names and numbers* +NOTE NODES RN_SIZE_1_:287 RN_AS_030:281 RN_AS_000:203 RN_DS_030:101 * +NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 RN_SIZE_0_:263 * +NOTE NODES RN_IPL_030_2_:131 RN_RW_000:269 RN_A0:257 RN_BG_000:175 * +NOTE NODES RN_BGACK_030:275 RN_IPL_030_1_:143 RN_IPL_030_0_:137 * +NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RESET:125 * +NOTE NODES RN_RW:245 cpu_est_0_:157 cpu_est_1_:253 inst_AS_000_INT:103 * +NOTE NODES SM_AMIGA_5_:239 inst_AMIGA_BUS_ENABLE_DMA_LOW:154 * +NOTE NODES inst_AS_030_D0:277 inst_nEXP_SPACE_D0reg:221 * +NOTE NODES inst_DS_030_D0:184 inst_AS_030_000_SYNC:241 inst_BGACK_030_INT_D:289 * +NOTE NODES inst_AS_000_DMA:113 inst_DS_000_DMA:109 CYCLE_DMA_0_:104 * +NOTE NODES CYCLE_DMA_1_:121 SIZE_DMA_0_:254 SIZE_DMA_1_:248 * +NOTE NODES inst_VPA_D:193 inst_UDS_000_INT:265 inst_LDS_000_INT:259 * +NOTE NODES inst_CLK_OUT_PRE_D:217 inst_DTACK_D0:134 inst_CLK_OUT_PRE_50:211 * +NOTE NODES inst_CLK_000_D1:187 inst_CLK_000_D0:128 inst_CLK_000_PE:155 * +NOTE NODES CLK_000_P_SYNC_9_:112 inst_CLK_000_NE:227 CLK_000_N_SYNC_11_:278 * +NOTE NODES cpu_est_2_:167 IPL_D0_0_:178 IPL_D0_1_:194 IPL_D0_2_:262 * +NOTE NODES SM_AMIGA_3_:161 inst_CLK_000_NE_D0:209 SM_AMIGA_0_:235 * +NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:260 SM_AMIGA_6_:229 * +NOTE NODES RST_DLY_0_:158 RST_DLY_1_:145 RST_DLY_2_:133 * +NOTE NODES RST_DLY_3_:163 RST_DLY_4_:170 RST_DLY_5_:139 * +NOTE NODES RST_DLY_6_:152 RST_DLY_7_:164 CLK_000_P_SYNC_0_:188 * +NOTE NODES CLK_000_P_SYNC_1_:166 CLK_000_P_SYNC_2_:142 CLK_000_P_SYNC_3_:160 * +NOTE NODES CLK_000_P_SYNC_4_:182 CLK_000_P_SYNC_5_:236 CLK_000_P_SYNC_6_:106 * +NOTE NODES CLK_000_P_SYNC_7_:122 CLK_000_P_SYNC_8_:116 CLK_000_N_SYNC_0_:230 * +NOTE NODES CLK_000_N_SYNC_1_:256 CLK_000_N_SYNC_2_:250 CLK_000_N_SYNC_3_:136 * +NOTE NODES CLK_000_N_SYNC_4_:130 pos_clk_un29_clk_000_ne_d0_i_n:176 * +NOTE NODES CLK_000_N_SYNC_5_:146 CLK_000_N_SYNC_6_:110 CLK_000_N_SYNC_7_:140 * +NOTE NODES CLK_000_N_SYNC_8_:266 CLK_000_N_SYNC_9_:224 CLK_000_N_SYNC_10_:272 * +NOTE NODES inst_CLK_030_H:115 SM_AMIGA_1_:151 SM_AMIGA_4_:223 * +NOTE NODES SM_AMIGA_2_:169 inst_DS_000_ENABLE:119 SM_AMIGA_i_7_:233 * +NOTE NODES CIIN_0:205 * +NOTE BLOCK 0 * +L000000 + 111011111011111111011111111111111111111111111111111111111111111111 + 111110111111111111111110111111111111111111111111111111111111111111 + 111111111111110111111111111111111111111111111111111111111111111111 + 111111111111011111111111111111111111111111111111111111111111111111 + 111111011110111111111111111111111111111111111111111101111111111111 + 011111111111111111111111111101011111111111111111111111111111111111 + 111111111111111111111111111111111111110111111111011111111011011111 + 111111111111111101111111111011111111011110111111110111111111111111 + 111111111111111111111111011111111010111111100111111111111111111111* +L000594 + 000000000000000000000000000000000000000000000000000000000000000000* +L000660 111111111111111111111111111111111011101111111111111111111111111111* +L000726 000000000000000000000000000000000000000000000000000000000000000000* +L000792 000000000000000000000000000000000000000000000000000000000000000000* +L000858 000000000000000000000000000000000000000000000000000000000000000000* +L000924 000000000000000000000000000000000000000000000000000000000000000000* +L000990 110111111111111111111111111111111111111111011111111111111111111111* +L001056 111111111111111111111111101011111101111111011111111111111111111111* +L001122 000000000000000000000000000000000000000000000000000000000000000000* +L001188 000000000000000000000000000000000000000000000000000000000000000000* +L001254 000000000000000000000000000000000000000000000000000000000000000000* +L001320 + 111111111111111111111111111111111111111111111111111111111111111111* +L001386 111111111111011111111111111111111011111110011011111111111111111111* +L001452 111111111111101111111111111111111011111110010111111111111111111111* +L001518 000000000000000000000000000000000000000000000000000000000000000000* +L001584 000000000000000000000000000000000000000000000000000000000000000000* +L001650 000000000000000000000000000000000000000000000000000000000000000000* +L001716 111101111111111111111111111111111111111111111111111111111111111111* +L001782 000000000000000000000000000000000000000000000000000000000000000000* +L001848 000000000000000000000000000000000000000000000000000000000000000000* +L001914 000000000000000000000000000000000000000000000000000000000000000000* +L001980 000000000000000000000000000000000000000000000000000000000000000000* +L002046 + 000000000000000000000000000000000000000000000000000000000000000000* +L002112 111111111111111111111111111111111111111111111111111111111111111111* +L002178 000000000000000000000000000000000000000000000000000000000000000000* +L002244 000000000000000000000000000000000000000000000000000000000000000000* +L002310 000000000000000000000000000000000000000000000000000000000000000000* +L002376 000000000000000000000000000000000000000000000000000000000000000000* +L002442 111111111111111111111111111111111111111111101111111111111111111111* +L002508 111111111111111111111111111111111111111101111111111111111111111111* +L002574 011111111111111111111111111111111111111111110111111111111111111111* +L002640 101111111111111111111111111111111111111111111011111111111111111111* +L002706 111111111111111111111111111111110111111111111111111111111111111111* +L002772 + 000000000000000000000000000000000000000000000000000000000000000000* +L002838 111111111111111111011111111111111111111111111111111111111111111111* +L002904 111111111111111101111111111111111111111111111111011111111111111111* +L002970 111111111011111111111111111111111111011111111111111111111011111111* +L003036 111111110111111111111111111111111111110111111111111111111011011111* +L003102 111111111111111111111111111111111111011011111111111111111011111111* +L003168 111111111111111111111111111101111111111111111111111111111111111111* +L003234 111111111111111111111111111111111111111111101111111111111111111111* +L003300 111111111111111111111111111111111111111101111111111111111111111111* +L003366 111111111011111111111111111111111111111111111111111111111111011111* +L003432 011111111111111111111111111111111111111111110111111111111111111111* +L003498 + 000000000000000000000000000000000000000000000000000000000000000000* +L003564 101111111111111111111111111111111111111111111011111111111111111111* +L003630 111111111111111111111111111111110111111111111111111111111111111111* +L003696 111111111111111101111111111111111111111111111111011111111111111111* +L003762 000000000000000000000000000000000000000000000000000000000000000000* +L003828 000000000000000000000000000000000000000000000000000000000000000000* +L003894 011111111011111110111111111111111011111110011011111111111111101111* +L003960 101111111011111110111111111111111011111110010111111111111111101111* +L004026 011111111111111110111111111111111011110110011011111111111111111111* +L004092 101111111111111110111111111111111011110110010111111111111111111111* +L004158 011111111011111111111111111111111011111110011011101111111111101111* +L004224 + 000000000000000000000000000000000000000000000000000000000000000000* +L004290 111111011111111111111111111111111111111111111111111111111111111111* +L004356 101111111011111111111111111111111011111110010111101111111111101111* +L004422 011111111111111111111111111111111011110110011011101111111111111111* +L004488 101111111111111111111111111111111011110110010111101111111111111111* +L004554 000000000000000000000000000000000000000000000000000000000000000000* +L004620 111111111111111111111111111111111111111111111111111111111111111111* +L004686 111111111111111111111111111111111111111111111111111111111111111111* +L004752 111111111111111111111111111111111111111111111111111111111111111111* +L004818 111111111111111111111111111111111111111111111111111111111111111111* +L004884 111111111111111111111111111111111111111111111111111111111111111111* +L004950 + 000000000000000000000000000000000000000000000000000000000000000000* +L005016 111011111111110111111111111111111111111111011111111111111111111111* +L005082 111111111111111111111111111011011101111111011111111111111111111111* +L005148 110111111111111111111101111111111111111111011111111111111111111111* +L005214 000000000000000000000000000000000000000000000000000000000000000000* +L005280 000000000000000000000000000000000000000000000000000000000000000000* +L005346 011111111111111111111111111111111011111110011011111111111111111111* +L005412 101111111111011111111111111111111011111110010111111111111111111111* +L005478 011111111111101111111111111111111011111110011111111111111111111111* +L005544 000000000000000000000000000000000000000000000000000000000000000000* +L005610 000000000000000000000000000000000000000000000000000000000000000000* +L005676 + 111111111110111111111111111111111111111110111111111101111111111111* +L005742 111111111111111111111111111111111111111111111111110111111111111111* +L005808 111111111111111111111111111111111111111111111111111111111111111111* +L005874 111111111111111111111111111111111111111111111111111111111111111111* +L005940 111111111111111111111111111111111111111111111111111111111111111111* +L006006 111111111111111111111111111111111111111111111111111111111111111111* +L006072 111111111111111111111111111111111111111111111111111111111111111111* +L006138 111111111111111111111111111111111111111111111111111111111111111111* +L006204 111111111111111111111111111111111111111111111111111111111111111111* +L006270 111111111111111111111111111111111111111111111111111111111111111111* +L006336 111111111111111111111111111111111111111111111111111111111111111111* +L006402 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L006534 0010* +L006538 01100011111000* +L006552 11100110010011* +L006566 10100110010101* +L006580 00100110011111* +L006594 00101111111000* +L006608 10100110010010* +L006622 00110110010000* +L006636 00010110010011* +L006650 10100110010000* +L006664 10100110010010* +L006678 00110110010000* +L006692 11101011110011* +L006706 10100110010001* +L006720 10100110010011* +L006734 00010110010100* +L006748 11101111110010* +NOTE BLOCK 1 * +L006762 + 111111011111110111111111011111111111111110111111111111110111111111 + 111111111111011111111111111111111111011111111101111110111101111111 + 110111111011111101011111111111111111111111101111111111111111110111 + 111101111110111111111011111111111011110111111111111111011111111111 + 011111111111111111111111111111111101111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111101011111111111111111111111111111111111 + 111111111111111111111111110111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111101111111111111111* +L007356 + 111111111111111111111111111111111111111111111111111111111111111111* +L007422 011111111111111111111111111111111111111111111111011111111111111111* +L007488 111101111111010111010111111111111111011111111101011111110111110111* +L007554 000000000000000000000000000000000000000000000000000000000000000000* +L007620 000000000000000000000000000000000000000000000000000000000000000000* +L007686 000000000000000000000000000000000000000000000000000000000000000000* +L007752 111111111111111111111111111111111111111101111111111111111111111111* +L007818 000000000000000000000000000000000000000000000000000000000000000000* +L007884 000000000000000000000000000000000000000000000000000000000000000000* +L007950 000000000000000000000000000000000000000000000000000000000000000000* +L008016 000000000000000000000000000000000000000000000000000000000000000000* +L008082 + 111111111111111111111111111111111111111111111111111111111111111111* +L008148 111111011111111111111111111111111111111111111111111111111111111111* +L008214 000000000000000000000000000000000000000000000000000000000000000000* +L008280 000000000000000000000000000000000000000000000000000000000000000000* +L008346 000000000000000000000000000000000000000000000000000000000000000000* +L008412 000000000000000000000000000000000000000000000000000000000000000000* +L008478 110111111111111111111111111111111111111111111111111111111111111111* +L008544 111111111011111111111111110111110101111111011111011110111111111111* +L008610 111111111011111111111111111011111001111111011111011110111111111111* +L008676 111111111011111111111111110111110110111111101111011110111111111111* +L008742 111111111011111111111111111011111010111111101111011110111111111111* +L008808 + 111111111111111111111111111111111111111111111111111111111111111111* +L008874 111111111011111111111111111111111111111111111111011111101111111111* +L008940 111111111111111111111111110111111011111111111111011111101111111111* +L009006 111111111111111111111111111011110111111111111111011111101111111111* +L009072 111111111111111111111111111111111101111111101111011111101111111111* +L009138 111111111111111111111111111111111110111111011111011111101111111111* +L009204 111111111111111111111011111111111111111111111111011111111111110111* +L009270 111111111111111111101111111111111111111111111111011111111111110111* +L009336 111111111111111111111111111111111111111111111111011111111011110111* +L009402 111111111111111111010111111111111111111111111111011111110111111011* +L009468 111101111111010111111111111111111111011111111101011111111111110111* +L009534 + 111111111111111111111111111111111111111111111111111111111111111111* +L009600 111111111111111111111111111110111111111111111111011111111111111111* +L009666 111111111111111111111111111111111111111111111111011110101111111111* +L009732 000000000000000000000000000000000000000000000000000000000000000000* +L009798 000000000000000000000000000000000000000000000000000000000000000000* +L009864 000000000000000000000000000000000000000000000000000000000000000000* +L009930 111111111101111111111111111111111111111111111111111111111111111111* +L009996 000000000000000000000000000000000000000000000000000000000000000000* +L010062 000000000000000000000000000000000000000000000000000000000000000000* +L010128 000000000000000000000000000000000000000000000000000000000000000000* +L010194 000000000000000000000000000000000000000000000000000000000000000000* +L010260 + 000000000000000000000000000000000000000000000000000000000000000000* +L010326 111111111011111111111111111011111001111111011111011110111111111111* +L010392 111111111011111111111111111011111010111111101111011110111111111111* +L010458 111111110111111111111111111011111010111111101111011101111111111111* +L010524 111111110111111111111111111011111001111111011111011101111111111111* +L010590 111111111111111110111111111111111011111111111111011111111111111111* +L010656 111111111111111110111111111011111111111111111111011111111111111111* +L010722 111111111111111110111111111111111101111111101111011111111111111111* +L010788 111111111111111110111111111111111110111111011111011111111111111111* +L010854 111111111011111110111111111111111111111111111111011101111111111111* +L010920 111111110111111110111111111111111111111111111111011110111111111111* +L010986 + 000000000000000000000000000000000000000000000000000000000000000000* +L011052 111111111111111111111111111111011111111111111111111111111111111111* +L011118 000000000000000000000000000000000000000000000000000000000000000000* +L011184 000000000000000000000000000000000000000000000000000000000000000000* +L011250 000000000000000000000000000000000000000000000000000000000000000000* +L011316 000000000000000000000000000000000000000000000000000000000000000000* +L011382 111111111111111111111111111111111111111111111111111111111101111111* +L011448 111111111111011111111111111111111111111111111111101111111111111111* +L011514 111111111111100111010111111111111111111111111101011111110111110111* +L011580 111110111111010111010111111111111111111111111101111111110111110111* +L011646 111111111111010111010111111111111111101111111101111111110111110111* +L011712 + 000000000000000000000000000000000000000000000000000000000000000000* +L011778 111111111011111111111111110111110110111111101111011110111111111111* +L011844 111111111011111111111111111011111010111111101111011110111111111111* +L011910 111111110111111111111111110111110110111111101111011101111111111111* +L011976 111111110111111111111111111011111010111111101111011101111111111111* +L012042 111111111111111111111111101111111111111111101111011111111111111111* +L012108 111111111111111111111111100111111011111111111111011111111111111111* +L012174 111111111111111111111111101011110111111111111111011111111111111111* +L012240 111111111111111111111111101111111110111111111111011111111111111111* +L012306 111111111011111111111111101111111111111111111111011101111111111111* +L012372 111111110111111111111111101111111111111111111111011110111111111111* +L012438 + 111111111111111111111111111111111111111111111111111111111111111111* +L012504 111111111111111111111111111111111111110111111111111111111111111111* +L012570 111111111111111111111111111111111111111111111111111111111111111111* +L012636 111111111111111111111111111111111111111111111111111111111111111111* +L012702 111111111111111111111111111111111111111111111111111111111111111111* +L012768 111111111111111111111111111111111111111111111111111111111111111111* +L012834 111111111111111111111011111111111111111111111111011111110111111111* +L012900 111111111111111111101111111111111111111111111111011111110111111111* +L012966 111111111111111111010111111111111111111111111111011111111011111111* +L013032 111101111111010111111111111111111111011111111101011111110111110111* +L013098 000000000000000000000000000000000000000000000000000000000000000000* +L013164 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L013296 0010* +L013300 10100110011000* +L013314 00101011111110* +L013328 00100110010101* +L013342 00010110011111* +L013356 11100110010010* +L013370 10100110011110* +L013384 01000110010110* +L013398 00100110011111* +L013412 11100110011001* +L013426 10110111010011* +L013440 00100110010000* +L013454 00000110010010* +L013468 11100110011000* +L013482 10110110010011* +L013496 00010110010101* +L013510 11000011111111* +NOTE BLOCK 2 * +L013524 + 111111110111111111111111111111111111111111011111111111111111010111 + 111111111111011111111111110111111101011111111111111111111111111111 + 011111111111111111011111111111110111111111111101111111111111111111 + 111111111111110111111010011101111111111111111011111111111111111101 + 110111101111111111111111111111101111111111111111111111111111111111 + 111101111111111111111111111111111111111011111111111111111101111111 + 111111111111111110111111111111111111111111111111111111110111111111 + 111111111111111111111111111111111111111110111111111111111111111111 + 111111111111111111111111111111111111111111111111101001111111111111* +L014118 + 000000000000000000000000000000000000000000000000000000000000000000* +L014184 111111111111111111111111101111111111111110111111111111111111111111* +L014250 000000000000000000000000000000000000000000000000000000000000000000* +L014316 000000000000000000000000000000000000000000000000000000000000000000* +L014382 000000000000000000000000000000000000000000000000000000000000000000* +L014448 000000000000000000000000000000000000000000000000000000000000000000* +L014514 111011111111111111111111111101111111111111111111011111111111011111* +L014580 110111111111111111111011111111111111111111111111010111111111111111* +L014646 110111111111111111111111111111111111111111111111010111111111011111* +L014712 000000000000000000000000000000000000000000000000000000000000000000* +L014778 000000000000000000000000000000000000000000000000000000000000000000* +L014844 + 000000000000000000000000000000000000000000000000000000000000000000* +L014910 111111111111111111111111111111111111111111111111101111111111111101* +L014976 011111111111011111010111110111111111111111011111011111111111110110* +L015042 011111111111011111010111110111111111101111011111111111111111110101* +L015108 000000000000000000000000000000000000000000000000000000000000000000* +L015174 000000000000000000000000000000000000000000000000000000000000000000* +L015240 111111011111111111111111111111111111111110111111011111111111111111* +L015306 111111111111111111111111101111111111110101111111011111111111111111* +L015372 000000000000000000000000000000000000000000000000000000000000000000* +L015438 000000000000000000000000000000000000000000000000000000000000000000* +L015504 000000000000000000000000000000000000000000000000000000000000000000* +L015570 + 000000000000000000000000000000000000000000000000000000000000000000* +L015636 111111111111111111111111111111111111111111111111111111110111111111* +L015702 000000000000000000000000000000000000000000000000000000000000000000* +L015768 000000000000000000000000000000000000000000000000000000000000000000* +L015834 000000000000000000000000000000000000000000000000000000000000000000* +L015900 000000000000000000000000000000000000000000000000000000000000000000* +L015966 111111111111111001111111111111111111111111111111111111111111111111* +L016032 111111111111110110111111111111111111111111111111111111111111111111* +L016098 000000000000000000000000000000000000000000000000000000000000000000* +L016164 000000000000000000000000000000000000000000000000000000000000000000* +L016230 000000000000000000000000000000000000000000000000000000000000000000* +L016296 + 000000000000000000000000000000000000000000000000000000000000000000* +L016362 111111111111111111011011111111111111111111111111011111111111111111* +L016428 111111111111111111100111111111111111111111111111011111111111111111* +L016494 011111111111011111011111110111111111011111011111011111111111110101* +L016560 000000000000000000000000000000000000000000000000000000000000000000* +L016626 000000000000000000000000000000000000000000000000000000000000000000* +L016692 111111111111111111111111111111111101111111111111111111111111111111* +L016758 000000000000000000000000000000000000000000000000000000000000000000* +L016824 000000000000000000000000000000000000000000000000000000000000000000* +L016890 000000000000000000000000000000000000000000000000000000000000000000* +L016956 000000000000000000000000000000000000000000000000000000000000000000* +L017022 + 000000000000000000000000000000000000000000000000000000000000000000* +L017088 111111111111111111111111111111110111111111111111101111111111111111* +L017154 111111111111111111111111111101011011111111111111011111111111111111* +L017220 111111111111111101111111111111100111111111111110111111111101111111* +L017286 111111110111111001111101111111100111111111111011111110111110111111* +L017352 111111111111111111111111111111110111111111111111111011111111111111* +L017418 111111111111111111111011110111111111111111111111011111111111111111* +L017484 111111111111111111101111110111111111111111111111011111111111111111* +L017550 111111111111111111111111110111111111111111101111011111111111111111* +L017616 101111111111111111111111110111111111111111111111011111111111111111* +L017682 011111111111111111010111111011111111111111011111011111111111111111* +L017748 + 111111111111111111111111111111111111111111111111111111111111111111* +L017814 011111111111011111010111110111111111111111011111011111111111110101* +L017880 111111111111111111111111111111111111011111111111011111111111111111* +L017946 000000000000000000000000000000000000000000000000000000000000000000* +L018012 000000000000000000000000000000000000000000000000000000000000000000* +L018078 000000000000000000000000000000000000000000000000000000000000000000* +L018144 111101111111111111111111111111111111111111111111111111111111111111* +L018210 111111111111011111111111110111111111011111111111011111111111110101* +L018276 000000000000000000000000000000000000000000000000000000000000000000* +L018342 000000000000000000000000000000000000000000000000000000000000000000* +L018408 000000000000000000000000000000000000000000000000000000000000000000* +L018474 + 000000000000000000000000000000000000000000000000000000000000000000* +L018540 111111110111111111111111111111111111111111110111111111111111111111* +L018606 111111111111110101111101111111111111111111111111111111111111111111* +L018672 111111111111111001111111111111111111111111111011111111111111111111* +L018738 111111110111111110111111111111111111111111111111111111111111111111* +L018804 000000000000000000000000000000000000000000000000000000000000000000* +L018870 111111111111111101111111111111110111111111111110011111111101111111* +L018936 111111110111111001111101111111110111111111111011011110111110111111* +L019002 111111111111111111111111111111110111111111111111011111111111011111* +L019068 111111111111111111111111111110111111111111111111010111111111011111* +L019134 000000000000000000000000000000000000000000000000000000000000000000* +L019200 + 000000000000000000000000000000000000000000000000000000000000000000* +L019266 011111111111011111010111110111111111011111011111011111111111110101* +L019332 111111111111111111111111111111111111111111111111101111111111110111* +L019398 011111111111111111010111110111111111111111011111011111111111111111* +L019464 000000000000000000000000000000000000000000000000000000000000000000* +L019530 000000000000000000000000000000000000000000000000000000000000000000* +L019596 111111111111111111111111111111111111111111111111111111111111111111* +L019662 111111111111111111111111111111111111111111111111111111111111111111* +L019728 111111111111111111111111111111111111111111111111111111111111111111* +L019794 111111111111111111111111111111111111111111111111111111111111111111* +L019860 111111111111111111111111111111111111111111111111111111111111111111* +L019926 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L020058 0010* +L020062 01100011111000* +L020076 10100110010011* +L020090 10100111010001* +L020104 11100110010011* +L020118 00100110010000* +L020132 10100110010010* +L020146 10100110010000* +L020160 00100110010011* +L020174 10100111010001* +L020188 10100110010011* +L020202 10100110010110* +L020216 00000110011110* +L020230 10100110010000* +L020244 10100110010011* +L020258 00100111010001* +L020272 11100011111111* +NOTE BLOCK 3 * +L020286 + 111111111111111111111111101111111111111111111101111111111111111111 + 111111111111111111111111111101111111111111111011111111101111111111 + 111111111111111111111101111111011111111110101111111111111111111111 + 111110101111011111110111111111111011111111111111111111110110111111 + 111111111101111111111111111111111111111111111111111001111111111111 + 110111111111111111011111111111111111111111111111111111111111111111 + 111111111111110110111111111111111111111111111111111111111111111111 + 101111111011111111111111111111111111111111111111111111111111111111 + 111111111111111111111111110111111101011111111111101111111111111111* +L020880 + 111111111111111111111111111111111111111111111111111111111111111111* +L020946 111111111111111111111111111111111111101111111111101111111111111111* +L021012 111110011111011111111111111111111111101111111101111111111011111111* +L021078 111010101111111111111111111111111111011111111101011111110101111111* +L021144 000000000000000000000000000000000000000000000000000000000000000000* +L021210 000000000000000000000000000000000000000000000000000000000000000000* +L021276 111111110111111111110111111110111111111111111111010111111111111111* +L021342 111111111111111111111111111110111110111111111111011111111111111111* +L021408 000000000000000000000000000000000000000000000000000000000000000000* +L021474 000000000000000000000000000000000000000000000000000000000000000000* +L021540 000000000000000000000000000000000000000000000000000000000000000000* +L021606 + 111111111111111111111111111111111111111111111111111111111111111111* +L021672 110111111111111101111110111111111111111111111111111111111111111111* +L021738 111001101111111101111111111111111111101111111101111111111011111111* +L021804 000000000000000000000000000000000000000000000000000000000000000000* +L021870 000000000000000000000000000000000000000000000000000000000000000000* +L021936 000000000000000000000000000000000000000000000000000000000000000000* +L022002 111111111111111111111111111111111011111111111111011111111111111111* +L022068 000000000000000000000000000000000000000000000000000000000000000000* +L022134 000000000000000000000000000000000000000000000000000000000000000000* +L022200 000000000000000000000000000000000000000000000000000000000000000000* +L022266 000000000000000000000000000000000000000000000000000000000000000000* +L022332 + 111111111111111111111111111111111111111111111111111111111111111111* +L022398 101111111111111111111111111111111111111111110111111111111111111111* +L022464 011111111111111111111111111111111111111110111111111111111111111111* +L022530 000000000000000000000000000000000000000000000000000000000000000000* +L022596 000000000000000000000000000000000000000000000000000000000000000000* +L022662 000000000000000000000000000000000000000000000000000000000000000000* +L022728 000000000000000000000000000000000000000000000000000000000000000000* +L022794 000000000000000000000000000000000000000000000000000000000000000000* +L022860 000000000000000000000000000000000000000000000000000000000000000000* +L022926 000000000000000000000000000000000000000000000000000000000000000000* +L022992 000000000000000000000000000000000000000000000000000000000000000000* +L023058 + 011111111111111111111111111111111111111111111111111101111111111111* +L023124 111111111111111111111111111111011111111111111111111111111111111111* +L023190 000000000000000000000000000000000000000000000000000000000000000000* +L023256 000000000000000000000000000000000000000000000000000000000000000000* +L023322 000000000000000000000000000000000000000000000000000000000000000000* +L023388 000000000000000000000000000000000000000000000000000000000000000000* +L023454 111111111110111111111111111111111111111111111111011111111111111111* +L023520 000000000000000000000000000000000000000000000000000000000000000000* +L023586 000000000000000000000000000000000000000000000000000000000000000000* +L023652 000000000000000000000000000000000000000000000000000000000000000000* +L023718 000000000000000000000000000000000000000000000000000000000000000000* +L023784 + 011111111111111111111111111111111111111111111111111101111111111111* +L023850 111111111110111111011111101111111111111111111111111111111111111111* +L023916 000000000000000000000000000000000000000000000000000000000000000000* +L023982 000000000000000000000000000000000000000000000000000000000000000000* +L024048 000000000000000000000000000000000000000000000000000000000000000000* +L024114 000000000000000000000000000000000000000000000000000000000000000000* +L024180 111111111111111111110111111111111111111111111111111111111111111111* +L024246 000000000000000000000000000000000000000000000000000000000000000000* +L024312 000000000000000000000000000000000000000000000000000000000000000000* +L024378 000000000000000000000000000000000000000000000000000000000000000000* +L024444 000000000000000000000000000000000000000000000000000000000000000000* +L024510 + 000000000000000000000000000000000000000000000000000000000000000000* +L024576 111111111111111011110111111111111111111111111111111111111111111111* +L024642 111111111111111111111111111111111111111111111111111111111111111111* +L024708 111111111111111111111111111111111111111111111111111111111111111111* +L024774 111111111111111111111111111111111111111111111111111111111111111111* +L024840 111111111111111111111111111111111111111111111111111111111111111111* +L024906 111111111111111111111111111111111111111111111111111111111111111111* +L024972 111111111111111111111111111111111111111111111111111111111111111111* +L025038 111111111111111111111111111111111111111111111111111111111111111111* +L025104 111111111111111111111111111111111111111111111111111111111111111111* +L025170 111111111111111111111111111111111111111111111111111111111111111111* +L025236 + 111111111111111111111111111111111111111111111111111111111111111111* +L025302 111111111110111111011111111111111111111111111111111111101111111111* +L025368 111111111111111111111111111111111111111111111111111111111111111111* +L025434 111111111111111111111111111111111111111111111111111111111111111111* +L025500 111111111111111111111111111111111111111111111111111111111111111111* +L025566 111111111111111111111111111111111111111111111111111111111111111111* +L025632 111111111111111111111111111011111111111111111111011111111111111111* +L025698 111111111111111111111111111111111111111111111111111111111111111111* +L025764 111111111111111111111111111111111111111111111111111111111111111111* +L025830 111111111111111111111111111111111111111111111111111111111111111111* +L025896 111111111111111111111111111111111111111111111111111111111111111111* +L025962 + 000000000000000000000000000000000000000000000000000000000000000000* +L026028 111111111111111111111111111111111111111111101111011111111111111111* +L026094 111111111111111111111111111111111111111111111111111111111111111111* +L026160 111111111111111111111111111111111111111111111111111111111111111111* +L026226 111111111111111111111111111111111111111111111111111111111111111111* +L026292 111111111111111111111111111111111111111111111111111111111111111111* +L026358 111111111111111111111111111111111111111111111111111111111111111111* +L026424 111111111111111111111111111111111111111111111111111111111111111111* +L026490 111111111111111111111111111111111111111111111111111111111111111111* +L026556 111111111111111111111111111111111111111111111111111111111111111111* +L026622 111111111111111111111111111111111111111111111111111111111111111111* +L026688 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L026820 0010* +L026824 10100111010000* +L026838 11100110011110* +L026852 11101111110100* +L026866 01100110011111* +L026880 10101111111000* +L026894 00101011111110* +L026908 00100110010100* +L026922 01100110010011* +L026936 01101111110010* +L026950 00100110010010* +L026964 00010110010001* +L026978 11100011110011* +L026992 01111011111010* +L027006 01000110011110* +L027020 01010110010000* +L027034 11101111110011* +NOTE BLOCK 4 * +L027048 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111110111111111110111111111111111 + 111111111111101111111111110101111111111111110111111111111111111111 + 111011111111111110111011111111111101111111011111111111111111111111 + 011111111110110111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111011111111101 + 111110111111111111111111111111111111111111111111111101111011110111 + 111111101011111111101111111111011111111111111110111111111101111111 + 111111111111111111111101011111111011101110111111111111111111111111* +L027642 + 110111111111011101101101111111111111111111111111111110101111111001* +L027708 000000000000000000000000000000000000000000000000000000000000000000* +L027774 000000000000000000000000000000000000000000000000000000000000000000* +L027840 000000000000000000000000000000000000000000000000000000000000000000* +L027906 000000000000000000000000000000000000000000000000000000000000000000* +L027972 000000000000000000000000000000000000000000000000000000000000000000* +L028038 111111111110111111111111111111111011111111111110111111110111111111* +L028104 111111111111111111111111111111111111111111111101111111111011111111* +L028170 000000000000000000000000000000000000000000000000000000000000000000* +L028236 000000000000000000000000000000000000000000000000000000000000000000* +L028302 000000000000000000000000000000000000000000000000000000000000000000* +L028368 + 011111111111111111111111111111111111111111111101111111111111111111* +L028434 111111111111111111111111111111111111111111111111111111111111111111* +L028500 111111111111111111111111111111111111111111111111111111111111111111* +L028566 111111111111111111111111111111111111111111111111111111111111111111* +L028632 111111111111111111111111111111111111111111111111111111111111111111* +L028698 111111111111111111111111111111111111111111111111111111111111111111* +L028764 111111111111111111111111111111111111111111111111111111111111111111* +L028830 111111111111111111111111111111111111111111111111111111111111111111* +L028896 111111111111111111111111111111111111111111111111111111111111111111* +L028962 111111111111111111111111111111111111111111111111111111111111111111* +L029028 111111111111111111111111111111111111111111111111111111111111111111* +L029094 + 000000000000000000000000000000000000000000000000000000000000000000* +L029160 111111111111111111101111101111111111111111111111111111111111111111* +L029226 111111111111111111111111111111111111111111111111111111111111111111* +L029292 111111111111111111111111111111111111111111111111111111111111111111* +L029358 111111111111111111111111111111111111111111111111111111111111111111* +L029424 111111111111111111111111111111111111111111111111111111111111111111* +L029490 111111111011111011111111111010011110011001101011111011111101111111* +L029556 111111111101111111111111111111111111111111111111111111111111111111* +L029622 000000000000000000000000000000000000000000000000000000000000000000* +L029688 000000000000000000000000000000000000000000000000000000000000000000* +L029754 000000000000000000000000000000000000000000000000000000000000000000* +L029820 + 000000000000000000000000000000000000000000000000000000000000000000* +L029886 111111111111111111111111111111111111111111111111111111111111111111* +L029952 111111111111111111111111111111111111111111111111111111111111111111* +L030018 111111111111111111111111111111111111111111111111111111111111111111* +L030084 111111111111111111111111111111111111111111111111111111111111111111* +L030150 111111111111111111111111111111111111111111111111111111111111111111* +L030216 111111111111111111111111111111111111111111111111111111111111111111* +L030282 111111111111111111111111111111111111111111111111111111111111111111* +L030348 111111111111111111111111111111111111111111111111111111111111111111* +L030414 111111111111111111111111111111111111111111111111111111111111111111* +L030480 111111111111111111111111111111111111111111111111111111111111111111* +L030546 + 000000000000000000000000000000000000000000000000000000000000000000* +L030612 111111111111111111110111111111111111111111111111111111111111111111* +L030678 111111111111111111111111111111111111111111111111111111111111111111* +L030744 111111111111111111111111111111111111111111111111111111111111111111* +L030810 111111111111111111111111111111111111111111111111111111111111111111* +L030876 111111111111111111111111111111111111111111111111111111111111111111* +L030942 111110111111111111111111111111111111111111111111111111111111111111* +L031008 111111111111111111111111111111111111111111111111111111111111111111* +L031074 111111111111111111111111111111111111111111111111111111111111111111* +L031140 111111111111111111111111111111111111111111111111111111111111111111* +L031206 111111111111111111111111111111111111111111111111111111111111111111* +L031272 + 000000000000000000000000000000000000000000000000000000000000000000* +L031338 111111111111111111111111111111111111111111111111111111111111111111* +L031404 111111111111111111111111111111111111111111111111111111111111111111* +L031470 111111111111111111111111111111111111111111111111111111111111111111* +L031536 111111111111111111111111111111111111111111111111111111111111111111* +L031602 111111111111111111111111111111111111111111111111111111111111111111* +L031668 111111111111111111111111111111111111111111111111111111111111111111* +L031734 111111111111111111111111111111111111111111111111111111111111111111* +L031800 111111111111111111111111111111111111111111111111111111111111111111* +L031866 111111111111111111111111111111111111111111111111111111111111111111* +L031932 111111111111111111111111111111111111111111111111111111111111111111* +L031998 + 111111011111111111111111111111111111111111111111111111111111111111* +L032064 111111111011111011111111111010011110011001101011111011111101111111* +L032130 111111111111111111111111111111111111111111111111111111111111111111* +L032196 111111111111111111111111111111111111111111111111111111111111111111* +L032262 111111111111111111111111111111111111111111111111111111111111111111* +L032328 111111111111111111111111111111111111111111111111111111111111111111* +L032394 111101111111111111111111111111111111111111111111111111111111111111* +L032460 111111111111111111111111111111111111111111111111111111111111111111* +L032526 111111111111111111111111111111111111111111111111111111111111111111* +L032592 111111111111111111111111111111111111111111111111111111111111111111* +L032658 111111111111111111111111111111111111111111111111111111111111111111* +L032724 + 111111111111111111111111111111111111111111111111111111111111111111* +L032790 111111111111111111111111111111111111111111111111111111111111111111* +L032856 111111111111111111111111111111111111111111111111111111111111111111* +L032922 111111111111111111111111111111111111111111111111111111111111111111* +L032988 111111111111111111111111111111111111111111111111111111111111111111* +L033054 111111111111111111111111111111111111111111111111111111111111111111* +L033120 111111111111111111111111111111111111111111111111111111111111111111* +L033186 111111111111111111111111111111111111111111111111111111111111111111* +L033252 111111111111111111111111111111111111111111111111111111111111111111* +L033318 111111111111111111111111111111111111111111111111111111111111111111* +L033384 111111111111111111111111111111111111111111111111111111111111111111* +L033450 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L033582 0010* +L033586 00100011110000* +L033600 10101111110011* +L033614 11011011110100* +L033628 11110011110010* +L033642 01111111111001* +L033656 10101011111111* +L033670 11011111110000* +L033684 11111011111111* +L033698 00110110010000* +L033712 00000110011110* +L033726 11011111110001* +L033740 11110011111110* +L033754 00111011110000* +L033768 00000110011110* +L033782 11010111111101* +L033796 11111111111110* +NOTE BLOCK 5 * +L033810 + 011111111111111111111011111111101110111111111111111111111111111111 + 111111111101111111111111111111111111111111111111111111111111111110 + 111110111111100111101111111111111111111111111111111111111111111111 + 111111111111111110111111101110111111011111111111111111111101111111 + 111111111111111111111111111111111111111111110111111111111111101011 + 111111111111111111111111111111111111111011111111111111011111111111 + 111111110111111111111101111111111111111111111111110111111111111111 + 111111111111111111111111111011110111111110101111111111111111111111 + 111011011111111111111111111111111111111111111111101111111111111111* +L034404 + 000000000000000000000000000000000000000000000000000000000000000000* +L034470 111111111110111111111111111111111111111111111111011111111111111111* +L034536 000000000000000000000000000000000000000000000000000000000000000000* +L034602 000000000000000000000000000000000000000000000000000000000000000000* +L034668 000000000000000000000000000000000000000000000000000000000000000000* +L034734 000000000000000000000000000000000000000000000000000000000000000000* +L034800 111111111111111111111111111101111101111111111111011111111111111111* +L034866 111111111111111111111111111111111101111111111111011111111111011111* +L034932 110111111111111111111111111111111111111111111111011111111110011111* +L034998 000000000000000000000000000000000000000000000000000000000000000000* +L035064 000000000000000000000000000000000000000000000000000000000000000000* +L035130 + 000000000000000000000000000000000000000000000000000000000000000000* +L035196 111111111111111111110111111111111111111111111111111111111111111111* +L035262 111111111111111111111111111111111111111111111111111111111111111111* +L035328 111111111111111111111111111111111111111111111111111111111111111111* +L035394 111111111111111111111111111111111111111111111111111111111111111111* +L035460 111111111111111111111111111111111111111111111111111111111111111111* +L035526 111111111111111111111111111111111111111111111111111111111111111111* +L035592 111111111111111111111111111111111111111111111111111111111111111111* +L035658 111111111111111111111111111111111111111111111111111111111111111111* +L035724 111111111111111111111111111111111111111111111111111111111111111111* +L035790 111111111111111111111111111111111111111111111111111111111111111111* +L035856 + 000000000000000000000000000000000000000000000000000000000000000000* +L035922 111111111111111111111111111111111111111111011111111111111111111111* +L035988 111111111111111111111111111111111111111111111111111111111111111111* +L036054 111111111111111111111111111111111111111111111111111111111111111111* +L036120 111111111111111111111111111111111111111111111111111111111111111111* +L036186 111111111111111111111111111111111111111111111111111111111111111111* +L036252 111110111111111111111111111111101111101111111111010111111111110111* +L036318 111110111111111111011111111111111111111111111111011111111111111111* +L036384 110111111111111111011111111111111111111111111111011111111110111111* +L036450 000000000000000000000000000000000000000000000000000000000000000000* +L036516 000000000000000000000000000000000000000000000000000000000000000000* +L036582 + 000000000000000000000000000000000000000000000000000000000000000000* +L036648 111111111111111111111111111111111111101111111111110111111111111111* +L036714 111111111111111111111111111111111111111111111111111111111111111111* +L036780 111111111111111111111111111111111111111111111111111111111111111111* +L036846 111111111111111111111111111111111111111111111111111111111111111111* +L036912 111111111111111111111111111111111111111111111111111111111111111111* +L036978 111111111111111111111111111111111111111111111111101111111111111111* +L037044 111111111111111111111111111111111111111111111111111111111101111101* +L037110 101111111111111011101111111111111110111111111011111111111111101010* +L037176 101111111111111011101111111111011110111111111011111111111111101110* +L037242 101111111111111011101111111111111110111111111011111011111111101110* +L037308 + 000000000000000000000000000000000000000000000000000000000000000000* +L037374 101111111111111011101111111111111110011111111011111111111111101110* +L037440 111011111111111111111111111110111101111111111111111111111111111111* +L037506 111011111111111111111111111111111111111111111111111111111111111101* +L037572 111011111111111111011111111111111111111111111111111111111110111111* +L037638 111011011111110111111111111111111111111111111111111111111111111111* +L037704 111111111111111111111111111101111111111111110111011111111111111110* +L037770 110111111111111111111111111111111111111111111111011111111110111101* +L037836 000000000000000000000000000000000000000000000000000000000000000000* +L037902 000000000000000000000000000000000000000000000000000000000000000000* +L037968 000000000000000000000000000000000000000000000000000000000000000000* +L038034 + 000000000000000000000000000000000000000000000000000000000000000000* +L038100 111111111111111111111111111111110111111111111111111111111111111111* +L038166 111011111111111111111111111110111111111111110111111111111111111111* +L038232 111011111111111111111111111111111111111111111111111111111110011111* +L038298 011011111111111111111111111111111111111111111111111111111110111111* +L038364 000000000000000000000000000000000000000000000000000000000000000000* +L038430 111111111111111111111111111111111111111111111111111111111111111111* +L038496 111111111111111111111111111111111111111111111111111111111111111111* +L038562 111111111111111111111111111111111111111111111111111111111111111111* +L038628 111111111111111111111111111111111111111111111111111111111111111111* +L038694 111111111111111111111111111111111111111111111111111111111111111111* +L038760 + 000000000000000000000000000000000000000000000000000000000000000000* +L038826 111111111111111111011111111111111110111111111111011111111101111111* +L038892 110111111111111111111111111110111101111111111111011111111111111111* +L038958 110111111111111111011111111111111101111111111111011111111111111111* +L039024 000000000000000000000000000000000000000000000000000000000000000000* +L039090 000000000000000000000000000000000000000000000000000000000000000000* +L039156 110111111111111111111111111011101111111111111111011111111111111111* +L039222 110110111111111111111111101011111111110101111111011111111111110111* +L039288 110110111111111111111111111011111111110101111111011111011111110111* +L039354 110110110111111111111111111011111111110101111111011111111111110111* +L039420 110110111111111110111111111011111111110101111111011111111111110111* +L039486 + 000000000000000000000000000000000000000000000000000000000000000000* +L039552 110110111111111111111101111011111111110101111111011111111111110111* +L039618 110110111111101111111111111011111111110101111111011111111111110111* +L039684 000000000000000000000000000000000000000000000000000000000000000000* +L039750 000000000000000000000000000000000000000000000000000000000000000000* +L039816 000000000000000000000000000000000000000000000000000000000000000000* +L039882 111111111111111111111111111111111111111111111111111111111111111111* +L039948 111111111111111111111111111111111111111111111111111111111111111111* +L040014 111111111111111111111111111111111111111111111111111111111111111111* +L040080 111111111111111111111111111111111111111111111111111111111111111111* +L040146 111111111111111111111111111111111111111111111111111111111111111111* +L040212 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L040344 0010* +L040348 01100110011110* +L040362 10100110010010* +L040376 00010110011110* +L040390 11100011110011* +L040404 00110110011111* +L040418 10100110010011* +L040432 00010110011110* +L040446 11011111110011* +L040460 11100110011111* +L040474 10100110010011* +L040488 00000110011110* +L040502 11101011111110* +L040516 10100110011110* +L040530 11100110011111* +L040544 11111111111110* +L040558 11110011111110* +NOTE BLOCK 6 * +L040572 + 111111111111111111111111111111011111111111111111111011111111111111 + 111101111110111111111111111110111111111111111111111111101111111111 + 111011111111111111101011101111111111101111111110111111111111101111 + 111111111011110111111110111111111111111111111011111111111111111111 + 111111101111111111111111111111111110111111111111111101111111111011 + 111111111111111111111111111111111111111010111111111111111111111111 + 111111111111101111111111110111111011111111111111011111111111111111 + 101111111111111101111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111101111111111111111111111* +L041166 + 000000000000000000000000000000000000000000000000000000000000000000* +L041232 011111111111111111111111111111111110110111011111111111111111111111* +L041298 101111111111101111111111111111111111111111011111111111111111111111* +L041364 000000000000000000000000000000000000000000000000000000000000000000* +L041430 000000000000000000000000000000000000000000000000000000000000000000* +L041496 000000000000000000000000000000000000000000000000000000000000000000* +L041562 000000000000000000000000000000000000000000000000000000000000000000* +L041628 000000000000000000000000000000000000000000000000000000000000000000* +L041694 000000000000000000000000000000000000000000000000000000000000000000* +L041760 000000000000000000000000000000000000000000000000000000000000000000* +L041826 000000000000000000000000000000000000000000000000000000000000000000* +L041892 + 111111111111111111111111111111111111111111111111111111111111111111* +L041958 111111111111111111111111111111111111111111101111111111111111111111* +L042024 011111110111111111111111111111111111110111111111111111111111111111* +L042090 101111111111111110111111111111111111111111111111101111111111111111* +L042156 000000000000000000000000000000000000000000000000000000000000000000* +L042222 000000000000000000000000000000000000000000000000000000000000000000* +L042288 111111111111111111111111011111111111111111111111111111111111111111* +L042354 000000000000000000000000000000000000000000000000000000000000000000* +L042420 000000000000000000000000000000000000000000000000000000000000000000* +L042486 000000000000000000000000000000000000000000000000000000000000000000* +L042552 000000000000000000000000000000000000000000000000000000000000000000* +L042618 + 000000000000000000000000000000000000000000000000000000000000000000* +L042684 111111111111111011111101111111111111111111111111111111111111111111* +L042750 111111111111111111111101111111111111111111111011111111111111111111* +L042816 111111111111110111111111111111100111111111110111111111111111111111* +L042882 111111111111111011111111111111100111111111111011111111111111111111* +L042948 111111111111111111111101111111111011111111111111111111111111111111* +L043014 111111111111110111111101111111111111111111111011111111111111111111* +L043080 111111111111111011111110111111011111111111111011111111111111111111* +L043146 111111111111110111111110111111010111111111110111111111111111111111* +L043212 111111111111110111111101111111100111111111111111111111111111111111* +L043278 111111111111111111111111111111111011111111111011111111111111111111* +L043344 + 000000000000000000000000000000000000000000000000000000000000000000* +L043410 011111111111111111111111111111111111111011011111111111111111111111* +L043476 011111111111111111111111111111111111111111011110111111111111111111* +L043542 101111111111111110111111111111111111111111011111101111111111111111* +L043608 000000000000000000000000000000000000000000000000000000000000000000* +L043674 000000000000000000000000000000000000000000000000000000000000000000* +L043740 110111111111111111111111111111111111111111111111111111111111111111* +L043806 000000000000000000000000000000000000000000000000000000000000000000* +L043872 000000000000000000000000000000000000000000000000000000000000000000* +L043938 000000000000000000000000000000000000000000000000000000000000000000* +L044004 000000000000000000000000000000000000000000000000000000000000000000* +L044070 + 101111111111111111111111111111111111111111111111111101111111111011* +L044136 111111111111111111111111111111111111111111101111111111111111111111* +L044202 011111111111111111110111111111111111110111111111111111111111111111* +L044268 101111111111111101111111111111111111111111111111111111111111111111* +L044334 000000000000000000000000000000000000000000000000000000000000000000* +L044400 000000000000000000000000000000000000000000000000000000000000000000* +L044466 111111111111111111111111111111111111111111101111111111111111111111* +L044532 111111111111111111111111110111111111111111111111111111011111111111* +L044598 111111111111111111101111111111111111111111111111111111011111111111* +L044664 111111111111111111011111111001111111101110111111111111111111111111* +L044730 000000000000000000000000000000000000000000000000000000000000000000* +L044796 + 101111111111111111111111111111111111111111111111111111111111111011* +L044862 101111101111111111111111111111111111111111011111111111111111111111* +L044928 011111111110111111111111111111111111110111011111111111111111111111* +L044994 000000000000000000000000000000000000000000000000000000000000000000* +L045060 000000000000000000000000000000000000000000000000000000000000000000* +L045126 000000000000000000000000000000000000000000000000000000000000000000* +L045192 111111111111111111111111111111111111111111011111111111111111101111* +L045258 000000000000000000000000000000000000000000000000000000000000000000* +L045324 000000000000000000000000000000000000000000000000000000000000000000* +L045390 000000000000000000000000000000000000000000000000000000000000000000* +L045456 000000000000000000000000000000000000000000000000000000000000000000* +L045522 + 101111111111111111111111111111111111111111111111111101111111111111* +L045588 111111111011111111111111111111111111111111111101111111111111111111* +L045654 000000000000000000000000000000000000000000000000000000000000000000* +L045720 000000000000000000000000000000000000000000000000000000000000000000* +L045786 000000000000000000000000000000000000000000000000000000000000000000* +L045852 000000000000000000000000000000000000000000000000000000000000000000* +L045918 111111111111111111111111110111111111111111011111111011111111111111* +L045984 111111111111111111101111111111111111111111011111111011111111111111* +L046050 111111111111111111011111111011111111101111011111111111111111111111* +L046116 000000000000000000000000000000000000000000000000000000000000000000* +L046182 000000000000000000000000000000000000000000000000000000000000000000* +L046248 + 000000000000000000000000000000000000000000000000000000000000000000* +L046314 111101111111111111111111111111111111111111111111111111111111111111* +L046380 111111111111111111111111111111111111111111111111111111111111111111* +L046446 111111111111111111111111111111111111111111111111111111111111111111* +L046512 111111111111111111111111111111111111111111111111111111111111111111* +L046578 111111111111111111111111111111111111111111111111111111111111111111* +L046644 111111111111111111111111111111111111111111111111111111111111111111* +L046710 111111111111111111111111111111111111111111111111111111111111111111* +L046776 111111111111111111111111111111111111111111111111111111111111111111* +L046842 111111111111111111111111111111111111111111111111111111111111111111* +L046908 111111111111111111111111111111111111111111111111111111111111111111* +L046974 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L047106 0010* +L047110 11100110011000* +L047124 00101011111110* +L047138 10100110010101* +L047152 00100110011111* +L047166 10100110011000* +L047180 11100110010010* +L047194 11100110010000* +L047208 00100110010011* +L047222 10100110010001* +L047236 10100110010011* +L047250 11100110010100* +L047264 01100110010010* +L047278 00100011110010* +L047292 11100110010011* +L047306 00010110010001* +L047320 11101011111111* +NOTE BLOCK 7 * +L047334 + 111111111111111111111111111111111110111110111111111111111111111111 + 111111111111111111111110111111111111111111111111111111111111111110 + 111110111111111111111111111111111111111111111111101111111110111111 + 111111111111111110111111101101101111111111111111111111111111111011 + 111111111111111111111111111111111111111111110111111001111111111111 + 111111111111111111111111111111111111011111111110111111011111111111 + 111111010110011111111111111111111111111111111111111111111111111111 + 101111111111111111101111111011111111111111111111111111111111111111 + 111011111111111111111111111111111011110111101111111111111011101111* +L047928 + 000000000000000000000000000000000000000000000000000000000000000000* +L047994 111101111111111111111111111110111110111111011111111111111111101111* +L048060 111101111111111111111111111111111110111111011111111111111111101110* +L048126 111111111111111111111110111111111101111111011111111111111111111111* +L048192 000000000000000000000000000000000000000000000000000000000000000000* +L048258 000000000000000000000000000000000000000000000000000000000000000000* +L048324 111111111011101101101111011111111111011011111111011111101111111111* +L048390 000000000000000000000000000000000000000000000000000000000000000000* +L048456 000000000000000000000000000000000000000000000000000000000000000000* +L048522 000000000000000000000000000000000000000000000000000000000000000000* +L048588 000000000000000000000000000000000000000000000000000000000000000000* +L048654 + 000000000000000000000000000000000000000000000000000000000000000000* +L048720 111111111111111111111111111111011111111111111111111111111111111111* +L048786 111111111111111111111111111111111111111111111111111111111111111111* +L048852 111111111111111111111111111111111111111111111111111111111111111111* +L048918 111111111111111111111111111111111111111111111111111111111111111111* +L048984 111111111111111111111111111111111111111111111111111111111111111111* +L049050 111111111111111111111111111111111111111111111111111111111111111111* +L049116 111111111111111111111111111111111111111111111111111111111111111111* +L049182 111111111111111111111111111111111111111111111111111111111111111111* +L049248 111111111111111111111111111111111111111111111111111111111111111111* +L049314 111111111111111111111111111111111111111111111111111111111111111111* +L049380 + 111111111111111111111111111111111111111111111111111111111111111111* +L049446 111111111111111111111111111111111111111111101111111111111111111111* +L049512 011111111111111111111111111111111111011111111111111111111111111111* +L049578 111111111111111111111111111101110111011111111111111111111111111111* +L049644 000000000000000000000000000000000000000000000000000000000000000000* +L049710 000000000000000000000000000000000000000000000000000000000000000000* +L049776 111111111111111111101111111111111111111111011111111111111111111111* +L049842 111111111111111111111111111111111111111111111111111111111111111111* +L049908 111111111111111111111111111111111111111111111111111111111111111111* +L049974 111111111111111111111111111111111111111111111111111111111111111111* +L050040 111111111111111111111111111111111111111111111111111111111111111111* +L050106 + 101111111111111111111111111111111111111111111111111001111111111111* +L050172 111111111111111111111111111111111111111111111111111111110111111111* +L050238 111111111111111111111111111111111111111111111111111111111111111111* +L050304 111111111111111111111111111111111111111111111111111111111111111111* +L050370 111111111111111111111111111111111111111111111111111111111111111111* +L050436 111111111111111111111111111111111111111111111111111111111111111111* +L050502 111111111111111111111111111111111111111111111111111111111111111111* +L050568 111111111111111111111111111111111111111111111111111111111111111111* +L050634 111111111111111111111111111111111111111111111111111111111111111111* +L050700 111111111111111111111111111111111111111111111111111111111111111111* +L050766 111111111111111111111111111111111111111111111111111111111111111111* +L050832 + 111111111111111111111111111111111111111111111111110111111111111111* +L050898 111111101111111111111111111111111011111111111111111111111111111111* +L050964 111111111111111111111111111111111111111111111111111111111111111111* +L051030 111111111111111111111111111111111111111111111111111111111111111111* +L051096 111111111111111111111111111111111111111111111111111111111111111111* +L051162 111111111111111111111111111111111111111111111111111111111111111111* +L051228 111111111111111111111111111111011111111110010111111111111111111111* +L051294 111111111111111111111111111111011111111111010101111111111111111111* +L051360 111111111111111111111111111111111111111111010111111111110111111111* +L051426 110111111110111111111111111011111111111111011111111111111111111111* +L051492 000000000000000000000000000000000000000000000000000000000000000000* +L051558 + 011111111111111111111111111111111111111111111111111101111111111111* +L051624 111111111111111111111111111111111111111111111111111111111111111111* +L051690 111111111111111111111111111111111111111111111111111111111111111111* +L051756 111111111111111111111111111111111111111111111111111111111111111111* +L051822 111111111111111111111111111111111111111111111111111111111111111111* +L051888 111111111111111111111111111111111111111111111111111111111111111111* +L051954 111111111111111111111111111111111111111111111111111111111111111111* +L052020 111111111111111111111111111111111111111111111111111111111111111111* +L052086 111111111111111111111111111111111111111111111111111111111111111111* +L052152 111111111111111111111111111111111111111111111111111111111111111111* +L052218 111111111111111111111111111111111111111111111111111111111111111111* +L052284 + 101111111111111111111111111111111111111111111111111011111111111111* +L052350 111111111111111111111111111111111111111111111111111111111110110111* +L052416 111111111111111111111111111111111111111111111111111111111111111111* +L052482 111111111111111111111111111111111111111111111111111111111111111111* +L052548 111111111111111111111111111111111111111111111111111111111111111111* +L052614 111111111111111111111111111111111111111111111111111111111111111111* +L052680 101111111111111111111111111111111111111111011111111111111111111111* +L052746 111111111111111111111111111111111111111111111111111111111111111111* +L052812 111111111111111111111111111111111111111111111111111111111111111111* +L052878 111111111111111111111111111111111111111111111111111111111111111111* +L052944 111111111111111111111111111111111111111111111111111111111111111111* +L053010 + 111111111111111111111111111111111111111111111111111111111111111111* +L053076 111111111111111111111111111111111111111111111111111111111111111111* +L053142 111111111111111111111111111111111111111111111111111111111111111111* +L053208 111111111111111111111111111111111111111111111111111111111111111111* +L053274 111111111111111111111111111111111111111111111111111111111111111111* +L053340 111111111111111111111111111111111111111111111111111111111111111111* +L053406 111111111111111111111111111111111111111111111111111111111111111111* +L053472 111111111111111111111111111111111111111111111111111111111111111111* +L053538 111111111111111111111111111111111111111111111111111111111111111111* +L053604 111111111111111111111111111111111111111111111111111111111111111111* +L053670 111111111111111111111111111111111111111111111111111111111111111111* +L053736 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L053868 0010* +L053872 11100110011100* +L053886 01101011110010* +L053900 00010110010001* +L053914 11101011110011* +L053928 10100110010000* +L053942 01000110011110* +L053956 00010110010101* +L053970 11100011110011* +L053984 01111111111000* +L053998 11100110011110* +L054012 11010011110110* +L054026 11111011110011* +L054040 00111111110001* +L054054 01000110010011* +L054068 11010011111100* +L054082 11111011111111* +E1 +1 +01111100 +1 +01110010 +1 +00000000 +1 +01000011 +1 +01011001 +1 +00000000 +1 +10001110 +1 +10000010 +1 +* +C961A* +U00000000000000000000000000000000* +179E diff --git a/Logic/68030_tk.bl2 b/Logic/68030_tk.bl2 index e27bb89..cc4b3be 100644 --- a/Logic/68030_tk.bl2 +++ b/Logic/68030_tk.bl2 @@ -1,141 +1,138 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Jul 09 18:48:59 2015 +#$ DATE Thu Sep 24 16:20:59 2015 #$ MODULE 68030_tk -#$ PINS 75 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ IPL_030_2_ A_25_ A_24_ \ -# IPL_2_ A_23_ A_22_ FC_1_ A_21_ AS_030 A_20_ AS_000 A_19_ RW_000 A_18_ DS_030 A_17_ UDS_000 \ -# A_16_ LDS_000 A_15_ A0 A_14_ A1 A_13_ nEXP_SPACE A_12_ BERR A_11_ BG_030 A_10_ BG_000 A_9_ \ -# BGACK_030 A_8_ BGACK_000 A_7_ CLK_030 A_6_ CLK_000 A_5_ CLK_OSZI A_4_ CLK_DIV_OUT A_3_ \ -# CLK_EXP A_2_ FPU_CS IPL_030_1_ FPU_SENSE IPL_030_0_ DSACK1 IPL_1_ DTACK IPL_0_ AVEC FC_0_ \ -# E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -# AMIGA_BUS_ENABLE_HIGH CIIN -#$ NODES 694 N_193 cpu_est_0_1__un3_n N_190 RW_c cpu_est_0_1__un1_n N_189 \ -# cpu_est_0_1__un0_n N_140 fc_c_0__n bgack_030_int_0_un3_n \ -# pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n pos_clk_un23_clk_000_ne_d0_n \ -# fc_c_1__n bgack_030_int_0_un0_n inst_BGACK_030_INTreg \ -# pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n vcc_n_n \ -# pos_clk_un7_clk_000_pe_n un1_amiga_bus_enable_dma_high_i_m4_0__un1_n \ -# cpu_est_3_reg N_18 AMIGA_BUS_DATA_DIR_c \ -# un1_amiga_bus_enable_dma_high_i_m4_0__un0_n inst_VMA_INTreg N_22 \ -# ds_000_enable_1_sqmuxa_1_i_m4_un3_n inst_RESET_OUTreg \ -# pos_clk_un11_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n \ -# pos_clk_un9_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un0_n \ -# un1_amiga_bus_enable_low pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n \ -# un6_as_030 pos_clk_un22_bgack_030_int_n N_6_i size_dma_0_0__un1_n un3_size G_161 \ -# N_48_0 size_dma_0_0__un0_n un4_size N_220 N_3_i size_dma_0_1__un3_n un8_ciin G_159 \ -# N_49_0 size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n \ -# pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 CLK_030_H_0_sqmuxa \ -# pos_clk_un26_bgack_030_int_i_n ipl_030_0_0__un3_n un21_fpu_cs AS_000_DMA_1_sqmuxa \ -# pos_clk_un27_bgack_030_int_0_n ipl_030_0_0__un1_n un22_berr \ -# pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i ipl_030_0_0__un0_n un6_ds_030 \ -# pos_clk_un27_bgack_030_int_n N_7_i ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 \ -# ipl_030_0_1__un1_n un6_lds_000 N_165 N_133_i ipl_030_0_1__un0_n cpu_est_0_ N_133 \ -# N_176_i ipl_030_0_2__un3_n cpu_est_1_ N_163 N_175_i ipl_030_0_2__un1_n \ -# inst_AS_000_INT N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n SM_AMIGA_5_ N_164 \ -# pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n inst_AMIGA_BUS_ENABLE_DMA_LOW \ -# N_176 N_162_i dsack1_int_0_un1_n inst_AS_030_D0 DS_000_DMA_2_sqmuxa N_163_i \ -# dsack1_int_0_un0_n inst_nEXP_SPACE_D0reg pos_clk_ds_000_dma_4_n N_164_i \ -# as_000_int_0_un3_n inst_DS_030_D0 DS_000_DMA_0_sqmuxa N_165_i as_000_int_0_un1_n \ -# inst_AS_030_000_SYNC pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n \ -# inst_BGACK_030_INT_D N_175 pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n \ -# inst_AS_000_DMA N_47 pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n \ -# inst_DS_000_DMA N_7 clk_000_n_sync_i_10__n ds_000_enable_0_un0_n CYCLE_DMA_0_ \ -# un1_rst_2 pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n CYCLE_DMA_1_ \ -# pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n SIZE_DMA_0_ \ -# pos_clk_un29_bgack_030_int_n N_33_0 as_030_000_sync_0_un0_n SIZE_DMA_1_ N_3 N_18_i \ -# lds_000_int_0_un3_n inst_VPA_D N_6 N_37_0 lds_000_int_0_un1_n inst_UDS_000_INT \ -# un1_amiga_bus_enable_low_i pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n \ -# inst_LDS_000_INT un21_fpu_cs_i pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n \ -# inst_CLK_OUT_PRE_D AS_000_i pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n \ -# inst_DTACK_D0 DS_000_DMA_i pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n \ -# inst_CLK_OUT_PRE_50 pos_clk_un24_bgack_030_int_i_n \ -# pos_clk_un21_clk_000_ne_d0_i_n rw_000_dma_0_un3_n inst_CLK_000_D1 \ -# cycle_dma_i_1__n pos_clk_un23_clk_000_ne_d0_0_n rw_000_dma_0_un1_n \ -# inst_CLK_000_D0 cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n inst_CLK_000_PE \ -# AS_000_DMA_i N_140_0 uds_000_int_0_un3_n CLK_000_P_SYNC_9_ CLK_EXP_i N_195_i \ -# uds_000_int_0_un1_n inst_CLK_000_NE BERR_i N_196_i uds_000_int_0_un0_n \ -# CLK_000_N_SYNC_11_ RW_000_i N_186_i amiga_bus_enable_dma_low_0_un3_n cpu_est_2_ \ -# DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n IPL_D0_0_ \ -# pos_clk_un40_bgack_030_int_1_i_n N_189_i amiga_bus_enable_dma_low_0_un0_n \ -# IPL_D0_1_ BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n IPL_D0_2_ \ -# nEXP_SPACE_D0_i N_193_i amiga_bus_enable_dma_high_0_un1_n SM_AMIGA_3_ CLK_000_PE_i \ -# N_191_i amiga_bus_enable_dma_high_0_un0_n inst_CLK_000_NE_D0 CLK_000_NE_i N_192_i \ -# bg_000_0_un3_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n \ -# SM_AMIGA_0_ sm_amiga_i_0__n pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n \ -# inst_AMIGA_BUS_ENABLE_DMA_HIGH pos_clk_un7_clk_000_d0_i_n N_198_i a0_dma_0_un3_n \ -# inst_DSACK1_INTreg UDS_000_i N_197_i a0_dma_0_un1_n LDS_000_i N_199_i a0_dma_0_un0_n \ -# pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n \ -# pos_clk_cpu_est_11_0_3__n a_23__n pos_clk_ipl_n CLK_OUT_PRE_D_i N_151_i \ -# pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n SM_AMIGA_6_ sm_amiga_i_2__n \ -# AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n N_135_i a_21__n \ -# RST_DLY_0_ cpu_est_i_0__n N_252_0 RST_DLY_1_ cpu_est_i_3__n N_85_i a_20__n RST_DLY_2_ \ -# cpu_est_i_2__n RST_DLY_3_ cpu_est_i_1__n N_38_0 a_15__n RST_DLY_4_ VPA_D_i \ -# un1_rst_dly_i_m_i_2__n RST_DLY_5_ VMA_INT_i a_14__n RST_DLY_6_ sm_amiga_i_1__n \ -# un1_rst_dly_i_m_i_3__n RST_DLY_7_ RESET_OUT_0_sqmuxa_i a_13__n \ -# pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n CLK_000_P_SYNC_0_ \ -# un1_rst_dly_i_2__n a_12__n CLK_000_P_SYNC_1_ un1_rst_dly_i_3__n \ -# un1_rst_dly_i_m_i_5__n CLK_000_P_SYNC_2_ un1_rst_dly_i_4__n a_11__n \ -# CLK_000_P_SYNC_3_ un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n CLK_000_P_SYNC_4_ \ -# un1_rst_dly_i_6__n a_10__n CLK_000_P_SYNC_5_ un1_rst_dly_i_7__n \ -# un1_rst_dly_i_m_i_7__n CLK_000_P_SYNC_6_ un1_rst_dly_i_8__n a_9__n \ -# CLK_000_P_SYNC_7_ RESET_OUT_i un1_rst_dly_i_m_i_8__n CLK_000_P_SYNC_8_ AS_030_D0_i \ -# a_8__n CLK_000_N_SYNC_0_ AS_030_i un3_as_030_i CLK_000_N_SYNC_1_ A1_i N_76_i a_7__n \ -# CLK_000_N_SYNC_2_ CLK_000_D1_i N_83_i CLK_000_N_SYNC_3_ sm_amiga_i_i_7__n a_6__n \ -# CLK_000_N_SYNC_4_ N_248_i N_84_i CLK_000_N_SYNC_5_ sm_amiga_i_5__n N_115_0 a_5__n \ -# CLK_000_N_SYNC_6_ RW_i N_86_i CLK_000_N_SYNC_7_ CLK_000_D0_i \ -# pos_clk_size_dma_6_0_1__n a_4__n CLK_000_N_SYNC_8_ AS_030_000_SYNC_i N_87_i \ -# CLK_000_N_SYNC_9_ sm_amiga_i_6__n pos_clk_size_dma_6_0_0__n a_3__n \ -# CLK_000_N_SYNC_10_ sm_amiga_i_4__n N_88_i pos_clk_un5_bgack_030_int_d_n \ -# FPU_SENSE_i a_2__n inst_RW_000_INT size_dma_i_0__n N_241_0 inst_RW_000_DMA \ -# size_dma_i_1__n N_242_0 inst_A0_DMA a_i_16__n N_243_0 inst_CLK_030_H a_i_18__n N_93_i \ -# SM_AMIGA_1_ a_i_19__n N_94_i SM_AMIGA_4_ a_i_30__n N_244_0 SM_AMIGA_2_ a_i_31__n \ -# N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n N_246_0 inst_DS_000_ENABLE a_i_29__n \ -# pos_clk_un3_as_030_d0_i_n a_i_26__n pos_clk_un5_bgack_030_int_d_i_n a_i_27__n \ -# N_249_i pos_clk_a0_dma_3_n a_i_24__n N_251_0 a_i_25__n N_71_0 LDS_000_INT_i N_104_i \ -# N_8 DS_030_i N_137_i N_9 UDS_000_INT_i N_10 N_224_i N_160_i N_11 N_225_i N_161_i N_12 \ -# N_226_i N_13 N_159_i N_14 N_157_i N_15 N_158_i N_16 N_91_i N_19 N_90_i N_155_i N_20 \ -# un14_amiga_bus_data_dir_i N_156_i N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 \ -# un6_uds_000_i N_152_i N_25 un6_ds_030_i N_153_i cpu_est_0_0_ un4_as_000_i N_142_0 \ -# AS_000_INT_i N_141_0 un6_as_030_i N_138_0 AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i \ -# DS_030_D0_i un1_as_030_i AS_030_c pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c \ -# size_c_i_1__n N_25_i RW_000_c N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 \ -# ipl_c_i_2__n LDS_000_c N_54_0 ipl_c_i_1__n size_c_0__n N_53_0 ipl_c_i_0__n \ -# size_c_1__n N_52_0 DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_8_i \ -# N_46_0 N_9_i N_45_0 N_10_i SM_AMIGA_i_7_ N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n \ -# N_43_0 pos_clk_size_dma_6_1__n N_13_i G_165 N_42_0 G_166 N_14_i G_167 N_41_0 \ -# un6_uds_000_1 N_15_i N_241 N_40_0 N_242 N_16_i N_243 N_39_0 N_244 N_19_i N_245 N_36_0 \ -# N_246 N_20_i N_78 N_35_0 N_80 N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 \ -# pos_clk_un6_bg_030_i_n N_98 a_c_17__n pos_clk_un8_bg_030_0_n N_99 N_251_0_1 N_249 \ -# a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n \ -# pos_clk_cpu_est_11_0_1_1__n N_136 pos_clk_cpu_est_11_0_2_1__n \ -# pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 \ -# pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 N_155 un8_ciin_2 N_141 un8_ciin_3 N_156 \ -# un8_ciin_4 N_157 a_c_24__n un8_ciin_5 N_138 un8_ciin_6 N_158 a_c_25__n un8_ciin_7 N_159 \ -# un8_ciin_8 N_160 a_c_26__n N_116_1 N_142 N_116_2 N_161 a_c_27__n N_116_3 N_132 N_116_4 \ -# N_104 a_c_28__n un22_berr_1_0 N_76 un21_fpu_cs_1 N_71 a_c_29__n N_123_i_1 N_251 \ -# N_123_i_2 N_93 a_c_30__n N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 N_87 \ -# N_129_i_1 N_86 A0_c pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c \ -# RESET_OUT_0_sqmuxa_7_1 N_116 RESET_OUT_0_sqmuxa_7_2 G_149 nEXP_SPACE_c \ -# RESET_OUT_0_sqmuxa_7_3 G_147 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 G_145 \ -# pos_clk_un27_clk_000_ne_d0_1_n N_211 BG_030_c pos_clk_un27_clk_000_ne_d0_2_n G_143 \ -# pos_clk_un27_clk_000_ne_d0_3_n N_209 BG_000DFFreg pos_clk_un5_clk_000_pe_1_n G_141 \ -# pos_clk_un5_clk_000_pe_2_n G_139 pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c \ -# pos_clk_un9_clk_000_ne_1_n G_137 pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 \ -# pos_clk_un9_clk_000_ne_3_n RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n \ -# RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 \ -# un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n N_165_1 \ -# un1_rst_dly_i_m_6__n CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 \ -# un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 \ -# un1_rst_dly_i_m_2__n N_176_1_0 N_38 IPL_030DFF_0_reg DS_000_DMA_2_sqmuxa_1 N_85 \ -# N_119_i_1 pos_clk_RST_DLY_5_iv_0_x2_0_ IPL_030DFF_1_reg N_115_0_1 N_252 \ -# pos_clk_ipl_1_n N_97 IPL_030DFF_2_reg as_000_dma_0_un3_n \ -# pos_clk_un27_clk_000_ne_d0_n as_000_dma_0_un1_n N_199_1 ipl_c_0__n \ -# as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n ds_000_dma_0_un3_n \ -# pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 ds_000_dma_0_un0_n \ -# N_151 ipl_c_2__n vma_int_0_un3_n N_199 vma_int_0_un1_n N_196 vma_int_0_un0_n N_195 \ -# DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 sm_amiga_srsts_i_0_m2_1__un1_n \ -# pos_clk_cpu_est_11_3__n sm_amiga_srsts_i_0_m2_1__un0_n N_197 cpu_est_0_3__un3_n \ -# N_198 VPA_c cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 \ -# cpu_est_0_2__un3_n N_192 RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n +#$ PINS 75 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ +# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 A_15_ DS_030 A_14_ UDS_000 \ +# A_13_ LDS_000 A_12_ A0 A_11_ A1 A_10_ nEXP_SPACE A_9_ BERR A_8_ BG_030 A_7_ BG_000 A_6_ \ +# BGACK_030 A_5_ BGACK_000 A_4_ CLK_030 A_3_ CLK_000 A_2_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT \ +# IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E VPA VMA RST \ +# RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ +# AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ +#$ NODES 695 N_159 N_21_i bgack_030_int_0_un0_n N_157 N_34_0 as_030_000_sync_0_un3_n \ +# N_158 N_20_i as_030_000_sync_0_un1_n un1_rst_dly_i_m_1__n N_35_0 \ +# as_030_000_sync_0_un0_n N_138 N_16_i ds_000_enable_0_un3_n N_88 N_39_0 \ +# ds_000_enable_0_un1_n inst_BGACK_030_INTreg N_256 N_13_i ds_000_enable_0_un0_n \ +# vcc_n_n N_89 N_42_0 a0_dma_0_un3_n cpu_est_3_reg N_91 N_12_i a0_dma_0_un1_n \ +# inst_VMA_INTreg N_92 N_43_0 a0_dma_0_un0_n inst_RESET_OUTreg pos_clk_a0_dma_3_n \ +# N_8_i size_dma_0_1__un3_n gnd_n_n N_96 N_46_0 size_dma_0_1__un1_n \ +# un1_amiga_bus_enable_low N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 N_72_0 \ +# size_dma_0_0__un3_n un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n un4_size N_104 \ +# LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 N_256_i \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n \ +# pos_clk_un5_bgack_030_int_d_i_n un1_amiga_bus_enable_dma_high_i_m2_0__un1_n \ +# un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_SM_AMIGA_7 N_250 N_104_i \ +# cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n N_252_0 cpu_est_0_1__un1_n \ +# un22_berr N_251 N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 \ +# pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 \ +# pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n un6_lds_000 \ +# pos_clk_un24_bgack_030_int_i_0_x2 N_96_i cpu_est_0_2__un0_n cpu_est_0_ \ +# DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n cpu_est_1_ un22_berr_1 N_89_i \ +# cpu_est_0_3__un1_n inst_AS_000_INT N_8 pos_clk_size_dma_6_0_0__n \ +# cpu_est_0_3__un0_n SM_AMIGA_5_ N_12 N_88_i ipl_030_0_0__un3_n \ +# inst_AMIGA_BUS_ENABLE_DMA_LOW N_13 pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n \ +# inst_AS_030_D0 N_16 N_138_0 ipl_030_0_0__un0_n inst_nEXP_SPACE_D0reg N_20 \ +# un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n inst_DS_030_D0 N_21 ipl_030_0_1__un1_n \ +# inst_AS_030_000_SYNC N_11 N_157_i ipl_030_0_1__un0_n inst_BGACK_030_INT_D \ +# un1_amiga_bus_enable_low_i N_158_i ipl_030_0_2__un3_n inst_AS_000_DMA \ +# un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n inst_DS_000_DMA BGACK_030_INT_i \ +# ipl_030_0_2__un0_n CYCLE_DMA_0_ AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 \ +# dsack1_int_0_un3_n CYCLE_DMA_1_ AS_030_i un1_as_000_i dsack1_int_0_un1_n \ +# SIZE_DMA_0_ AS_000_INT_i N_93_i dsack1_int_0_un0_n SIZE_DMA_1_ N_105_i \ +# as_000_int_0_un3_n inst_VPA_D N_91_i N_87_i as_000_int_0_un1_n inst_UDS_000_INT \ +# N_92_i N_86_i as_000_int_0_un0_n inst_LDS_000_INT a_i_19__n AMIGA_BUS_DATA_DIR_c_0 \ +# lds_000_int_0_un3_n inst_CLK_OUT_PRE_D a_i_18__n un1_rst_dly_i_m_i_2__n \ +# lds_000_int_0_un1_n inst_DTACK_D0 a_i_16__n lds_000_int_0_un0_n \ +# inst_CLK_OUT_PRE_50 size_dma_i_1__n un1_rst_dly_i_m_i_3__n rw_000_int_0_un3_n \ +# inst_CLK_000_D1 size_dma_i_0__n rw_000_int_0_un1_n inst_CLK_000_D0 FPU_SENSE_i \ +# un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n inst_CLK_000_PE CLK_000_D1_i \ +# uds_000_int_0_un3_n CLK_000_P_SYNC_9_ CLK_000_D0_i un1_rst_dly_i_m_i_5__n \ +# uds_000_int_0_un1_n inst_CLK_000_NE AS_030_000_SYNC_i uds_000_int_0_un0_n \ +# CLK_000_N_SYNC_11_ AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n cpu_est_2_ \ +# sm_amiga_i_i_7__n vma_int_0_un1_n IPL_D0_0_ AS_000_i un1_rst_dly_i_m_i_7__n \ +# vma_int_0_un0_n IPL_D0_1_ CLK_000_PE_i bg_000_0_un3_n IPL_D0_2_ nEXP_SPACE_D0_i \ +# un1_rst_dly_i_m_i_8__n bg_000_0_un1_n SM_AMIGA_3_ A1_i bg_000_0_un0_n \ +# inst_CLK_000_NE_D0 sm_amiga_i_6__n un3_as_030_i ds_000_dma_0_un3_n \ +# pos_clk_un6_bg_030_n BERR_i un1_as_030_i ds_000_dma_0_un1_n SM_AMIGA_0_ \ +# sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n \ +# inst_AMIGA_BUS_ENABLE_DMA_HIGH RESET_OUT_0_sqmuxa_i \ +# pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n inst_DSACK1_INTreg \ +# un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n as_000_dma_0_un1_n RESET_OUT_i \ +# CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n RW_000_i N_244_0 \ +# a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i pos_clk_un3_ds_030_d0_n \ +# un1_rst_dly_i_3__n N_115_0 a_14__n SM_AMIGA_6_ un1_rst_dly_i_4__n N_84_i RST_DLY_0_ \ +# un1_rst_dly_i_5__n N_245_0 a_13__n RST_DLY_1_ un1_rst_dly_i_6__n N_85_i RST_DLY_2_ \ +# un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n RST_DLY_3_ un1_rst_dly_i_8__n \ +# N_94_i RST_DLY_4_ CLK_OUT_PRE_D_i a_11__n RST_DLY_5_ \ +# pos_clk_un11_clk_000_n_sync_i_n RST_DLY_6_ CLK_030_H_i a_10__n RST_DLY_7_ \ +# AS_000_DMA_i N_73_0 pos_clk_un8_bg_030_n VMA_INT_i a_9__n CLK_000_P_SYNC_0_ VPA_D_i \ +# N_160_i CLK_000_P_SYNC_1_ cpu_est_i_0__n N_161_i a_8__n CLK_000_P_SYNC_2_ \ +# cpu_est_i_1__n CLK_000_P_SYNC_3_ cpu_est_i_3__n N_155_i a_7__n CLK_000_P_SYNC_4_ \ +# a_i_24__n N_156_i CLK_000_P_SYNC_5_ pos_clk_un7_clk_000_d0_i_n a_6__n \ +# CLK_000_P_SYNC_6_ sm_amiga_i_0__n N_154_i CLK_000_P_SYNC_7_ sm_amiga_i_3__n N_152_i \ +# a_5__n CLK_000_P_SYNC_8_ CLK_000_NE_i N_153_i CLK_000_N_SYNC_0_ cpu_est_i_2__n \ +# a_4__n CLK_000_N_SYNC_1_ sm_amiga_i_1__n N_150_i CLK_000_N_SYNC_2_ sm_amiga_i_4__n \ +# N_151_i a_3__n CLK_000_N_SYNC_3_ sm_amiga_i_2__n CLK_000_N_SYNC_4_ \ +# pos_clk_un29_clk_000_ne_d0_i_n N_149_i a_2__n CLK_000_N_SYNC_5_ \ +# DSACK1_INT_0_sqmuxa_i N_147_i CLK_000_N_SYNC_6_ RW_i N_148_i CLK_000_N_SYNC_7_ \ +# un5_ciin_i CLK_000_N_SYNC_8_ DTACK_D0_i N_164_i CLK_000_N_SYNC_9_ a_i_31__n N_165_i \ +# CLK_000_N_SYNC_10_ a_i_29__n N_163_i inst_RW_000_INT a_i_30__n N_199_i \ +# inst_RW_000_DMA a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n \ +# a_i_28__n N_197_i inst_A0_DMA a_i_25__n N_198_i DS_000_ENABLE_1_sqmuxa a_i_26__n \ +# N_194_i inst_CLK_030_H UDS_000_INT_i pos_clk_cpu_est_11_0_1__n SM_AMIGA_1_ \ +# LDS_000_INT_i N_192_i SM_AMIGA_4_ DS_030_i N_135_i SM_AMIGA_2_ N_224_i N_162_i \ +# inst_DS_000_ENABLE N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i \ +# DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i N_3 \ +# DS_000_ENABLE_1_sqmuxa_i N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i N_6 \ +# un6_ds_030_i N_186_i N_9 DS_000_DMA_i N_143_0 N_10 un4_as_000_i N_142_0 N_14 un8_ciin_i \ +# N_141_0 N_15 un6_as_030_i N_140_0 N_17 DS_030_D0_i N_139_0 N_19 AS_030_c N_166_i N_22 \ +# N_137_i N_23 AS_000_c N_136_i N_24 N_133_i N_25 RW_000_c N_132_i cpu_est_0_0_ N_146_i \ +# DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i pos_clk_un21_clk_000_ne_d0_i_n \ +# LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n pos_clk_un23_clk_000_ne_d0_0_n \ +# size_c_0__n pos_clk_un5_clk_000_pe_i_n pos_clk_un9_clk_000_ne_i_n size_c_1__n \ +# pos_clk_un7_clk_000_pe_0_n pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i \ +# N_32_0 N_24_i N_31_0 N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n \ +# N_52_0 DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i SM_AMIGA_i_7_ N_55_0 N_115 N_50_0 \ +# pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n N_49_0 N_220 N_6_i G_165 N_48_0 \ +# G_166 N_9_i G_167 N_45_0 un6_uds_000_1 N_10_i pos_clk_un24_bgack_030_int_i_0_n \ +# a_c_16__n N_44_0 N_244 N_14_i N_245 a_c_17__n N_41_0 N_15_i N_80 a_c_18__n N_40_0 N_90 \ +# N_17_i N_100 a_c_19__n N_38_0 N_105 N_19_i pos_clk_un11_clk_000_n_sync_n a_c_20__n \ +# N_36_0 pos_clk_CYCLE_DMA_5_1_i_x2 N_22_i pos_clk_CYCLE_DMA_5_0_i_x2 a_c_21__n \ +# N_33_0 N_135 BG_030_c_i N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 \ +# pos_clk_un8_bg_030_0_n N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 N_136 a_c_24__n \ +# pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 \ +# pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n \ +# DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n pos_clk_un21_clk_000_ne_d0_n \ +# a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 \ +# pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n N_131_i_1 \ +# pos_clk_un27_clk_000_ne_d0_n a_c_28__n N_131_i_2 pos_clk_un14_clk_000_n_sync_n \ +# N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 N_119_i_2 N_143 a_c_30__n N_121_i_1 N_147 \ +# N_123_i_1 N_139 a_c_31__n N_123_i_2 N_148 N_125_i_1 N_149 A0_c N_129_i_1 N_150 N_162_1 \ +# N_140 A1_c N_163_1 N_151 N_165_1 N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 N_154 BERR_c \ +# un5_ciin_1 N_155 un5_ciin_2 N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 \ +# BG_000DFFreg un5_ciin_5 N_142 un5_ciin_6 N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 \ +# N_166 un5_ciin_9 N_132 un5_ciin_10 N_191 un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n \ +# N_193 CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 \ +# pos_clk_un9_clk_000_ne_4_n N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 \ +# pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c \ +# pos_clk_un27_clk_000_ne_d0_1_n N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 \ +# IPL_030DFF_0_reg pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 \ +# IPL_030DFF_1_reg RESET_OUT_0_sqmuxa_7_2 N_73 RESET_OUT_0_sqmuxa_7_3 N_94 \ +# IPL_030DFF_2_reg RESET_OUT_0_sqmuxa_5_1 N_85 N_87_1 N_84 ipl_c_0__n N_87_2 N_83 \ +# pos_clk_un24_bgack_030_int_i_0_0_1_n pos_clk_un9_clk_000_n_sync_n ipl_c_1__n \ +# pos_clk_un24_bgack_030_int_i_0_0_2_n G_149 N_72_0_1 G_147 ipl_c_2__n \ +# pos_clk_un7_clk_000_d0_1_n N_213 un21_fpu_cs_1 G_145 un22_berr_1_0 N_211 DTACK_c \ +# N_116_1 G_143 N_116_2 N_209 N_116_3 G_141 N_116_4 G_139 VPA_c pos_clk_un6_bg_030_1_n \ +# N_205 N_115_0_1 G_137 N_245_0_1 RESET_OUT_0_sqmuxa_1 RST_c N_247_i_1 \ +# RESET_OUT_0_sqmuxa N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 RESET_OUT_0_sqmuxa_5 \ +# RW_c pos_clk_ipl_1_n un1_rst_dly_i_m_8__n amiga_bus_enable_dma_high_0_un3_n \ +# un1_rst_dly_i_m_7__n fc_c_0__n amiga_bus_enable_dma_high_0_un1_n \ +# un1_rst_dly_i_m_6__n amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n \ +# fc_c_1__n amiga_bus_enable_dma_low_0_un3_n un1_rst_dly_i_m_4__n \ +# amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n \ +# amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c \ +# rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 rw_000_dma_0_un0_n N_87 \ +# bgack_030_int_0_un3_n G_135 bgack_030_int_0_un1_n .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ @@ -145,374 +142,366 @@ A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF \ A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF A_7_.BLIF A_6_.BLIF \ A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF \ -LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_193.BLIF \ -cpu_est_0_1__un3_n.BLIF N_190.BLIF RW_c.BLIF cpu_est_0_1__un1_n.BLIF \ -N_189.BLIF cpu_est_0_1__un0_n.BLIF N_140.BLIF fc_c_0__n.BLIF \ -bgack_030_int_0_un3_n.BLIF pos_clk_un29_clk_000_ne_d0_n.BLIF \ -bgack_030_int_0_un1_n.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF fc_c_1__n.BLIF \ -bgack_030_int_0_un0_n.BLIF inst_BGACK_030_INTreg.BLIF \ -pos_clk_un21_clk_000_ne_d0_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF vcc_n_n.BLIF \ -pos_clk_un7_clk_000_pe_n.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF \ -cpu_est_3_reg.BLIF N_18.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF inst_VMA_INTreg.BLIF \ -N_22.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF inst_RESET_OUTreg.BLIF \ -pos_clk_un11_clk_000_n_sync_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF \ -gnd_n_n.BLIF pos_clk_un9_clk_000_n_sync_n.BLIF \ -ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF un1_amiga_bus_enable_low.BLIF \ -pos_clk_un14_clk_000_n_sync_n.BLIF size_dma_0_0__un3_n.BLIF un6_as_030.BLIF \ -pos_clk_un22_bgack_030_int_n.BLIF N_6_i.BLIF size_dma_0_0__un1_n.BLIF \ -un3_size.BLIF G_161.BLIF N_48_0.BLIF size_dma_0_0__un0_n.BLIF un4_size.BLIF \ -N_220.BLIF N_3_i.BLIF size_dma_0_1__un3_n.BLIF un8_ciin.BLIF G_159.BLIF \ -N_49_0.BLIF size_dma_0_1__un1_n.BLIF un14_amiga_bus_data_dir.BLIF \ -pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF \ -size_dma_0_1__un0_n.BLIF un4_as_000.BLIF CLK_030_H_0_sqmuxa.BLIF \ -pos_clk_un26_bgack_030_int_i_n.BLIF ipl_030_0_0__un3_n.BLIF un21_fpu_cs.BLIF \ -AS_000_DMA_1_sqmuxa.BLIF pos_clk_un27_bgack_030_int_0_n.BLIF \ -ipl_030_0_0__un1_n.BLIF un22_berr.BLIF pos_clk_un24_bgack_030_int_n.BLIF \ -CLK_030_H_0_sqmuxa_i.BLIF ipl_030_0_0__un0_n.BLIF un6_ds_030.BLIF \ -pos_clk_un27_bgack_030_int_n.BLIF N_7_i.BLIF ipl_030_0_1__un3_n.BLIF \ -un6_uds_000.BLIF N_176_1.BLIF N_47_0.BLIF ipl_030_0_1__un1_n.BLIF \ -un6_lds_000.BLIF N_165.BLIF N_133_i.BLIF ipl_030_0_1__un0_n.BLIF \ -cpu_est_0_.BLIF N_133.BLIF N_176_i.BLIF ipl_030_0_2__un3_n.BLIF \ -cpu_est_1_.BLIF N_163.BLIF N_175_i.BLIF ipl_030_0_2__un1_n.BLIF \ -inst_AS_000_INT.BLIF N_162.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ -ipl_030_0_2__un0_n.BLIF SM_AMIGA_5_.BLIF N_164.BLIF \ -pos_clk_ds_000_dma_4_f1_0_n.BLIF dsack1_int_0_un3_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_176.BLIF N_162_i.BLIF \ -dsack1_int_0_un1_n.BLIF inst_AS_030_D0.BLIF DS_000_DMA_2_sqmuxa.BLIF \ -N_163_i.BLIF dsack1_int_0_un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF \ -pos_clk_ds_000_dma_4_n.BLIF N_164_i.BLIF as_000_int_0_un3_n.BLIF \ -inst_DS_030_D0.BLIF DS_000_DMA_0_sqmuxa.BLIF N_165_i.BLIF \ -as_000_int_0_un1_n.BLIF inst_AS_030_000_SYNC.BLIF \ -pos_clk_ds_000_dma_4_f1_n.BLIF as_000_int_0_un0_n.BLIF \ -inst_BGACK_030_INT_D.BLIF N_175.BLIF pos_clk_un22_bgack_030_int_0_n.BLIF \ -ds_000_enable_0_un3_n.BLIF inst_AS_000_DMA.BLIF N_47.BLIF \ -pos_clk_un9_clk_000_n_sync_i_n.BLIF ds_000_enable_0_un1_n.BLIF \ -inst_DS_000_DMA.BLIF N_7.BLIF clk_000_n_sync_i_10__n.BLIF \ -ds_000_enable_0_un0_n.BLIF CYCLE_DMA_0_.BLIF un1_rst_2.BLIF \ -pos_clk_un14_clk_000_n_sync_0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ -CYCLE_DMA_1_.BLIF pos_clk_un26_bgack_030_int_n.BLIF N_22_i.BLIF \ -as_030_000_sync_0_un1_n.BLIF SIZE_DMA_0_.BLIF \ -pos_clk_un29_bgack_030_int_n.BLIF N_33_0.BLIF as_030_000_sync_0_un0_n.BLIF \ -SIZE_DMA_1_.BLIF N_3.BLIF N_18_i.BLIF lds_000_int_0_un3_n.BLIF inst_VPA_D.BLIF \ -N_6.BLIF N_37_0.BLIF lds_000_int_0_un1_n.BLIF inst_UDS_000_INT.BLIF \ -un1_amiga_bus_enable_low_i.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ -lds_000_int_0_un0_n.BLIF inst_LDS_000_INT.BLIF un21_fpu_cs_i.BLIF \ -pos_clk_un5_clk_000_pe_i_n.BLIF rw_000_int_0_un3_n.BLIF \ -inst_CLK_OUT_PRE_D.BLIF AS_000_i.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF \ -rw_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF DS_000_DMA_i.BLIF \ -pos_clk_un27_clk_000_ne_d0_i_n.BLIF rw_000_int_0_un0_n.BLIF \ -inst_CLK_OUT_PRE_50.BLIF pos_clk_un24_bgack_030_int_i_n.BLIF \ -pos_clk_un21_clk_000_ne_d0_i_n.BLIF rw_000_dma_0_un3_n.BLIF \ -inst_CLK_000_D1.BLIF cycle_dma_i_1__n.BLIF pos_clk_un23_clk_000_ne_d0_0_n.BLIF \ -rw_000_dma_0_un1_n.BLIF inst_CLK_000_D0.BLIF cycle_dma_i_0__n.BLIF \ -N_136_i.BLIF rw_000_dma_0_un0_n.BLIF inst_CLK_000_PE.BLIF AS_000_DMA_i.BLIF \ -N_140_0.BLIF uds_000_int_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF CLK_EXP_i.BLIF \ -N_195_i.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF BERR_i.BLIF \ -N_196_i.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_11_.BLIF RW_000_i.BLIF \ -N_186_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF cpu_est_2_.BLIF \ -DS_000_DMA_0_sqmuxa_i.BLIF N_188_i.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF \ -IPL_D0_0_.BLIF pos_clk_un40_bgack_030_int_1_i_n.BLIF N_189_i.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF IPL_D0_1_.BLIF BGACK_030_INT_i.BLIF \ -N_190_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF IPL_D0_2_.BLIF \ -nEXP_SPACE_D0_i.BLIF N_193_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF \ -SM_AMIGA_3_.BLIF CLK_000_PE_i.BLIF N_191_i.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF \ -CLK_000_NE_i.BLIF N_192_i.BLIF bg_000_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF \ -sm_amiga_i_3__n.BLIF N_194_i.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_0_.BLIF \ -sm_amiga_i_0__n.BLIF pos_clk_cpu_est_11_0_1__n.BLIF bg_000_0_un0_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF \ -N_198_i.BLIF a0_dma_0_un3_n.BLIF inst_DSACK1_INTreg.BLIF UDS_000_i.BLIF \ -N_197_i.BLIF a0_dma_0_un1_n.BLIF LDS_000_i.BLIF N_199_i.BLIF \ -a0_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF \ -pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF \ -a_23__n.BLIF pos_clk_ipl_n.BLIF CLK_OUT_PRE_D_i.BLIF N_151_i.BLIF \ -pos_clk_un3_ds_030_d0_n.BLIF DTACK_D0_i.BLIF N_150_i.BLIF a_22__n.BLIF \ -SM_AMIGA_6_.BLIF sm_amiga_i_2__n.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF \ -pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_135_i.BLIF a_21__n.BLIF RST_DLY_0_.BLIF \ -cpu_est_i_0__n.BLIF N_252_0.BLIF RST_DLY_1_.BLIF cpu_est_i_3__n.BLIF \ -N_85_i.BLIF a_20__n.BLIF RST_DLY_2_.BLIF cpu_est_i_2__n.BLIF RST_DLY_3_.BLIF \ -cpu_est_i_1__n.BLIF N_38_0.BLIF a_15__n.BLIF RST_DLY_4_.BLIF VPA_D_i.BLIF \ -un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_5_.BLIF VMA_INT_i.BLIF a_14__n.BLIF \ -RST_DLY_6_.BLIF sm_amiga_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF \ -RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_i.BLIF a_13__n.BLIF \ -pos_clk_un8_bg_030_n.BLIF N_77_i_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF \ -CLK_000_P_SYNC_0_.BLIF un1_rst_dly_i_2__n.BLIF a_12__n.BLIF \ -CLK_000_P_SYNC_1_.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_i_5__n.BLIF \ -CLK_000_P_SYNC_2_.BLIF un1_rst_dly_i_4__n.BLIF a_11__n.BLIF \ -CLK_000_P_SYNC_3_.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_i_6__n.BLIF \ -CLK_000_P_SYNC_4_.BLIF un1_rst_dly_i_6__n.BLIF a_10__n.BLIF \ -CLK_000_P_SYNC_5_.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_i_7__n.BLIF \ -CLK_000_P_SYNC_6_.BLIF un1_rst_dly_i_8__n.BLIF a_9__n.BLIF \ -CLK_000_P_SYNC_7_.BLIF RESET_OUT_i.BLIF un1_rst_dly_i_m_i_8__n.BLIF \ -CLK_000_P_SYNC_8_.BLIF AS_030_D0_i.BLIF a_8__n.BLIF CLK_000_N_SYNC_0_.BLIF \ -AS_030_i.BLIF un3_as_030_i.BLIF CLK_000_N_SYNC_1_.BLIF A1_i.BLIF N_76_i.BLIF \ -a_7__n.BLIF CLK_000_N_SYNC_2_.BLIF CLK_000_D1_i.BLIF N_83_i.BLIF \ -CLK_000_N_SYNC_3_.BLIF sm_amiga_i_i_7__n.BLIF a_6__n.BLIF \ -CLK_000_N_SYNC_4_.BLIF N_248_i.BLIF N_84_i.BLIF CLK_000_N_SYNC_5_.BLIF \ -sm_amiga_i_5__n.BLIF N_115_0.BLIF a_5__n.BLIF CLK_000_N_SYNC_6_.BLIF RW_i.BLIF \ -N_86_i.BLIF CLK_000_N_SYNC_7_.BLIF CLK_000_D0_i.BLIF \ -pos_clk_size_dma_6_0_1__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_8_.BLIF \ -AS_030_000_SYNC_i.BLIF N_87_i.BLIF CLK_000_N_SYNC_9_.BLIF sm_amiga_i_6__n.BLIF \ -pos_clk_size_dma_6_0_0__n.BLIF a_3__n.BLIF CLK_000_N_SYNC_10_.BLIF \ -sm_amiga_i_4__n.BLIF N_88_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -FPU_SENSE_i.BLIF a_2__n.BLIF inst_RW_000_INT.BLIF size_dma_i_0__n.BLIF \ -N_241_0.BLIF inst_RW_000_DMA.BLIF size_dma_i_1__n.BLIF N_242_0.BLIF \ -inst_A0_DMA.BLIF a_i_16__n.BLIF N_243_0.BLIF inst_CLK_030_H.BLIF \ -a_i_18__n.BLIF N_93_i.BLIF SM_AMIGA_1_.BLIF a_i_19__n.BLIF N_94_i.BLIF \ -SM_AMIGA_4_.BLIF a_i_30__n.BLIF N_244_0.BLIF SM_AMIGA_2_.BLIF a_i_31__n.BLIF \ -N_245_0.BLIF pos_clk_un3_as_030_d0_n.BLIF a_i_28__n.BLIF N_246_0.BLIF \ -inst_DS_000_ENABLE.BLIF a_i_29__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF \ -a_i_26__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF a_i_27__n.BLIF \ -N_249_i.BLIF pos_clk_a0_dma_3_n.BLIF a_i_24__n.BLIF N_251_0.BLIF \ -a_i_25__n.BLIF N_71_0.BLIF LDS_000_INT_i.BLIF N_104_i.BLIF N_8.BLIF \ -DS_030_i.BLIF N_137_i.BLIF N_9.BLIF UDS_000_INT_i.BLIF N_10.BLIF N_224_i.BLIF \ -N_160_i.BLIF N_11.BLIF N_225_i.BLIF N_161_i.BLIF N_12.BLIF N_226_i.BLIF \ -N_13.BLIF N_159_i.BLIF N_14.BLIF N_157_i.BLIF N_15.BLIF N_158_i.BLIF N_16.BLIF \ -N_91_i.BLIF N_19.BLIF N_90_i.BLIF N_155_i.BLIF N_20.BLIF \ -un14_amiga_bus_data_dir_i.BLIF N_156_i.BLIF N_21.BLIF N_80_i.BLIF N_23.BLIF \ -un6_lds_000_i.BLIF N_154_i.BLIF N_24.BLIF un6_uds_000_i.BLIF N_152_i.BLIF \ -N_25.BLIF un6_ds_030_i.BLIF N_153_i.BLIF cpu_est_0_0_.BLIF un4_as_000_i.BLIF \ -N_142_0.BLIF AS_000_INT_i.BLIF N_141_0.BLIF un6_as_030_i.BLIF N_138_0.BLIF \ -AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_132_i.BLIF DS_030_D0_i.BLIF \ -un1_as_030_i.BLIF AS_030_c.BLIF pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF \ -AS_000_c.BLIF size_c_i_1__n.BLIF N_25_i.BLIF RW_000_c.BLIF N_32_0.BLIF \ -N_24_i.BLIF DS_030_c.BLIF N_31_0.BLIF N_23_i.BLIF UDS_000_c.BLIF N_30_0.BLIF \ -ipl_c_i_2__n.BLIF LDS_000_c.BLIF N_54_0.BLIF ipl_c_i_1__n.BLIF \ -size_c_0__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF size_c_1__n.BLIF N_52_0.BLIF \ +LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_159.BLIF N_21_i.BLIF \ +bgack_030_int_0_un0_n.BLIF N_157.BLIF N_34_0.BLIF as_030_000_sync_0_un3_n.BLIF \ +N_158.BLIF N_20_i.BLIF as_030_000_sync_0_un1_n.BLIF un1_rst_dly_i_m_1__n.BLIF \ +N_35_0.BLIF as_030_000_sync_0_un0_n.BLIF N_138.BLIF N_16_i.BLIF \ +ds_000_enable_0_un3_n.BLIF N_88.BLIF N_39_0.BLIF ds_000_enable_0_un1_n.BLIF \ +inst_BGACK_030_INTreg.BLIF N_256.BLIF N_13_i.BLIF ds_000_enable_0_un0_n.BLIF \ +vcc_n_n.BLIF N_89.BLIF N_42_0.BLIF a0_dma_0_un3_n.BLIF cpu_est_3_reg.BLIF \ +N_91.BLIF N_12_i.BLIF a0_dma_0_un1_n.BLIF inst_VMA_INTreg.BLIF N_92.BLIF \ +N_43_0.BLIF a0_dma_0_un0_n.BLIF inst_RESET_OUTreg.BLIF pos_clk_a0_dma_3_n.BLIF \ +N_8_i.BLIF size_dma_0_1__un3_n.BLIF gnd_n_n.BLIF N_96.BLIF N_46_0.BLIF \ +size_dma_0_1__un1_n.BLIF un1_amiga_bus_enable_low.BLIF N_72.BLIF N_76_i.BLIF \ +size_dma_0_1__un0_n.BLIF un6_as_030.BLIF N_97.BLIF N_72_0.BLIF \ +size_dma_0_0__un3_n.BLIF un3_size.BLIF N_116.BLIF UDS_000_c_i.BLIF \ +size_dma_0_0__un1_n.BLIF un4_size.BLIF N_104.BLIF LDS_000_c_i.BLIF \ +size_dma_0_0__un0_n.BLIF un5_ciin.BLIF N_76.BLIF N_256_i.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF un8_ciin.BLIF \ +pos_clk_size_dma_6_1__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF un4_as_000.BLIF \ +pos_clk_size_dma_6_0__n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF un1_SM_AMIGA_7.BLIF \ +N_250.BLIF N_104_i.BLIF cpu_est_0_1__un3_n.BLIF un21_fpu_cs.BLIF \ +pos_clk_un6_bgack_000_n.BLIF N_252_0.BLIF cpu_est_0_1__un1_n.BLIF \ +un22_berr.BLIF N_251.BLIF N_251_0.BLIF cpu_est_0_1__un0_n.BLIF un6_ds_030.BLIF \ +N_252.BLIF pos_clk_un6_bgack_000_0_n.BLIF cpu_est_0_2__un3_n.BLIF \ +un6_uds_000.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF N_97_i.BLIF \ +cpu_est_0_2__un1_n.BLIF un6_lds_000.BLIF \ +pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_96_i.BLIF cpu_est_0_2__un0_n.BLIF \ +cpu_est_0_.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF N_250_0.BLIF \ +cpu_est_0_3__un3_n.BLIF cpu_est_1_.BLIF un22_berr_1.BLIF N_89_i.BLIF \ +cpu_est_0_3__un1_n.BLIF inst_AS_000_INT.BLIF N_8.BLIF \ +pos_clk_size_dma_6_0_0__n.BLIF cpu_est_0_3__un0_n.BLIF SM_AMIGA_5_.BLIF \ +N_12.BLIF N_88_i.BLIF ipl_030_0_0__un3_n.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_13.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ +ipl_030_0_0__un1_n.BLIF inst_AS_030_D0.BLIF N_16.BLIF N_138_0.BLIF \ +ipl_030_0_0__un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_20.BLIF \ +un1_rst_dly_i_m_i_1__n.BLIF ipl_030_0_1__un3_n.BLIF inst_DS_030_D0.BLIF \ +N_21.BLIF ipl_030_0_1__un1_n.BLIF inst_AS_030_000_SYNC.BLIF N_11.BLIF \ +N_157_i.BLIF ipl_030_0_1__un0_n.BLIF inst_BGACK_030_INT_D.BLIF \ +un1_amiga_bus_enable_low_i.BLIF N_158_i.BLIF ipl_030_0_2__un3_n.BLIF \ +inst_AS_000_DMA.BLIF un21_fpu_cs_i.BLIF N_159_i.BLIF ipl_030_0_2__un1_n.BLIF \ +inst_DS_000_DMA.BLIF BGACK_030_INT_i.BLIF ipl_030_0_2__un0_n.BLIF \ +CYCLE_DMA_0_.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_257_0.BLIF \ +dsack1_int_0_un3_n.BLIF CYCLE_DMA_1_.BLIF AS_030_i.BLIF un1_as_000_i.BLIF \ +dsack1_int_0_un1_n.BLIF SIZE_DMA_0_.BLIF AS_000_INT_i.BLIF N_93_i.BLIF \ +dsack1_int_0_un0_n.BLIF SIZE_DMA_1_.BLIF N_105_i.BLIF as_000_int_0_un3_n.BLIF \ +inst_VPA_D.BLIF N_91_i.BLIF N_87_i.BLIF as_000_int_0_un1_n.BLIF \ +inst_UDS_000_INT.BLIF N_92_i.BLIF N_86_i.BLIF as_000_int_0_un0_n.BLIF \ +inst_LDS_000_INT.BLIF a_i_19__n.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ +lds_000_int_0_un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF a_i_18__n.BLIF \ +un1_rst_dly_i_m_i_2__n.BLIF lds_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF \ +a_i_16__n.BLIF lds_000_int_0_un0_n.BLIF inst_CLK_OUT_PRE_50.BLIF \ +size_dma_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF rw_000_int_0_un3_n.BLIF \ +inst_CLK_000_D1.BLIF size_dma_i_0__n.BLIF rw_000_int_0_un1_n.BLIF \ +inst_CLK_000_D0.BLIF FPU_SENSE_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF \ +rw_000_int_0_un0_n.BLIF inst_CLK_000_PE.BLIF CLK_000_D1_i.BLIF \ +uds_000_int_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF CLK_000_D0_i.BLIF \ +un1_rst_dly_i_m_i_5__n.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF \ +AS_030_000_SYNC_i.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_11_.BLIF \ +AS_030_D0_i.BLIF un1_rst_dly_i_m_i_6__n.BLIF vma_int_0_un3_n.BLIF \ +cpu_est_2_.BLIF sm_amiga_i_i_7__n.BLIF vma_int_0_un1_n.BLIF IPL_D0_0_.BLIF \ +AS_000_i.BLIF un1_rst_dly_i_m_i_7__n.BLIF vma_int_0_un0_n.BLIF IPL_D0_1_.BLIF \ +CLK_000_PE_i.BLIF bg_000_0_un3_n.BLIF IPL_D0_2_.BLIF nEXP_SPACE_D0_i.BLIF \ +un1_rst_dly_i_m_i_8__n.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_3_.BLIF A1_i.BLIF \ +bg_000_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF sm_amiga_i_6__n.BLIF \ +un3_as_030_i.BLIF ds_000_dma_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF \ +BERR_i.BLIF un1_as_030_i.BLIF ds_000_dma_0_un1_n.BLIF SM_AMIGA_0_.BLIF \ +sm_amiga_i_5__n.BLIF clk_000_n_sync_i_10__n.BLIF ds_000_dma_0_un0_n.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF RESET_OUT_0_sqmuxa_i.BLIF \ +pos_clk_un9_clk_000_n_sync_i_n.BLIF as_000_dma_0_un3_n.BLIF \ +inst_DSACK1_INTreg.BLIF un1_rst_dly_i_1__n.BLIF \ +pos_clk_un14_clk_000_n_sync_0_n.BLIF as_000_dma_0_un1_n.BLIF RESET_OUT_i.BLIF \ +CLK_EXP_c_i.BLIF as_000_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF \ +RW_000_i.BLIF N_244_0.BLIF a_15__n.BLIF pos_clk_ipl_n.BLIF \ +un1_rst_dly_i_2__n.BLIF N_83_i.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ +un1_rst_dly_i_3__n.BLIF N_115_0.BLIF a_14__n.BLIF SM_AMIGA_6_.BLIF \ +un1_rst_dly_i_4__n.BLIF N_84_i.BLIF RST_DLY_0_.BLIF un1_rst_dly_i_5__n.BLIF \ +N_245_0.BLIF a_13__n.BLIF RST_DLY_1_.BLIF un1_rst_dly_i_6__n.BLIF N_85_i.BLIF \ +RST_DLY_2_.BLIF un1_rst_dly_i_7__n.BLIF pos_clk_ds_000_dma_4_0_n.BLIF \ +a_12__n.BLIF RST_DLY_3_.BLIF un1_rst_dly_i_8__n.BLIF N_94_i.BLIF \ +RST_DLY_4_.BLIF CLK_OUT_PRE_D_i.BLIF a_11__n.BLIF RST_DLY_5_.BLIF \ +pos_clk_un11_clk_000_n_sync_i_n.BLIF RST_DLY_6_.BLIF CLK_030_H_i.BLIF \ +a_10__n.BLIF RST_DLY_7_.BLIF AS_000_DMA_i.BLIF N_73_0.BLIF \ +pos_clk_un8_bg_030_n.BLIF VMA_INT_i.BLIF a_9__n.BLIF CLK_000_P_SYNC_0_.BLIF \ +VPA_D_i.BLIF N_160_i.BLIF CLK_000_P_SYNC_1_.BLIF cpu_est_i_0__n.BLIF \ +N_161_i.BLIF a_8__n.BLIF CLK_000_P_SYNC_2_.BLIF cpu_est_i_1__n.BLIF \ +CLK_000_P_SYNC_3_.BLIF cpu_est_i_3__n.BLIF N_155_i.BLIF a_7__n.BLIF \ +CLK_000_P_SYNC_4_.BLIF a_i_24__n.BLIF N_156_i.BLIF CLK_000_P_SYNC_5_.BLIF \ +pos_clk_un7_clk_000_d0_i_n.BLIF a_6__n.BLIF CLK_000_P_SYNC_6_.BLIF \ +sm_amiga_i_0__n.BLIF N_154_i.BLIF CLK_000_P_SYNC_7_.BLIF sm_amiga_i_3__n.BLIF \ +N_152_i.BLIF a_5__n.BLIF CLK_000_P_SYNC_8_.BLIF CLK_000_NE_i.BLIF N_153_i.BLIF \ +CLK_000_N_SYNC_0_.BLIF cpu_est_i_2__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_1_.BLIF \ +sm_amiga_i_1__n.BLIF N_150_i.BLIF CLK_000_N_SYNC_2_.BLIF sm_amiga_i_4__n.BLIF \ +N_151_i.BLIF a_3__n.BLIF CLK_000_N_SYNC_3_.BLIF sm_amiga_i_2__n.BLIF \ +CLK_000_N_SYNC_4_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_149_i.BLIF \ +a_2__n.BLIF CLK_000_N_SYNC_5_.BLIF DSACK1_INT_0_sqmuxa_i.BLIF N_147_i.BLIF \ +CLK_000_N_SYNC_6_.BLIF RW_i.BLIF N_148_i.BLIF CLK_000_N_SYNC_7_.BLIF \ +un5_ciin_i.BLIF CLK_000_N_SYNC_8_.BLIF DTACK_D0_i.BLIF N_164_i.BLIF \ +CLK_000_N_SYNC_9_.BLIF a_i_31__n.BLIF N_165_i.BLIF CLK_000_N_SYNC_10_.BLIF \ +a_i_29__n.BLIF N_163_i.BLIF inst_RW_000_INT.BLIF a_i_30__n.BLIF N_199_i.BLIF \ +inst_RW_000_DMA.BLIF a_i_27__n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF \ +pos_clk_un7_clk_000_pe_n.BLIF a_i_28__n.BLIF N_197_i.BLIF inst_A0_DMA.BLIF \ +a_i_25__n.BLIF N_198_i.BLIF DS_000_ENABLE_1_sqmuxa.BLIF a_i_26__n.BLIF \ +N_194_i.BLIF inst_CLK_030_H.BLIF UDS_000_INT_i.BLIF \ +pos_clk_cpu_est_11_0_1__n.BLIF SM_AMIGA_1_.BLIF LDS_000_INT_i.BLIF \ +N_192_i.BLIF SM_AMIGA_4_.BLIF DS_030_i.BLIF N_135_i.BLIF SM_AMIGA_2_.BLIF \ +N_224_i.BLIF N_162_i.BLIF inst_DS_000_ENABLE.BLIF N_225_i.BLIF N_191_i.BLIF \ +AS_000_INT_1_sqmuxa.BLIF N_226_i.BLIF N_193_i.BLIF DSACK1_INT_1_sqmuxa.BLIF \ +N_190_i.BLIF pos_clk_ds_000_dma_4_n.BLIF N_189_i.BLIF N_3.BLIF \ +DS_000_ENABLE_1_sqmuxa_i.BLIF N_188_i.BLIF un6_lds_000_i.BLIF N_195_i.BLIF \ +un6_uds_000_i.BLIF N_196_i.BLIF N_6.BLIF un6_ds_030_i.BLIF N_186_i.BLIF \ +N_9.BLIF DS_000_DMA_i.BLIF N_143_0.BLIF N_10.BLIF un4_as_000_i.BLIF \ +N_142_0.BLIF N_14.BLIF un8_ciin_i.BLIF N_141_0.BLIF N_15.BLIF \ +un6_as_030_i.BLIF N_140_0.BLIF N_17.BLIF DS_030_D0_i.BLIF N_139_0.BLIF \ +N_19.BLIF AS_030_c.BLIF N_166_i.BLIF N_22.BLIF N_137_i.BLIF N_23.BLIF \ +AS_000_c.BLIF N_136_i.BLIF N_24.BLIF N_133_i.BLIF N_25.BLIF RW_000_c.BLIF \ +N_132_i.BLIF cpu_est_0_0_.BLIF N_146_i.BLIF DS_030_c.BLIF N_144_i.BLIF \ +UDS_000_c.BLIF un1_SM_AMIGA_7_i.BLIF pos_clk_un21_clk_000_ne_d0_i_n.BLIF \ +LDS_000_c.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ +pos_clk_un23_clk_000_ne_d0_0_n.BLIF size_c_0__n.BLIF \ +pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ +size_c_1__n.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF \ +pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF size_c_i_1__n.BLIF N_25_i.BLIF \ +N_32_0.BLIF N_24_i.BLIF N_31_0.BLIF N_23_i.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF \ +N_54_0.BLIF ipl_c_i_1__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF N_52_0.BLIF \ DTACK_c_i.BLIF N_57_0.BLIF VPA_c_i.BLIF N_56_0.BLIF nEXP_SPACE_c_i.BLIF \ -N_55_0.BLIF N_50_0.BLIF N_8_i.BLIF N_46_0.BLIF N_9_i.BLIF N_45_0.BLIF \ -N_10_i.BLIF SM_AMIGA_i_7_.BLIF N_44_0.BLIF N_115.BLIF N_12_i.BLIF \ -pos_clk_size_dma_6_0__n.BLIF N_43_0.BLIF pos_clk_size_dma_6_1__n.BLIF \ -N_13_i.BLIF G_165.BLIF N_42_0.BLIF G_166.BLIF N_14_i.BLIF G_167.BLIF \ -N_41_0.BLIF un6_uds_000_1.BLIF N_15_i.BLIF N_241.BLIF N_40_0.BLIF N_242.BLIF \ -N_16_i.BLIF N_243.BLIF N_39_0.BLIF N_244.BLIF N_19_i.BLIF N_245.BLIF \ -N_36_0.BLIF N_246.BLIF N_20_i.BLIF N_78.BLIF N_35_0.BLIF N_80.BLIF N_21_i.BLIF \ -N_89.BLIF N_34_0.BLIF N_90.BLIF a_c_16__n.BLIF BG_030_c_i.BLIF N_91.BLIF \ -pos_clk_un6_bg_030_i_n.BLIF N_98.BLIF a_c_17__n.BLIF \ -pos_clk_un8_bg_030_0_n.BLIF N_99.BLIF N_251_0_1.BLIF N_249.BLIF a_c_18__n.BLIF \ -N_121_i_1.BLIF N_248.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF N_135.BLIF \ -a_c_19__n.BLIF pos_clk_cpu_est_11_0_1_1__n.BLIF N_136.BLIF \ -pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_131_i_1.BLIF \ -un22_berr_1.BLIF N_131_i_2.BLIF N_152.BLIF N_131_i_3.BLIF N_153.BLIF \ -pos_clk_un11_ds_030_d0_i_1_n.BLIF N_154.BLIF un8_ciin_1.BLIF N_155.BLIF \ -un8_ciin_2.BLIF N_141.BLIF un8_ciin_3.BLIF N_156.BLIF un8_ciin_4.BLIF \ -N_157.BLIF a_c_24__n.BLIF un8_ciin_5.BLIF N_138.BLIF un8_ciin_6.BLIF \ -N_158.BLIF a_c_25__n.BLIF un8_ciin_7.BLIF N_159.BLIF un8_ciin_8.BLIF \ -N_160.BLIF a_c_26__n.BLIF N_116_1.BLIF N_142.BLIF N_116_2.BLIF N_161.BLIF \ -a_c_27__n.BLIF N_116_3.BLIF N_132.BLIF N_116_4.BLIF N_104.BLIF a_c_28__n.BLIF \ -un22_berr_1_0.BLIF N_76.BLIF un21_fpu_cs_1.BLIF N_71.BLIF a_c_29__n.BLIF \ -N_123_i_1.BLIF N_251.BLIF N_123_i_2.BLIF N_93.BLIF a_c_30__n.BLIF \ -N_125_i_1.BLIF N_94.BLIF N_127_i_1.BLIF N_88.BLIF a_c_31__n.BLIF \ -N_127_i_2.BLIF N_87.BLIF N_129_i_1.BLIF N_86.BLIF A0_c.BLIF \ -pos_clk_un6_bg_030_1_n.BLIF N_84.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF \ -N_83.BLIF A1_c.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF N_116.BLIF \ -RESET_OUT_0_sqmuxa_7_2.BLIF G_149.BLIF nEXP_SPACE_c.BLIF \ -RESET_OUT_0_sqmuxa_7_3.BLIF G_147.BLIF RESET_OUT_0_sqmuxa_5_1.BLIF N_213.BLIF \ -BERR_c.BLIF N_135_i_1.BLIF G_145.BLIF pos_clk_un27_clk_000_ne_d0_1_n.BLIF \ -N_211.BLIF BG_030_c.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF G_143.BLIF \ -pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_209.BLIF BG_000DFFreg.BLIF \ -pos_clk_un5_clk_000_pe_1_n.BLIF G_141.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ -G_139.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF N_205.BLIF BGACK_000_c.BLIF \ -pos_clk_un9_clk_000_ne_1_n.BLIF G_137.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ -RESET_OUT_0_sqmuxa_1.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ -RESET_OUT_0_sqmuxa.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF \ -RESET_OUT_0_sqmuxa_7.BLIF N_196_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF \ -CLK_OSZI_c.BLIF N_195_1.BLIF un1_rst_dly_i_m_8__n.BLIF \ -pos_clk_un24_bgack_030_int_1_n.BLIF un1_rst_dly_i_m_7__n.BLIF N_165_1.BLIF \ -un1_rst_dly_i_m_6__n.BLIF CLK_EXP_c.BLIF N_165_2.BLIF \ -un1_rst_dly_i_m_5__n.BLIF N_165_3.BLIF un1_rst_dly_i_m_4__n.BLIF N_163_1.BLIF \ -un1_rst_dly_i_m_3__n.BLIF FPU_SENSE_c.BLIF N_162_1.BLIF \ -un1_rst_dly_i_m_2__n.BLIF N_176_1_0.BLIF N_38.BLIF IPL_030DFF_0_reg.BLIF \ -DS_000_DMA_2_sqmuxa_1.BLIF N_85.BLIF N_119_i_1.BLIF \ -pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF IPL_030DFF_1_reg.BLIF N_115_0_1.BLIF \ -N_252.BLIF pos_clk_ipl_1_n.BLIF N_97.BLIF IPL_030DFF_2_reg.BLIF \ -as_000_dma_0_un3_n.BLIF pos_clk_un27_clk_000_ne_d0_n.BLIF \ -as_000_dma_0_un1_n.BLIF N_199_1.BLIF ipl_c_0__n.BLIF as_000_dma_0_un0_n.BLIF \ -pos_clk_un5_clk_000_pe_n.BLIF ds_000_dma_0_un3_n.BLIF \ -pos_clk_un9_clk_000_ne_n.BLIF ipl_c_1__n.BLIF ds_000_dma_0_un1_n.BLIF \ -N_150.BLIF ds_000_dma_0_un0_n.BLIF N_151.BLIF ipl_c_2__n.BLIF \ -vma_int_0_un3_n.BLIF N_199.BLIF vma_int_0_un1_n.BLIF N_196.BLIF \ -vma_int_0_un0_n.BLIF N_195.BLIF DTACK_c.BLIF \ -sm_amiga_srsts_i_0_m2_1__un3_n.BLIF N_188.BLIF \ -sm_amiga_srsts_i_0_m2_1__un1_n.BLIF pos_clk_cpu_est_11_3__n.BLIF \ -sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_197.BLIF cpu_est_0_3__un3_n.BLIF \ -N_198.BLIF VPA_c.BLIF cpu_est_0_3__un1_n.BLIF pos_clk_cpu_est_11_1__n.BLIF \ -cpu_est_0_3__un0_n.BLIF N_194.BLIF cpu_est_0_2__un3_n.BLIF N_192.BLIF \ -RST_c.BLIF cpu_est_0_2__un1_n.BLIF N_191.BLIF cpu_est_0_2__un0_n.BLIF \ +SM_AMIGA_i_7_.BLIF N_55_0.BLIF N_115.BLIF N_50_0.BLIF \ +pos_clk_cpu_est_11_1__n.BLIF N_3_i.BLIF pos_clk_cpu_est_11_3__n.BLIF \ +N_49_0.BLIF N_220.BLIF N_6_i.BLIF G_165.BLIF N_48_0.BLIF G_166.BLIF N_9_i.BLIF \ +G_167.BLIF N_45_0.BLIF un6_uds_000_1.BLIF N_10_i.BLIF \ +pos_clk_un24_bgack_030_int_i_0_n.BLIF a_c_16__n.BLIF N_44_0.BLIF N_244.BLIF \ +N_14_i.BLIF N_245.BLIF a_c_17__n.BLIF N_41_0.BLIF N_15_i.BLIF N_80.BLIF \ +a_c_18__n.BLIF N_40_0.BLIF N_90.BLIF N_17_i.BLIF N_100.BLIF a_c_19__n.BLIF \ +N_38_0.BLIF N_105.BLIF N_19_i.BLIF pos_clk_un11_clk_000_n_sync_n.BLIF \ +a_c_20__n.BLIF N_36_0.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_22_i.BLIF \ +pos_clk_CYCLE_DMA_5_0_i_x2.BLIF a_c_21__n.BLIF N_33_0.BLIF N_135.BLIF \ +BG_030_c_i.BLIF N_133.BLIF a_c_22__n.BLIF pos_clk_un6_bg_030_i_n.BLIF \ +N_190.BLIF pos_clk_un8_bg_030_0_n.BLIF N_188.BLIF a_c_23__n.BLIF \ +N_127_i_1.BLIF N_189.BLIF N_127_i_2.BLIF N_136.BLIF a_c_24__n.BLIF \ +pos_clk_un11_ds_030_d0_i_1_n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_135_i_1.BLIF \ +pos_clk_un29_clk_000_ne_d0_n.BLIF a_c_25__n.BLIF \ +pos_clk_cpu_est_11_0_1_1__n.BLIF DSACK1_INT_0_sqmuxa.BLIF \ +pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un21_clk_000_ne_d0_n.BLIF \ +a_c_26__n.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF pos_clk_un5_clk_000_pe_n.BLIF \ +N_195_1.BLIF pos_clk_un9_clk_000_ne_n.BLIF a_c_27__n.BLIF N_196_1.BLIF \ +pos_clk_un23_clk_000_ne_d0_n.BLIF N_131_i_1.BLIF \ +pos_clk_un27_clk_000_ne_d0_n.BLIF a_c_28__n.BLIF N_131_i_2.BLIF \ +pos_clk_un14_clk_000_n_sync_n.BLIF N_131_i_3.BLIF N_144.BLIF a_c_29__n.BLIF \ +N_119_i_1.BLIF N_146.BLIF N_119_i_2.BLIF N_143.BLIF a_c_30__n.BLIF \ +N_121_i_1.BLIF N_147.BLIF N_123_i_1.BLIF N_139.BLIF a_c_31__n.BLIF \ +N_123_i_2.BLIF N_148.BLIF N_125_i_1.BLIF N_149.BLIF A0_c.BLIF N_129_i_1.BLIF \ +N_150.BLIF N_162_1.BLIF N_140.BLIF A1_c.BLIF N_163_1.BLIF N_151.BLIF \ +N_165_1.BLIF N_152.BLIF nEXP_SPACE_c.BLIF N_165_2.BLIF N_153.BLIF N_165_3.BLIF \ +N_154.BLIF BERR_c.BLIF un5_ciin_1.BLIF N_155.BLIF un5_ciin_2.BLIF N_141.BLIF \ +BG_030_c.BLIF un5_ciin_3.BLIF N_156.BLIF un5_ciin_4.BLIF N_160.BLIF \ +BG_000DFFreg.BLIF un5_ciin_5.BLIF N_142.BLIF un5_ciin_6.BLIF N_161.BLIF \ +un5_ciin_7.BLIF N_164.BLIF BGACK_000_c.BLIF un5_ciin_8.BLIF N_166.BLIF \ +un5_ciin_9.BLIF N_132.BLIF un5_ciin_10.BLIF N_191.BLIF un5_ciin_11.BLIF \ +N_192.BLIF pos_clk_un9_clk_000_ne_1_n.BLIF N_193.BLIF CLK_OSZI_c.BLIF \ +pos_clk_un9_clk_000_ne_2_n.BLIF N_194.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ +N_197.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF N_198.BLIF CLK_EXP_c.BLIF \ +pos_clk_un5_clk_000_pe_1_n.BLIF N_195.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ +N_196.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF N_162.BLIF FPU_SENSE_c.BLIF \ +pos_clk_un27_clk_000_ne_d0_1_n.BLIF N_199.BLIF \ +pos_clk_un27_clk_000_ne_d0_2_n.BLIF N_199_1.BLIF IPL_030DFF_0_reg.BLIF \ +pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_163.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF \ +N_165.BLIF IPL_030DFF_1_reg.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF N_73.BLIF \ +RESET_OUT_0_sqmuxa_7_3.BLIF N_94.BLIF IPL_030DFF_2_reg.BLIF \ +RESET_OUT_0_sqmuxa_5_1.BLIF N_85.BLIF N_87_1.BLIF N_84.BLIF ipl_c_0__n.BLIF \ +N_87_2.BLIF N_83.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF \ +pos_clk_un9_clk_000_n_sync_n.BLIF ipl_c_1__n.BLIF \ +pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF G_149.BLIF N_72_0_1.BLIF G_147.BLIF \ +ipl_c_2__n.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF N_213.BLIF un21_fpu_cs_1.BLIF \ +G_145.BLIF un22_berr_1_0.BLIF N_211.BLIF DTACK_c.BLIF N_116_1.BLIF G_143.BLIF \ +N_116_2.BLIF N_209.BLIF N_116_3.BLIF G_141.BLIF N_116_4.BLIF G_139.BLIF \ +VPA_c.BLIF pos_clk_un6_bg_030_1_n.BLIF N_205.BLIF N_115_0_1.BLIF G_137.BLIF \ +N_245_0_1.BLIF RESET_OUT_0_sqmuxa_1.BLIF RST_c.BLIF N_247_i_1.BLIF \ +RESET_OUT_0_sqmuxa.BLIF N_248_i_1.BLIF RESET_OUT_0_sqmuxa_7.BLIF \ +N_249_i_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF RW_c.BLIF pos_clk_ipl_1_n.BLIF \ +un1_rst_dly_i_m_8__n.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF \ +un1_rst_dly_i_m_7__n.BLIF fc_c_0__n.BLIF \ +amiga_bus_enable_dma_high_0_un1_n.BLIF un1_rst_dly_i_m_6__n.BLIF \ +amiga_bus_enable_dma_high_0_un0_n.BLIF un1_rst_dly_i_m_5__n.BLIF \ +fc_c_1__n.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF un1_rst_dly_i_m_4__n.BLIF \ +amiga_bus_enable_dma_low_0_un1_n.BLIF un1_rst_dly_i_m_3__n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF un1_rst_dly_i_m_2__n.BLIF \ +AMIGA_BUS_DATA_DIR_c.BLIF rw_000_dma_0_un3_n.BLIF N_86.BLIF \ +rw_000_dma_0_un1_n.BLIF N_93.BLIF rw_000_dma_0_un0_n.BLIF N_87.BLIF \ +bgack_030_int_0_un3_n.BLIF G_135.BLIF bgack_030_int_0_un1_n.BLIF \ AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF DS_030.PIN.BLIF \ UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E \ VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_D0_2_.D IPL_D0_2_.C \ -SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ -SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C \ -SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D \ -SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D \ -cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C IPL_030DFF_0_reg.D \ -IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D \ -IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C \ -RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ -RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C \ -RST_DLY_6_.D RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D \ -CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C \ -SIZE_DMA_1_.D SIZE_DMA_1_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C \ -CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D \ -CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C \ -CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D \ -CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C \ -CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D \ -CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D \ -CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.D \ -CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C \ -CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D \ -CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C \ -CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ -CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C inst_LDS_000_INT.D \ -inst_LDS_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ -inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ -inst_A0_DMA.D inst_A0_DMA.C inst_AS_000_DMA.D inst_AS_000_DMA.C \ -inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C \ -inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D \ -inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D \ -inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUTreg.D \ -inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C BG_000DFFreg.D BG_000DFFreg.C \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D \ +IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ +IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C \ +SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D \ +SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C \ +SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D \ +cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C \ +IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C \ +CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D \ +CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C RST_DLY_0_.D \ +RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D \ +RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C RST_DLY_6_.D \ +RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ +CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ +SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ +CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C \ +CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D \ +CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C \ +CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ +CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ +CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D \ +CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ +CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ +CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ +CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ +CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ +CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C inst_CLK_030_H.D inst_CLK_030_H.C \ +inst_RESET_OUTreg.D inst_RESET_OUTreg.C inst_DS_000_ENABLE.D \ +inst_DS_000_ENABLE.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D \ +inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ +inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_030_000_SYNC.D \ +inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C \ +inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_A0_DMA.D inst_A0_DMA.C \ +inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C \ +inst_DS_030_D0.D inst_DS_030_D0.C inst_AS_030_D0.D inst_AS_030_D0.C \ +inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C \ +inst_DTACK_D0.D inst_DTACK_D0.C BG_000DFFreg.D BG_000DFFreg.C \ inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ inst_VMA_INTreg.D inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C \ -inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D \ inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D \ inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D \ inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ inst_CLK_000_D0.D inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 RW_000 DS_030 \ -UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_193 cpu_est_0_1__un3_n N_190 RW_c \ -cpu_est_0_1__un1_n N_189 cpu_est_0_1__un0_n N_140 fc_c_0__n \ -bgack_030_int_0_un3_n pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n \ -pos_clk_un23_clk_000_ne_d0_n fc_c_1__n bgack_030_int_0_un0_n \ -pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n \ -vcc_n_n pos_clk_un7_clk_000_pe_n un1_amiga_bus_enable_dma_high_i_m4_0__un1_n \ -N_18 AMIGA_BUS_DATA_DIR_c un1_amiga_bus_enable_dma_high_i_m4_0__un0_n N_22 \ -ds_000_enable_1_sqmuxa_1_i_m4_un3_n pos_clk_un11_clk_000_n_sync_n \ -ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n pos_clk_un9_clk_000_n_sync_n \ -ds_000_enable_1_sqmuxa_1_i_m4_un0_n un1_amiga_bus_enable_low \ -pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n un6_as_030 \ -pos_clk_un22_bgack_030_int_n N_6_i size_dma_0_0__un1_n un3_size N_48_0 \ -size_dma_0_0__un0_n un4_size N_220 N_3_i size_dma_0_1__un3_n un8_ciin N_49_0 \ -size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n \ -pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 \ -CLK_030_H_0_sqmuxa pos_clk_un26_bgack_030_int_i_n ipl_030_0_0__un3_n \ -un21_fpu_cs AS_000_DMA_1_sqmuxa pos_clk_un27_bgack_030_int_0_n \ -ipl_030_0_0__un1_n un22_berr pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i \ -ipl_030_0_0__un0_n un6_ds_030 pos_clk_un27_bgack_030_int_n N_7_i \ -ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 ipl_030_0_1__un1_n un6_lds_000 \ -N_165 N_133_i ipl_030_0_1__un0_n N_133 N_176_i ipl_030_0_2__un3_n N_163 \ -N_175_i ipl_030_0_2__un1_n N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n \ -N_164 pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n N_176 N_162_i \ -dsack1_int_0_un1_n DS_000_DMA_2_sqmuxa N_163_i dsack1_int_0_un0_n \ -pos_clk_ds_000_dma_4_n N_164_i as_000_int_0_un3_n DS_000_DMA_0_sqmuxa N_165_i \ -as_000_int_0_un1_n pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n N_175 \ -pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n N_47 \ -pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n N_7 \ -clk_000_n_sync_i_10__n ds_000_enable_0_un0_n un1_rst_2 \ -pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n \ -pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n \ -pos_clk_un29_bgack_030_int_n N_33_0 as_030_000_sync_0_un0_n N_3 N_18_i \ -lds_000_int_0_un3_n N_6 N_37_0 lds_000_int_0_un1_n un1_amiga_bus_enable_low_i \ -pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n un21_fpu_cs_i \ -pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n AS_000_i \ -pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n DS_000_DMA_i \ -pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n \ -pos_clk_un24_bgack_030_int_i_n pos_clk_un21_clk_000_ne_d0_i_n \ -rw_000_dma_0_un3_n cycle_dma_i_1__n pos_clk_un23_clk_000_ne_d0_0_n \ -rw_000_dma_0_un1_n cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n AS_000_DMA_i \ -N_140_0 uds_000_int_0_un3_n CLK_EXP_i N_195_i uds_000_int_0_un1_n BERR_i \ -N_196_i uds_000_int_0_un0_n RW_000_i N_186_i amiga_bus_enable_dma_low_0_un3_n \ -DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n \ -pos_clk_un40_bgack_030_int_1_i_n N_189_i amiga_bus_enable_dma_low_0_un0_n \ -BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n nEXP_SPACE_D0_i \ -N_193_i amiga_bus_enable_dma_high_0_un1_n CLK_000_PE_i N_191_i \ -amiga_bus_enable_dma_high_0_un0_n CLK_000_NE_i N_192_i bg_000_0_un3_n \ -pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n sm_amiga_i_0__n \ -pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n pos_clk_un7_clk_000_d0_i_n N_198_i \ -a0_dma_0_un3_n UDS_000_i N_197_i a0_dma_0_un1_n LDS_000_i N_199_i \ -a0_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n \ -pos_clk_cpu_est_11_0_3__n a_23__n pos_clk_ipl_n CLK_OUT_PRE_D_i N_151_i \ -pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n sm_amiga_i_2__n \ -AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n N_135_i \ -a_21__n cpu_est_i_0__n N_252_0 cpu_est_i_3__n N_85_i a_20__n cpu_est_i_2__n \ -cpu_est_i_1__n N_38_0 a_15__n VPA_D_i un1_rst_dly_i_m_i_2__n VMA_INT_i a_14__n \ -sm_amiga_i_1__n un1_rst_dly_i_m_i_3__n RESET_OUT_0_sqmuxa_i a_13__n \ -pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n un1_rst_dly_i_2__n \ -a_12__n un1_rst_dly_i_3__n un1_rst_dly_i_m_i_5__n un1_rst_dly_i_4__n a_11__n \ -un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n un1_rst_dly_i_6__n a_10__n \ -un1_rst_dly_i_7__n un1_rst_dly_i_m_i_7__n un1_rst_dly_i_8__n a_9__n \ -RESET_OUT_i un1_rst_dly_i_m_i_8__n AS_030_D0_i a_8__n AS_030_i un3_as_030_i \ -A1_i N_76_i a_7__n CLK_000_D1_i N_83_i sm_amiga_i_i_7__n a_6__n N_248_i N_84_i \ -sm_amiga_i_5__n N_115_0 a_5__n RW_i N_86_i CLK_000_D0_i \ -pos_clk_size_dma_6_0_1__n a_4__n AS_030_000_SYNC_i N_87_i sm_amiga_i_6__n \ -pos_clk_size_dma_6_0_0__n a_3__n sm_amiga_i_4__n N_88_i \ -pos_clk_un5_bgack_030_int_d_n FPU_SENSE_i a_2__n size_dma_i_0__n N_241_0 \ -size_dma_i_1__n N_242_0 a_i_16__n N_243_0 a_i_18__n N_93_i a_i_19__n N_94_i \ -a_i_30__n N_244_0 a_i_31__n N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n N_246_0 \ -a_i_29__n pos_clk_un3_as_030_d0_i_n a_i_26__n pos_clk_un5_bgack_030_int_d_i_n \ -a_i_27__n N_249_i pos_clk_a0_dma_3_n a_i_24__n N_251_0 a_i_25__n N_71_0 \ -LDS_000_INT_i N_104_i N_8 DS_030_i N_137_i N_9 UDS_000_INT_i N_10 N_224_i \ -N_160_i N_11 N_225_i N_161_i N_12 N_226_i N_13 N_159_i N_14 N_157_i N_15 \ -N_158_i N_16 N_91_i N_19 N_90_i N_155_i N_20 un14_amiga_bus_data_dir_i N_156_i \ -N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 un6_uds_000_i N_152_i N_25 \ -un6_ds_030_i N_153_i un4_as_000_i N_142_0 AS_000_INT_i N_141_0 un6_as_030_i \ -N_138_0 AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i DS_030_D0_i un1_as_030_i AS_030_c \ -pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c size_c_i_1__n N_25_i RW_000_c \ -N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 ipl_c_i_2__n LDS_000_c \ -N_54_0 ipl_c_i_1__n size_c_0__n N_53_0 ipl_c_i_0__n size_c_1__n N_52_0 \ -DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_8_i N_46_0 \ -N_9_i N_45_0 N_10_i N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n N_43_0 \ -pos_clk_size_dma_6_1__n N_13_i N_42_0 N_14_i N_41_0 un6_uds_000_1 N_15_i N_241 \ -N_40_0 N_242 N_16_i N_243 N_39_0 N_244 N_19_i N_245 N_36_0 N_246 N_20_i N_78 \ -N_35_0 N_80 N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 \ -pos_clk_un6_bg_030_i_n N_98 a_c_17__n pos_clk_un8_bg_030_0_n N_99 N_251_0_1 \ -N_249 a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n \ -pos_clk_cpu_est_11_0_1_1__n N_136 pos_clk_cpu_est_11_0_2_1__n \ -pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 \ -pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 N_155 un8_ciin_2 N_141 \ -un8_ciin_3 N_156 un8_ciin_4 N_157 a_c_24__n un8_ciin_5 N_138 un8_ciin_6 N_158 \ -a_c_25__n un8_ciin_7 N_159 un8_ciin_8 N_160 a_c_26__n N_116_1 N_142 N_116_2 \ -N_161 a_c_27__n N_116_3 N_132 N_116_4 N_104 a_c_28__n un22_berr_1_0 N_76 \ -un21_fpu_cs_1 N_71 a_c_29__n N_123_i_1 N_251 N_123_i_2 N_93 a_c_30__n \ -N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 N_87 N_129_i_1 N_86 A0_c \ -pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c \ -RESET_OUT_0_sqmuxa_7_1 N_116 RESET_OUT_0_sqmuxa_7_2 nEXP_SPACE_c \ -RESET_OUT_0_sqmuxa_7_3 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 \ -pos_clk_un27_clk_000_ne_d0_1_n N_211 BG_030_c pos_clk_un27_clk_000_ne_d0_2_n \ -pos_clk_un27_clk_000_ne_d0_3_n N_209 pos_clk_un5_clk_000_pe_1_n \ -pos_clk_un5_clk_000_pe_2_n pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c \ -pos_clk_un9_clk_000_ne_1_n pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 \ -pos_clk_un9_clk_000_ne_3_n RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n \ -RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 \ -un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n \ -N_165_1 un1_rst_dly_i_m_6__n CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 \ -un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 \ -un1_rst_dly_i_m_2__n N_176_1_0 N_38 DS_000_DMA_2_sqmuxa_1 N_85 N_119_i_1 \ -N_115_0_1 N_252 pos_clk_ipl_1_n N_97 as_000_dma_0_un3_n \ -pos_clk_un27_clk_000_ne_d0_n as_000_dma_0_un1_n N_199_1 ipl_c_0__n \ -as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n ds_000_dma_0_un3_n \ -pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 \ -ds_000_dma_0_un0_n N_151 ipl_c_2__n vma_int_0_un3_n N_199 vma_int_0_un1_n \ -N_196 vma_int_0_un0_n N_195 DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 \ -sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_cpu_est_11_3__n \ -sm_amiga_srsts_i_0_m2_1__un0_n N_197 cpu_est_0_3__un3_n N_198 VPA_c \ -cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 \ -cpu_est_0_2__un3_n N_192 RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n \ -AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \ -SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE G_161 G_159 \ -cpu_est_0_0_ G_165 G_166 G_167 G_149 G_147 G_145 G_143 G_141 G_139 G_137 \ -pos_clk_RST_DLY_5_iv_0_x2_0_ +UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_159 N_21_i bgack_030_int_0_un0_n N_157 \ +N_34_0 as_030_000_sync_0_un3_n N_158 N_20_i as_030_000_sync_0_un1_n \ +un1_rst_dly_i_m_1__n N_35_0 as_030_000_sync_0_un0_n N_138 N_16_i \ +ds_000_enable_0_un3_n N_88 N_39_0 ds_000_enable_0_un1_n N_256 N_13_i \ +ds_000_enable_0_un0_n vcc_n_n N_89 N_42_0 a0_dma_0_un3_n N_91 N_12_i \ +a0_dma_0_un1_n N_92 N_43_0 a0_dma_0_un0_n pos_clk_a0_dma_3_n N_8_i \ +size_dma_0_1__un3_n gnd_n_n N_96 N_46_0 size_dma_0_1__un1_n \ +un1_amiga_bus_enable_low N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 \ +N_72_0 size_dma_0_0__un3_n un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n \ +un4_size N_104 LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 N_256_i \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n \ +pos_clk_un5_bgack_030_int_d_i_n un1_amiga_bus_enable_dma_high_i_m2_0__un1_n \ +un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_SM_AMIGA_7 N_250 N_104_i \ +cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n N_252_0 \ +cpu_est_0_1__un1_n un22_berr N_251 N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 \ +pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 \ +pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n un6_lds_000 N_96_i \ +cpu_est_0_2__un0_n DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n \ +un22_berr_1 N_89_i cpu_est_0_3__un1_n N_8 pos_clk_size_dma_6_0_0__n \ +cpu_est_0_3__un0_n N_12 N_88_i ipl_030_0_0__un3_n N_13 \ +pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n N_16 N_138_0 ipl_030_0_0__un0_n \ +N_20 un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n N_21 ipl_030_0_1__un1_n N_11 \ +N_157_i ipl_030_0_1__un0_n un1_amiga_bus_enable_low_i N_158_i \ +ipl_030_0_2__un3_n un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n BGACK_030_INT_i \ +ipl_030_0_2__un0_n AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 dsack1_int_0_un3_n \ +AS_030_i un1_as_000_i dsack1_int_0_un1_n AS_000_INT_i N_93_i \ +dsack1_int_0_un0_n N_105_i as_000_int_0_un3_n N_91_i N_87_i as_000_int_0_un1_n \ +N_92_i N_86_i as_000_int_0_un0_n a_i_19__n AMIGA_BUS_DATA_DIR_c_0 \ +lds_000_int_0_un3_n a_i_18__n un1_rst_dly_i_m_i_2__n lds_000_int_0_un1_n \ +a_i_16__n lds_000_int_0_un0_n size_dma_i_1__n un1_rst_dly_i_m_i_3__n \ +rw_000_int_0_un3_n size_dma_i_0__n rw_000_int_0_un1_n FPU_SENSE_i \ +un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n CLK_000_D1_i uds_000_int_0_un3_n \ +CLK_000_D0_i un1_rst_dly_i_m_i_5__n uds_000_int_0_un1_n AS_030_000_SYNC_i \ +uds_000_int_0_un0_n AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n \ +sm_amiga_i_i_7__n vma_int_0_un1_n AS_000_i un1_rst_dly_i_m_i_7__n \ +vma_int_0_un0_n CLK_000_PE_i bg_000_0_un3_n nEXP_SPACE_D0_i \ +un1_rst_dly_i_m_i_8__n bg_000_0_un1_n A1_i bg_000_0_un0_n sm_amiga_i_6__n \ +un3_as_030_i ds_000_dma_0_un3_n pos_clk_un6_bg_030_n BERR_i un1_as_030_i \ +ds_000_dma_0_un1_n sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n \ +RESET_OUT_0_sqmuxa_i pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n \ +un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n as_000_dma_0_un1_n \ +RESET_OUT_i CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n \ +RW_000_i N_244_0 a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i \ +pos_clk_un3_ds_030_d0_n un1_rst_dly_i_3__n N_115_0 a_14__n un1_rst_dly_i_4__n \ +N_84_i un1_rst_dly_i_5__n N_245_0 a_13__n un1_rst_dly_i_6__n N_85_i \ +un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n un1_rst_dly_i_8__n N_94_i \ +CLK_OUT_PRE_D_i a_11__n pos_clk_un11_clk_000_n_sync_i_n CLK_030_H_i a_10__n \ +AS_000_DMA_i N_73_0 pos_clk_un8_bg_030_n VMA_INT_i a_9__n VPA_D_i N_160_i \ +cpu_est_i_0__n N_161_i a_8__n cpu_est_i_1__n cpu_est_i_3__n N_155_i a_7__n \ +a_i_24__n N_156_i pos_clk_un7_clk_000_d0_i_n a_6__n sm_amiga_i_0__n N_154_i \ +sm_amiga_i_3__n N_152_i a_5__n CLK_000_NE_i N_153_i cpu_est_i_2__n a_4__n \ +sm_amiga_i_1__n N_150_i sm_amiga_i_4__n N_151_i a_3__n sm_amiga_i_2__n \ +pos_clk_un29_clk_000_ne_d0_i_n N_149_i a_2__n DSACK1_INT_0_sqmuxa_i N_147_i \ +RW_i N_148_i un5_ciin_i DTACK_D0_i N_164_i a_i_31__n N_165_i a_i_29__n N_163_i \ +a_i_30__n N_199_i a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n \ +a_i_28__n N_197_i a_i_25__n N_198_i DS_000_ENABLE_1_sqmuxa a_i_26__n N_194_i \ +UDS_000_INT_i pos_clk_cpu_est_11_0_1__n LDS_000_INT_i N_192_i DS_030_i N_135_i \ +N_224_i N_162_i N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i \ +DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i N_3 \ +DS_000_ENABLE_1_sqmuxa_i N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i \ +N_6 un6_ds_030_i N_186_i N_9 DS_000_DMA_i N_143_0 N_10 un4_as_000_i N_142_0 \ +N_14 un8_ciin_i N_141_0 N_15 un6_as_030_i N_140_0 N_17 DS_030_D0_i N_139_0 \ +N_19 AS_030_c N_166_i N_22 N_137_i N_23 AS_000_c N_136_i N_24 N_133_i N_25 \ +RW_000_c N_132_i N_146_i DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i \ +pos_clk_un21_clk_000_ne_d0_i_n LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n \ +pos_clk_un23_clk_000_ne_d0_0_n size_c_0__n pos_clk_un5_clk_000_pe_i_n \ +pos_clk_un9_clk_000_ne_i_n size_c_1__n pos_clk_un7_clk_000_pe_0_n \ +pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i N_32_0 N_24_i N_31_0 \ +N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 \ +DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_115 N_50_0 \ +pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n N_49_0 N_220 N_6_i \ +N_48_0 N_9_i N_45_0 un6_uds_000_1 N_10_i pos_clk_un24_bgack_030_int_i_0_n \ +a_c_16__n N_44_0 N_244 N_14_i N_245 a_c_17__n N_41_0 N_15_i N_80 a_c_18__n \ +N_40_0 N_90 N_17_i N_100 a_c_19__n N_38_0 N_105 N_19_i \ +pos_clk_un11_clk_000_n_sync_n a_c_20__n N_36_0 N_22_i a_c_21__n N_33_0 N_135 \ +BG_030_c_i N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 pos_clk_un8_bg_030_0_n \ +N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 N_136 a_c_24__n \ +pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 \ +pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n \ +DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n pos_clk_un21_clk_000_ne_d0_n \ +a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 \ +pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n \ +N_131_i_1 pos_clk_un27_clk_000_ne_d0_n a_c_28__n N_131_i_2 \ +pos_clk_un14_clk_000_n_sync_n N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 \ +N_119_i_2 N_143 a_c_30__n N_121_i_1 N_147 N_123_i_1 N_139 a_c_31__n N_123_i_2 \ +N_148 N_125_i_1 N_149 A0_c N_129_i_1 N_150 N_162_1 N_140 A1_c N_163_1 N_151 \ +N_165_1 N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 N_154 BERR_c un5_ciin_1 N_155 \ +un5_ciin_2 N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 un5_ciin_5 N_142 \ +un5_ciin_6 N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 N_166 un5_ciin_9 \ +N_132 un5_ciin_10 N_191 un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n N_193 \ +CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 \ +pos_clk_un9_clk_000_ne_4_n N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 \ +pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c \ +pos_clk_un27_clk_000_ne_d0_1_n N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 \ +pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 \ +RESET_OUT_0_sqmuxa_7_2 N_73 RESET_OUT_0_sqmuxa_7_3 N_94 RESET_OUT_0_sqmuxa_5_1 \ +N_85 N_87_1 N_84 ipl_c_0__n N_87_2 N_83 pos_clk_un24_bgack_030_int_i_0_0_1_n \ +pos_clk_un9_clk_000_n_sync_n ipl_c_1__n pos_clk_un24_bgack_030_int_i_0_0_2_n \ +N_72_0_1 ipl_c_2__n pos_clk_un7_clk_000_d0_1_n N_213 un21_fpu_cs_1 \ +un22_berr_1_0 N_211 DTACK_c N_116_1 N_116_2 N_209 N_116_3 N_116_4 VPA_c \ +pos_clk_un6_bg_030_1_n N_205 N_115_0_1 N_245_0_1 RESET_OUT_0_sqmuxa_1 RST_c \ +N_247_i_1 RESET_OUT_0_sqmuxa N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 \ +RESET_OUT_0_sqmuxa_5 RW_c pos_clk_ipl_1_n un1_rst_dly_i_m_8__n \ +amiga_bus_enable_dma_high_0_un3_n un1_rst_dly_i_m_7__n fc_c_0__n \ +amiga_bus_enable_dma_high_0_un1_n un1_rst_dly_i_m_6__n \ +amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n fc_c_1__n \ +amiga_bus_enable_dma_low_0_un3_n un1_rst_dly_i_m_4__n \ +amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n \ +amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c \ +rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 rw_000_dma_0_un0_n N_87 \ +bgack_030_int_0_un3_n bgack_030_int_0_un1_n AS_030.OE AS_000.OE RW_000.OE \ +DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE \ +CLK_DIV_OUT.OE DSACK1.OE CIIN.OE pos_clk_un24_bgack_030_int_i_0_x2 \ +cpu_est_0_0_ G_165 G_166 G_167 pos_clk_CYCLE_DMA_5_1_i_x2 \ +pos_clk_CYCLE_DMA_5_0_i_x2 G_149 G_147 G_145 G_143 G_141 G_139 G_137 G_135 +.names N_32_0.BLIF IPL_030DFF_2_reg.D +0 1 +.names N_52_0.BLIF IPL_D0_0_.D +0 1 +.names N_53_0.BLIF IPL_D0_1_.D +0 1 .names N_54_0.BLIF IPL_D0_2_.D 0 1 .names N_131_i_3.BLIF N_165_i.BLIF SM_AMIGA_i_7_.D @@ -527,9 +516,9 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ 11 1 .names N_121_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D 11 1 -.names N_119_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D +.names N_119_i_1.BLIF N_119_i_2.BLIF SM_AMIGA_1_.D 11 1 -.names N_88_i.BLIF N_137_i.BLIF SM_AMIGA_0_.D +.names N_137_i.BLIF N_146_i.BLIF SM_AMIGA_0_.D 11 1 .names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D 1- 1 @@ -544,13 +533,7 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ 0 1 .names N_31_0.BLIF IPL_030DFF_1_reg.D 0 1 -.names N_32_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names N_52_0.BLIF IPL_D0_0_.D -0 1 -.names N_53_0.BLIF IPL_D0_1_.D -0 1 -.names N_85_i.BLIF RST_c.BLIF RST_DLY_0_.D +.names RST_c.BLIF un1_rst_dly_i_m_i_1__n.BLIF RST_DLY_0_.D 11 1 .names RST_c.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_1_.D 11 1 @@ -566,9 +549,9 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ 11 1 .names RST_c.BLIF un1_rst_dly_i_m_i_8__n.BLIF RST_DLY_7_.D 11 1 -.names G_159.BLIF un1_rst_2.BLIF CYCLE_DMA_0_.D +.names N_249_i_1.BLIF N_257_0.BLIF CYCLE_DMA_0_.D 11 1 -.names G_161.BLIF un1_rst_2.BLIF CYCLE_DMA_1_.D +.names N_248_i_1.BLIF N_257_0.BLIF CYCLE_DMA_1_.D 11 1 .names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 @@ -578,7 +561,19 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ -1 1 .names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D 11 1 -.names N_42_0.BLIF inst_LDS_000_INT.D +.names N_247_i_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF inst_CLK_030_H.D +11 1 +.names N_93_i.BLIF RST_c.BLIF inst_RESET_OUTreg.D +11 1 +.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D +11 1 +.names N_39_0.BLIF inst_RW_000_DMA.D +0 1 +.names N_40_0.BLIF inst_RW_000_INT.D +0 1 +.names N_41_0.BLIF inst_LDS_000_INT.D +0 1 +.names N_42_0.BLIF inst_BGACK_030_INTreg.D 0 1 .names N_43_0.BLIF inst_AS_030_000_SYNC.D 0 1 @@ -594,7 +589,7 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ 0 1 .names N_50_0.BLIF inst_DS_030_D0.D 0 1 -.names N_89.BLIF inst_AS_030_D0.D +.names N_90.BLIF inst_AS_030_D0.D 0 1 .names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D 0 1 @@ -602,741 +597,716 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ 0 1 .names N_57_0.BLIF inst_DTACK_D0.D 0 1 -.names N_47.BLIF RST_c.BLIF inst_CLK_030_H.D -11 1 -.names N_38.BLIF RST_c.BLIF inst_RESET_OUTreg.D -11 1 -.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D -11 1 -.names N_33_0.BLIF inst_BGACK_030_INTreg.D +.names N_33_0.BLIF BG_000DFFreg.D 0 1 -.names N_34_0.BLIF BG_000DFFreg.D +.names N_34_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D 0 1 -.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D +.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D 0 1 -.names N_36_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D +.names N_36_0.BLIF inst_VMA_INTreg.D 0 1 -.names N_37_0.BLIF inst_VMA_INTreg.D +.names N_38_0.BLIF inst_UDS_000_INT.D 0 1 -.names N_39_0.BLIF inst_UDS_000_INT.D -0 1 -.names N_40_0.BLIF inst_RW_000_DMA.D -0 1 -.names N_41_0.BLIF inst_RW_000_INT.D -0 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF inst_BGACK_030_INT_D.D +.names N_257_0.BLIF inst_BGACK_030_INT_D.D 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names N_189_i.BLIF cpu_est_0_.BLIF N_193 +.names CLK_000_PE_i.BLIF sm_amiga_i_5__n.BLIF N_159 11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n +.names N_21.BLIF N_21_i 0 1 -.names N_190_i.BLIF N_190 -0 1 -.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ +bgack_030_int_0_un0_n 11 1 -.names N_189_i.BLIF N_189 -0 1 -.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 11 1 -.names N_140_0.BLIF N_140 -0 1 -.names N_97.BLIF bgack_030_int_0_un3_n -0 1 -.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF \ -pos_clk_un29_clk_000_ne_d0_n +.names N_21_i.BLIF RST_c.BLIF N_34_0 11 1 -.names inst_BGACK_030_INTreg.BLIF N_97.BLIF bgack_030_int_0_un1_n -11 1 -.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n +.names N_250.BLIF as_030_000_sync_0_un3_n 0 1 -.names BGACK_000_c.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n +.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n -11 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un3_n +.names N_20.BLIF N_20_i 0 1 +.names inst_AS_030_000_SYNC.BLIF N_250.BLIF as_030_000_sync_0_un1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_1__n.BLIF un1_rst_dly_i_m_1__n +11 1 +.names N_20_i.BLIF RST_c.BLIF N_35_0 +11 1 +.names N_105_i.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n +11 1 +.names N_138_0.BLIF N_138 +0 1 +.names N_16.BLIF N_16_i +0 1 +.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n +0 1 +.names BGACK_030_INT_i.BLIF N_256_i.BLIF N_88 +11 1 +.names N_16_i.BLIF RST_c.BLIF N_39_0 +11 1 +.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF \ +ds_000_enable_0_un1_n +11 1 +.names N_256_i.BLIF N_256 +0 1 +.names N_13.BLIF N_13_i +0 1 +.names un1_SM_AMIGA_7_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n +11 1 .names vcc_n_n 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n -0 1 -.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un1_n +.names BGACK_030_INT_i.BLIF N_256.BLIF N_89 11 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 -1- 1 --1 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un0_n +.names N_13_i.BLIF RST_c.BLIF N_42_0 11 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_22 -1- 1 --1 1 -.names SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n -0 1 -.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n -11 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n -11 1 -.names gnd_n_n -.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF \ -pos_clk_un9_clk_000_n_sync_n -11 1 -.names sm_amiga_i_3__n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF \ -ds_000_enable_1_sqmuxa_1_i_m4_un0_n -11 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ -un1_amiga_bus_enable_low -11 1 -.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n -0 1 -.names N_246.BLIF size_dma_0_0__un3_n -0 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 -11 1 -.names pos_clk_un22_bgack_030_int_0_n.BLIF pos_clk_un22_bgack_030_int_n -0 1 -.names N_6.BLIF N_6_i -0 1 -.names pos_clk_size_dma_6_0__n.BLIF N_246.BLIF size_dma_0_0__un1_n -11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size -11 1 -.names N_6_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n -11 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size -11 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 -11 1 -.names N_3.BLIF N_3_i -0 1 -.names N_246.BLIF size_dma_0_1__un3_n -0 1 -.names un8_ciin_8.BLIF un8_ciin_5.BLIF un8_ciin -11 1 -.names N_3_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names pos_clk_size_dma_6_1__n.BLIF N_246.BLIF size_dma_0_1__un1_n -11 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF un14_amiga_bus_data_dir -11 1 -.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF pos_clk_un40_bgack_030_int_1_n -11 1 -.names pos_clk_un29_bgack_030_int_n.BLIF pos_clk_un29_bgack_030_int_i_n -0 1 -.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n -11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names AS_000_DMA_1_sqmuxa.BLIF AS_000_DMA_i.BLIF CLK_030_H_0_sqmuxa -11 1 -.names pos_clk_un26_bgack_030_int_n.BLIF pos_clk_un26_bgack_030_int_i_n -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n -0 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs -11 1 -.names CLK_EXP_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF AS_000_DMA_1_sqmuxa -11 1 -.names pos_clk_un26_bgack_030_int_i_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF \ -pos_clk_un27_bgack_030_int_0_n -11 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n -11 1 -.names un22_berr_1_0.BLIF N_116.BLIF un22_berr -11 1 -.names pos_clk_un24_bgack_030_int_1_n.BLIF pos_clk_un27_bgack_030_int_n.BLIF \ -pos_clk_un24_bgack_030_int_n -11 1 -.names CLK_030_H_0_sqmuxa.BLIF CLK_030_H_0_sqmuxa_i -0 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names pos_clk_un27_bgack_030_int_0_n.BLIF pos_clk_un27_bgack_030_int_n -0 1 -.names N_7.BLIF N_7_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n -0 1 -.names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_176_1 -11 1 -.names CLK_030_H_0_sqmuxa_i.BLIF N_7_i.BLIF N_47_0 -11 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 -.names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 -11 1 -.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 -11 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i -11 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n -11 1 -.names N_133_i.BLIF N_133 -0 1 -.names N_176.BLIF N_176_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n -0 1 -.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 -11 1 -.names N_175.BLIF N_175_i -0 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 -11 1 -.names N_175_i.BLIF N_176_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names BERR_i.BLIF N_136_i.BLIF N_164 -11 1 -.names AS_000_DMA_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF \ -pos_clk_ds_000_dma_4_f1_0_n -11 1 -.names N_242.BLIF dsack1_int_0_un3_n -0 1 -.names N_176_1_0.BLIF nEXP_SPACE_D0_i.BLIF N_176 -11 1 -.names N_162.BLIF N_162_i -0 1 -.names N_80_i.BLIF N_242.BLIF dsack1_int_0_un1_n -11 1 -.names DS_000_DMA_2_sqmuxa_1.BLIF pos_clk_un40_bgack_030_int_1_i_n.BLIF \ -DS_000_DMA_2_sqmuxa -11 1 -.names N_163.BLIF N_163_i -0 1 -.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names DS_000_DMA_0_sqmuxa_i.BLIF pos_clk_ds_000_dma_4_f1_n.BLIF \ -pos_clk_ds_000_dma_4_n -11 1 -.names N_164.BLIF N_164_i -0 1 -.names N_243.BLIF as_000_int_0_un3_n -0 1 -.names RW_000_c.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_0_sqmuxa -11 1 -.names N_165.BLIF N_165_i -0 1 -.names sm_amiga_i_5__n.BLIF N_243.BLIF as_000_int_0_un1_n -11 1 -.names pos_clk_ds_000_dma_4_f1_0_n.BLIF pos_clk_ds_000_dma_4_f1_n -0 1 -.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_175 -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un22_bgack_030_int_0_n -11 1 -.names N_241.BLIF ds_000_enable_0_un3_n -0 1 -.names N_47_0.BLIF N_47 -0 1 -.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n -0 1 -.names N_248_i.BLIF N_241.BLIF ds_000_enable_0_un1_n -11 1 -.names inst_CLK_030_H.BLIF pos_clk_un24_bgack_030_int_n.BLIF N_7 -11 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n -0 1 -.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ -ds_000_enable_0_un0_n -11 1 -.names N_176_1.BLIF RST_c.BLIF un1_rst_2 -11 1 -.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ -pos_clk_un14_clk_000_n_sync_0_n -11 1 -.names N_244.BLIF as_030_000_sync_0_un3_n -0 1 -.names CYCLE_DMA_1_.BLIF cycle_dma_i_0__n.BLIF pos_clk_un26_bgack_030_int_n -11 1 -.names N_22.BLIF N_22_i -0 1 -.names inst_AS_030_000_SYNC.BLIF N_244.BLIF as_030_000_sync_0_un1_n -11 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_1__n.BLIF pos_clk_un29_bgack_030_int_n -11 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names pos_clk_un3_as_030_d0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ -as_030_000_sync_0_un0_n -11 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names N_18.BLIF N_18_i -0 1 -.names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n -0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 -1- 1 --1 1 -.names N_18_i.BLIF RST_c.BLIF N_37_0 -11 1 -.names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ -lds_000_int_0_un1_n -11 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n -0 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i -0 1 -.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n -0 1 -.names N_115.BLIF rw_000_int_0_un3_n -0 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ -pos_clk_un7_clk_000_pe_0_n -11 1 -.names N_245.BLIF N_115.BLIF rw_000_int_0_un1_n -11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n -0 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names pos_clk_un24_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_i_n -0 1 -.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n -0 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n -0 1 -.names CYCLE_DMA_1_.BLIF cycle_dma_i_1__n -0 1 -.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ -pos_clk_un23_clk_000_ne_d0_0_n -11 1 -.names un14_amiga_bus_data_dir_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -rw_000_dma_0_un1_n -11 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n -0 1 -.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i -11 1 -.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 -11 1 -.names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n -0 1 -.names CLK_EXP_c.BLIF CLK_EXP_i -0 1 -.names N_195.BLIF N_195_i -0 1 -.names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n -11 1 -.names BERR_c.BLIF BERR_i -0 1 -.names N_196.BLIF N_196_i -0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_195_i.BLIF N_196_i.BLIF N_186_i -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names DS_000_DMA_0_sqmuxa.BLIF DS_000_DMA_0_sqmuxa_i -0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i -11 1 -.names N_90_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -amiga_bus_enable_dma_low_0_un1_n -11 1 -.names pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un40_bgack_030_int_1_i_n -0 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ -amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i -0 1 -.names N_193.BLIF N_193_i -0 1 -.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -amiga_bus_enable_dma_high_0_un1_n -11 1 -.names inst_CLK_000_PE.BLIF CLK_000_PE_i -0 1 -.names N_191.BLIF N_191_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n -11 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names N_192.BLIF N_192_i -0 1 -.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names N_194.BLIF N_194_i -0 1 -.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF \ -pos_clk_cpu_est_11_0_1__n -11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n -0 1 -.names N_198.BLIF N_198_i -0 1 .names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n 0 1 -.names UDS_000_c.BLIF UDS_000_i -0 1 -.names N_197.BLIF N_197_i +.names A1_c.BLIF BGACK_030_INT_i.BLIF N_91 +11 1 +.names N_12.BLIF N_12_i 0 1 .names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ a0_dma_0_un1_n 11 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names N_199.BLIF N_199_i -0 1 +.names A1_i.BLIF BGACK_030_INT_i.BLIF N_92 +11 1 +.names N_12_i.BLIF RST_c.BLIF N_43_0 +11 1 .names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n 11 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n 11 1 -.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n +.names N_8.BLIF N_8_i 0 1 -.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n +.names N_252.BLIF size_dma_0_1__un3_n +0 1 +.names gnd_n_n +.names N_72.BLIF N_105.BLIF N_96 11 1 -.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n +.names N_8_i.BLIF RST_c.BLIF N_46_0 11 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names N_151.BLIF N_151_i -0 1 -.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n +.names pos_clk_size_dma_6_1__n.BLIF N_252.BLIF size_dma_0_1__un1_n 11 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names N_150.BLIF N_150_i -0 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa +.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ +un1_amiga_bus_enable_low 11 1 -.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n +.names N_72_0.BLIF N_72 0 1 -.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i +.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_76_i 11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_252_0 +.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n 11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names N_85.BLIF N_85_i -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_38_0 +.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 11 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n -0 1 -.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i -0 1 -.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n -0 1 -.names pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF N_77_i_i -0 1 -.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n -0 1 -.names G_137.BLIF un1_rst_dly_i_2__n -0 1 -.names G_139.BLIF un1_rst_dly_i_3__n -0 1 -.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n -0 1 -.names G_141.BLIF un1_rst_dly_i_4__n -0 1 -.names G_143.BLIF un1_rst_dly_i_5__n -0 1 -.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n -0 1 -.names G_145.BLIF un1_rst_dly_i_6__n -0 1 -.names G_147.BLIF un1_rst_dly_i_7__n -0 1 -.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n -0 1 -.names G_149.BLIF un1_rst_dly_i_8__n -0 1 -.names inst_RESET_OUTreg.BLIF RESET_OUT_i -0 1 -.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n -0 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i +.names N_105.BLIF N_116.BLIF N_97 11 1 -.names A1_c.BLIF A1_i -0 1 -.names N_76.BLIF N_76_i -0 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names N_83.BLIF N_83_i -0 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 -.names N_248.BLIF N_248_i -0 1 -.names N_84.BLIF N_84_i -0 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 +.names N_72_0_1.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_72_0 11 1 -.names RW_c.BLIF RW_i +.names N_252.BLIF size_dma_0_0__un3_n 0 1 -.names N_86.BLIF N_86_i -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names N_86_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size 11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names N_87.BLIF N_87_i -0 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names N_87_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +.names N_116_4.BLIF N_116_3.BLIF N_116 11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +.names UDS_000_c.BLIF UDS_000_c_i 0 1 -.names N_88.BLIF N_88_i -0 1 -.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n -0 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names N_248.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_241_0 +.names pos_clk_size_dma_6_0__n.BLIF N_252.BLIF size_dma_0_0__un1_n 11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names N_80_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_242_0 +.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size 11 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_243_0 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_104 11 1 -.names a_c_18__n.BLIF a_i_18__n +.names LDS_000_c.BLIF LDS_000_c_i 0 1 -.names N_93.BLIF N_93_i -0 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names N_94.BLIF N_94_i -0 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names N_93_i.BLIF N_94_i.BLIF N_244_0 +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n 11 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF N_245_0 +.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin 11 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n +.names N_76_i.BLIF N_76 0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_246_0 +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_256_i 11 1 -.names a_c_29__n.BLIF a_i_29__n +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n 0 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n +.names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF un8_ciin 11 1 -.names a_c_26__n.BLIF a_i_26__n +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n 0 1 .names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ pos_clk_un5_bgack_030_int_d_i_n 11 1 -.names a_c_27__n.BLIF a_i_27__n +.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n +11 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +11 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n 0 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF N_249_i +.names pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF \ +pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n 11 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n 11 1 -.names a_c_24__n.BLIF a_i_24__n +.names un1_SM_AMIGA_7_i.BLIF un1_SM_AMIGA_7 0 1 -.names N_251_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_251_0 -11 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_71_0 -11 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i +.names N_250_0.BLIF N_250 0 1 .names N_104.BLIF N_104_i 0 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n +0 1 +.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs +11 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_252_0 +11 1 +.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n +11 1 +.names un22_berr_1_0.BLIF N_116.BLIF un22_berr +11 1 +.names N_251_0.BLIF N_251 +0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_251_0 +11 1 +.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +11 1 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 +11 1 +.names N_252_0.BLIF N_252 +0 1 +.names BGACK_000_c.BLIF N_76.BLIF pos_clk_un6_bgack_000_0_n +11 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n +0 1 +.names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 +11 1 +.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n +0 1 +.names N_97.BLIF N_97_i +0 1 +.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n +11 1 +.names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 +11 1 +.names N_96.BLIF N_96_i +0 1 +.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n +11 1 +.names N_105.BLIF un1_SM_AMIGA_7.BLIF DS_000_ENABLE_1_sqmuxa_1 +11 1 +.names N_96_i.BLIF N_97_i.BLIF N_250_0 +11 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n +0 1 +.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 +11 1 +.names N_89.BLIF N_89_i +0 1 +.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n +11 1 .names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_8 1- 1 -1 1 -.names DS_030_c.BLIF DS_030_i -0 1 -.names N_104_i.BLIF RST_c.BLIF N_137_i +.names N_89_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n 11 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 -1- 1 --1 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names G_165.BLIF N_224_i -0 1 -.names N_160.BLIF N_160_i -0 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 -1- 1 --1 1 -.names G_166.BLIF N_225_i -0 1 -.names N_161.BLIF N_161_i -0 1 .names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_12 1- 1 -1 1 -.names G_167.BLIF N_226_i +.names N_88.BLIF N_88_i 0 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_13 +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +0 1 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_13 1- 1 -1 1 -.names N_159.BLIF N_159_i +.names N_88_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +11 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_16 +1- 1 +-1 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 +11 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_20 +1- 1 +-1 1 +.names un1_rst_dly_i_m_1__n.BLIF un1_rst_dly_i_m_i_1__n 0 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_14 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +0 1 +.names amiga_bus_enable_dma_high_0_un1_n.BLIF \ +amiga_bus_enable_dma_high_0_un0_n.BLIF N_21 +1- 1 +-1 1 +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +11 1 +.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 1- 1 -1 1 .names N_157.BLIF N_157_i 0 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_15 -1- 1 --1 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +0 1 .names N_158.BLIF N_158_i 0 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_16 -1- 1 --1 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +0 1 +.names un21_fpu_cs.BLIF un21_fpu_cs_i +0 1 +.names N_159.BLIF N_159_i +0 1 +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_257_0 +11 1 +.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n +0 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF un1_as_000_i +11 1 +.names inst_DSACK1_INTreg.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n +11 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names N_93.BLIF N_93_i +0 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 +.names N_105.BLIF N_105_i +0 1 +.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n +0 1 .names N_91.BLIF N_91_i 0 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names N_90.BLIF N_90_i +.names N_87.BLIF N_87_i +0 1 +.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n +11 1 +.names N_92.BLIF N_92_i +0 1 +.names N_86.BLIF N_86_i +0 1 +.names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names N_86_i.BLIF N_87_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n +0 1 +.names a_c_18__n.BLIF a_i_18__n +0 1 +.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n +0 1 +.names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ +lds_000_int_0_un1_n +11 1 +.names a_c_16__n.BLIF a_i_16__n +0 1 +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names SIZE_DMA_1_.BLIF size_dma_i_1__n +0 1 +.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n +0 1 +.names N_115.BLIF rw_000_int_0_un3_n +0 1 +.names SIZE_DMA_0_.BLIF size_dma_i_0__n +0 1 +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_115.BLIF rw_000_int_0_un1_n +11 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n +0 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n +0 1 +.names inst_CLK_000_D0.BLIF CLK_000_D0_i +0 1 +.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n +0 1 +.names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n +11 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names inst_AS_030_D0.BLIF AS_030_D0_i +0 1 +.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n +0 1 +.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n +0 1 +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +0 1 +.names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n +11 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n +0 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names inst_CLK_000_PE.BLIF CLK_000_PE_i +0 1 +.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i +0 1 +.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n +0 1 +.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names A1_c.BLIF A1_i +0 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i +11 1 +.names N_245.BLIF ds_000_dma_0_un3_n +0 1 +.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n +11 1 +.names BERR_c.BLIF BERR_i +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i +11 1 +.names pos_clk_ds_000_dma_4_n.BLIF N_245.BLIF ds_000_dma_0_un1_n +11 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n +0 1 +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i +0 1 +.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n +0 1 +.names N_244.BLIF as_000_dma_0_un3_n +0 1 +.names G_135.BLIF un1_rst_dly_i_1__n +0 1 +.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ +pos_clk_un14_clk_000_n_sync_0_n +11 1 +.names pos_clk_un24_bgack_030_int_i_0_n.BLIF N_244.BLIF as_000_dma_0_un1_n +11 1 +.names inst_RESET_OUTreg.BLIF RESET_OUT_i +0 1 +.names CLK_EXP_c.BLIF CLK_EXP_c_i +0 1 +.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +11 1 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n +11 1 +.names RW_000_c.BLIF RW_000_i +0 1 +.names CLK_EXP_c_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_244_0 +11 1 +.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n +11 1 +.names G_137.BLIF un1_rst_dly_i_2__n +0 1 +.names N_83.BLIF N_83_i +0 1 +.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n +11 1 +.names G_139.BLIF un1_rst_dly_i_3__n +0 1 +.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 +11 1 +.names G_141.BLIF un1_rst_dly_i_4__n +0 1 +.names N_84.BLIF N_84_i +0 1 +.names G_143.BLIF un1_rst_dly_i_5__n +0 1 +.names N_245_0_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_245_0 +11 1 +.names G_145.BLIF un1_rst_dly_i_6__n +0 1 +.names N_85.BLIF N_85_i +0 1 +.names G_147.BLIF un1_rst_dly_i_7__n +0 1 +.names N_85_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ +pos_clk_ds_000_dma_4_0_n +11 1 +.names G_149.BLIF un1_rst_dly_i_8__n +0 1 +.names N_94.BLIF N_94_i +0 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i +0 1 +.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n +0 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names AS_000_DMA_i.BLIF CLK_EXP_c_i.BLIF N_73_0 +11 1 +.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names N_160.BLIF N_160_i +0 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names N_161.BLIF N_161_i +0 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names cpu_est_3_reg.BLIF cpu_est_i_3__n 0 1 .names N_155.BLIF N_155_i 0 1 -.names amiga_bus_enable_dma_high_0_un1_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names un14_amiga_bus_data_dir.BLIF un14_amiga_bus_data_dir_i +.names a_c_24__n.BLIF a_i_24__n 0 1 .names N_156.BLIF N_156_i 0 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_21 -1- 1 --1 1 -.names N_80.BLIF N_80_i +.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n 0 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 -1- 1 --1 1 -.names un6_lds_000.BLIF un6_lds_000_i +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n 0 1 .names N_154.BLIF N_154_i 0 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 -1- 1 --1 1 -.names un6_uds_000.BLIF un6_uds_000_i +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n 0 1 .names N_152.BLIF N_152_i 0 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 +.names inst_CLK_000_NE.BLIF CLK_000_NE_i +0 1 +.names N_153.BLIF N_153_i +0 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names N_150.BLIF N_150_i +0 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names N_151.BLIF N_151_i +0 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n +0 1 +.names N_149.BLIF N_149_i +0 1 +.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i +0 1 +.names N_147.BLIF N_147_i +0 1 +.names RW_c.BLIF RW_i +0 1 +.names N_148.BLIF N_148_i +0 1 +.names un5_ciin.BLIF un5_ciin_i +0 1 +.names inst_DTACK_D0.BLIF DTACK_D0_i +0 1 +.names N_164.BLIF N_164_i +0 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names N_165.BLIF N_165_i +0 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names N_163.BLIF N_163_i +0 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names N_199.BLIF N_199_i +0 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n +11 1 +.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n +0 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names N_197.BLIF N_197_i +0 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names N_198.BLIF N_198_i +0 1 +.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa +11 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names N_194.BLIF N_194_i +0 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF \ +pos_clk_cpu_est_11_0_1__n +11 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names N_192.BLIF N_192_i +0 1 +.names DS_030_c.BLIF DS_030_i +0 1 +.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i +11 1 +.names G_165.BLIF N_224_i +0 1 +.names N_162.BLIF N_162_i +0 1 +.names G_166.BLIF N_225_i +0 1 +.names N_191.BLIF N_191_i +0 1 +.names N_105.BLIF sm_amiga_i_5__n.BLIF AS_000_INT_1_sqmuxa +11 1 +.names G_167.BLIF N_226_i +0 1 +.names N_193.BLIF N_193_i +0 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF N_105.BLIF DSACK1_INT_1_sqmuxa +11 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i +11 1 +.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n +0 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i +11 1 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 +1- 1 +-1 1 +.names DS_000_ENABLE_1_sqmuxa.BLIF DS_000_ENABLE_1_sqmuxa_i +0 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i +11 1 +.names un6_lds_000.BLIF un6_lds_000_i +0 1 +.names N_195.BLIF N_195_i +0 1 +.names un6_uds_000.BLIF un6_uds_000_i +0 1 +.names N_196.BLIF N_196_i +0 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 1- 1 -1 1 .names un6_ds_030.BLIF un6_ds_030_i 0 1 -.names N_153.BLIF N_153_i +.names N_195_i.BLIF N_196_i.BLIF N_186_i +11 1 +.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 +1- 1 +-1 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i 0 1 +.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_143_0 +11 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 +1- 1 +-1 1 .names un4_as_000.BLIF un4_as_000_i 0 1 .names N_132_i.BLIF SM_AMIGA_6_.BLIF N_142_0 11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 +1- 1 +-1 1 +.names un8_ciin.BLIF un8_ciin_i 0 1 .names N_132_i.BLIF SM_AMIGA_4_.BLIF N_141_0 11 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_15 +1- 1 +-1 1 .names un6_as_030.BLIF un6_as_030_i 0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i +.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 11 1 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_17 +1- 1 +-1 1 .names inst_DS_030_D0.BLIF DS_030_D0_i 0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i +.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_139_0 +11 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_19 +1- 1 +-1 1 +.names N_166.BLIF N_166_i +0 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_22 +1- 1 +-1 1 +.names N_166_i.BLIF RST_c.BLIF N_137_i +11 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 +1- 1 +-1 1 +.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i +11 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 +1- 1 +-1 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i +11 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 +1- 1 +-1 1 +.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i +11 1 +.names N_146.BLIF N_146_i +0 1 +.names N_144.BLIF N_144_i +0 1 +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_144_i.BLIF un1_SM_AMIGA_7_i +11 1 +.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n +0 1 +.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n +0 1 +.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ +pos_clk_un23_clk_000_ne_d0_0_n +11 1 +.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n +0 1 +.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n +0 1 +.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ +pos_clk_un7_clk_000_pe_0_n 11 1 .names pos_clk_un11_ds_030_d0_i_1_n.BLIF size_c_0__n.BLIF \ pos_clk_un11_ds_030_d0_i_n @@ -1381,406 +1351,404 @@ pos_clk_un11_ds_030_d0_i_n 0 1 .names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 11 1 +.names N_115_0.BLIF N_115 +0 1 .names DS_030_i.BLIF RST_c.BLIF N_50_0 11 1 -.names N_8.BLIF N_8_i +.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n 0 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 +.names N_3.BLIF N_3_i +0 1 +.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n +0 1 +.names N_3_i.BLIF RST_c.BLIF N_49_0 +11 1 +.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 +11 1 +.names N_6.BLIF N_6_i +0 1 +.names N_6_i.BLIF RST_c.BLIF N_48_0 11 1 .names N_9.BLIF N_9_i 0 1 .names N_9_i.BLIF RST_c.BLIF N_45_0 11 1 +.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 +11 1 .names N_10.BLIF N_10_i 0 1 +.names pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ +pos_clk_un24_bgack_030_int_i_0_n +0 1 .names N_10_i.BLIF RST_c.BLIF N_44_0 11 1 -.names N_115_0.BLIF N_115 -0 1 -.names N_12.BLIF N_12_i -0 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names N_12_i.BLIF RST_c.BLIF N_43_0 -11 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names N_13.BLIF N_13_i -0 1 -.names N_13_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names N_14.BLIF N_14_i -0 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 -11 1 -.names N_15.BLIF N_15_i -0 1 -.names N_241_0.BLIF N_241 -0 1 -.names N_15_i.BLIF RST_c.BLIF N_40_0 -11 1 -.names N_242_0.BLIF N_242 -0 1 -.names N_16.BLIF N_16_i -0 1 -.names N_243_0.BLIF N_243 -0 1 -.names N_16_i.BLIF RST_c.BLIF N_39_0 -11 1 .names N_244_0.BLIF N_244 0 1 -.names N_19.BLIF N_19_i +.names N_14.BLIF N_14_i 0 1 .names N_245_0.BLIF N_245 0 1 +.names N_14_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names N_15.BLIF N_15_i +0 1 +.names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_80 +1- 1 +-1 1 +.names N_15_i.BLIF RST_c.BLIF N_40_0 +11 1 +.names AS_030_i.BLIF RST_c.BLIF N_90 +11 1 +.names N_17.BLIF N_17_i +0 1 +.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_100 +11 1 +.names N_17_i.BLIF RST_c.BLIF N_38_0 +11 1 +.names AS_030_D0_i.BLIF BERR_c.BLIF N_105 +11 1 +.names N_19.BLIF N_19_i +0 1 +.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n +11 1 .names N_19_i.BLIF RST_c.BLIF N_36_0 11 1 -.names N_246_0.BLIF N_246 +.names N_22.BLIF N_22_i 0 1 -.names N_20.BLIF N_20_i -0 1 -.names un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF N_78 -1- 1 --1 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF N_80 -11 1 -.names N_21.BLIF N_21_i -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_89 -11 1 -.names N_21_i.BLIF RST_c.BLIF N_34_0 -11 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_90 -11 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_91 -11 1 -.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF N_98 -11 1 -.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n -11 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_99 -11 1 -.names sm_amiga_i_i_7__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_251_0_1 -11 1 -.names N_249_i.BLIF N_249 -0 1 -.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 -11 1 -.names ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF \ -ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF N_248 -1- 1 --1 1 -.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n +.names N_22_i.BLIF RST_c.BLIF N_33_0 11 1 .names N_135_i.BLIF N_135 0 1 -.names N_194_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names N_133_i.BLIF N_133 +0 1 +.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n +0 1 +.names N_190_i.BLIF N_190 +0 1 +.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n +11 1 +.names N_188_i.BLIF N_188 +0 1 +.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 +11 1 +.names N_189_i.BLIF N_189 +0 1 +.names N_159_i.BLIF RST_c.BLIF N_127_i_2 11 1 .names N_136_i.BLIF N_136 0 1 -.names N_191_i.BLIF N_193_i.BLIF pos_clk_cpu_est_11_0_2_1__n +.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n 11 1 .names pos_clk_un7_clk_000_d0_1_n.BLIF inst_nEXP_SPACE_D0reg.BLIF \ pos_clk_un7_clk_000_d0_n 11 1 -.names N_163_i.BLIF N_137_i.BLIF N_131_i_1 -11 1 -.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 -11 1 -.names N_162_i.BLIF N_164_i.BLIF N_131_i_2 -11 1 -.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 -11 1 -.names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 -11 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 -11 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n -11 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 -11 1 -.names a_i_31__n.BLIF inst_nEXP_SPACE_D0reg.BLIF un8_ciin_1 -11 1 -.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 -11 1 -.names AS_030_D0_i.BLIF a_i_24__n.BLIF un8_ciin_2 -11 1 -.names N_141_0.BLIF N_141 -0 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un8_ciin_3 -11 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 -11 1 -.names a_i_27__n.BLIF a_i_28__n.BLIF un8_ciin_4 -11 1 -.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 -11 1 -.names a_i_29__n.BLIF a_i_30__n.BLIF un8_ciin_5 -11 1 -.names N_138_0.BLIF N_138 -0 1 -.names un8_ciin_1.BLIF un8_ciin_2.BLIF un8_ciin_6 -11 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 -11 1 -.names un8_ciin_3.BLIF un8_ciin_4.BLIF un8_ciin_7 -11 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_5__n.BLIF N_159 -11 1 -.names un8_ciin_6.BLIF un8_ciin_7.BLIF un8_ciin_8 -11 1 -.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 -11 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 -11 1 -.names N_142_0.BLIF N_142 -0 1 -.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 -11 1 -.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 -11 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 -11 1 -.names N_132_i.BLIF N_132 -0 1 -.names N_116_1.BLIF N_116_2.BLIF N_116_4 -11 1 -.names N_132.BLIF SM_AMIGA_0_.BLIF N_104 -11 1 -.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 -11 1 -.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF \ -N_76 -1- 1 --1 1 -.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 -11 1 -.names N_71_0.BLIF N_71 -0 1 -.names N_152_i.BLIF N_153_i.BLIF N_123_i_1 -11 1 -.names N_251_0.BLIF N_251 -0 1 -.names N_154_i.BLIF RST_c.BLIF N_123_i_2 -11 1 -.names N_251.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_93 -11 1 -.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 -11 1 -.names N_116.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_94 -11 1 -.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 -11 1 -.names N_71.BLIF sm_amiga_i_0__n.BLIF N_88 -11 1 -.names N_159_i.BLIF RST_c.BLIF N_127_i_2 -11 1 -.names BGACK_030_INT_i.BLIF N_249.BLIF N_87 -11 1 -.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 -11 1 -.names BGACK_030_INT_i.BLIF N_249_i.BLIF N_86 -11 1 -.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n -11 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_84 -11 1 -.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF \ -pos_clk_un7_clk_000_d0_1_n -11 1 -.names N_252.BLIF sm_amiga_i_2__n.BLIF N_83 -11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 -11 1 -.names N_116_4.BLIF N_116_3.BLIF N_116 -11 1 -.names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 -11 1 -.names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF \ -RESET_OUT_0_sqmuxa_7_3 -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 -11 1 -.names N_211.BLIF RST_DLY_5_.BLIF N_213 -11 1 .names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_135_i_1 11 1 -.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n +.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF \ +pos_clk_un29_clk_000_ne_d0_n 11 1 -.names N_209.BLIF RST_DLY_4_.BLIF N_211 +.names N_191_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n 11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n +.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF DSACK1_INT_0_sqmuxa 11 1 -.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF \ -pos_clk_un27_clk_000_ne_d0_3_n +.names N_193_i.BLIF N_194_i.BLIF pos_clk_cpu_est_11_0_2_1__n 11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n 11 1 -.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n -11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n -11 1 -.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ -pos_clk_un5_clk_000_pe_3_n -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 -11 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n -11 1 -.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n -11 1 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 -11 1 -.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n -11 1 -.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa -11 1 -.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ -pos_clk_un9_clk_000_ne_4_n -11 1 -.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 -11 1 -.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 -11 1 -.names N_188.BLIF cpu_est_0_.BLIF N_195_1 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n -11 1 -.names N_176_1.BLIF pos_clk_un22_bgack_030_int_n.BLIF \ -pos_clk_un24_bgack_030_int_1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n -11 1 -.names N_133_i.BLIF N_135_i.BLIF N_165_1 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n -11 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n -11 1 -.names N_165_1.BLIF N_165_2.BLIF N_165_3 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n -11 1 -.names N_133.BLIF BERR_i.BLIF N_163_1 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n -11 1 -.names N_135.BLIF BERR_i.BLIF N_162_1 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n -11 1 -.names N_176_1.BLIF RW_000_c.BLIF N_176_1_0 -11 1 -.names N_38_0.BLIF N_38 -0 1 -.names RW_000_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_2_sqmuxa_1 -11 1 -.names N_77_i_i.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_85 -11 1 -.names N_76_i.BLIF N_83_i.BLIF N_119_i_1 -11 1 -.names N_84_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 -11 1 -.names N_252_0.BLIF N_252 -0 1 -.names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n -11 1 -.names BGACK_000_c.BLIF CLK_000_PE_i.BLIF N_97 -11 1 -.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n -0 1 -.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF \ -pos_clk_un27_clk_000_ne_d0_n -11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n -11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 -11 1 -.names pos_clk_un24_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF \ -as_000_dma_0_un0_n +.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n 11 1 .names pos_clk_un5_clk_000_pe_3_n.BLIF cpu_est_i_0__n.BLIF \ pos_clk_un5_clk_000_pe_n 11 1 -.names DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un3_n -0 1 +.names N_188.BLIF cpu_est_0_.BLIF N_195_1 +11 1 .names pos_clk_un9_clk_000_ne_4_n.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ pos_clk_un9_clk_000_ne_n 11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un1_n +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 +11 1 +.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n +0 1 +.names N_137_i.BLIF N_162_i.BLIF N_131_i_1 +11 1 +.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF \ +pos_clk_un27_clk_000_ne_d0_n +11 1 +.names N_163_i.BLIF N_164_i.BLIF N_131_i_2 +11 1 +.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n +0 1 +.names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 +11 1 +.names sm_amiga_i_3__n.BLIF sm_amiga_i_5__n.BLIF N_144 +11 1 +.names N_147_i.BLIF N_148_i.BLIF N_119_i_1 +11 1 +.names N_143.BLIF sm_amiga_i_0__n.BLIF N_146 +11 1 +.names N_149_i.BLIF RST_c.BLIF N_119_i_2 +11 1 +.names N_143_0.BLIF N_143 +0 1 +.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 +11 1 +.names N_139.BLIF sm_amiga_i_2__n.BLIF N_147 +11 1 +.names N_152_i.BLIF N_153_i.BLIF N_123_i_1 +11 1 +.names N_139_0.BLIF N_139 +0 1 +.names N_154_i.BLIF RST_c.BLIF N_123_i_2 +11 1 +.names BERR_i.BLIF SM_AMIGA_1_.BLIF N_148 +11 1 +.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 +11 1 +.names CLK_000_PE_i.BLIF sm_amiga_i_1__n.BLIF N_149 +11 1 +.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 11 1 .names N_140.BLIF sm_amiga_i_3__n.BLIF N_150 11 1 -.names pos_clk_ds_000_dma_4_n.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +.names N_135.BLIF BERR_i.BLIF N_162_1 +11 1 +.names N_140_0.BLIF N_140 +0 1 +.names N_133.BLIF BERR_i.BLIF N_163_1 11 1 .names sm_amiga_i_2__n.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_151 11 1 -.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n +.names N_133_i.BLIF N_135_i.BLIF N_165_1 +11 1 +.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 +11 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 +11 1 +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 +11 1 +.names N_165_1.BLIF N_165_2.BLIF N_165_3 +11 1 +.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 +11 1 +.names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 +11 1 +.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 +11 1 +.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 +11 1 +.names N_141_0.BLIF N_141 0 1 -.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 +.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 11 1 -.names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n +.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 11 1 -.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 +.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 11 1 -.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 +.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n +.names N_142_0.BLIF N_142 0 1 -.names N_188_i.BLIF N_188 +.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 +11 1 +.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 +11 1 +.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 +11 1 +.names BERR_i.BLIF N_136_i.BLIF N_164 +11 1 +.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 +11 1 +.names N_132.BLIF SM_AMIGA_0_.BLIF N_166 +11 1 +.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 +11 1 +.names N_132_i.BLIF N_132 0 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n -11 1 -.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF \ -sm_amiga_srsts_i_0_m2_1__un0_n -11 1 -.names N_190.BLIF cpu_est_3_reg.BLIF N_197 -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n -0 1 -.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 -11 1 -.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n -11 1 -.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n -0 1 -.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n -11 1 -.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n -0 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 -11 1 -.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n +.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 11 1 .names N_189.BLIF cpu_est_i_0__n.BLIF N_191 11 1 -.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n +.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 11 1 -.names N_220.BLIF CYCLE_DMA_1_.BLIF G_161 -01 1 -10 1 -11 0 -00 0 -.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF G_159 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 +11 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n +11 1 +.names N_189_i.BLIF cpu_est_0_.BLIF N_193 +11 1 +.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n +11 1 +.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 +11 1 +.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n +11 1 +.names N_190.BLIF cpu_est_3_reg.BLIF N_197 +11 1 +.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ +pos_clk_un9_clk_000_ne_4_n +11 1 +.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 +11 1 +.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n +11 1 +.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 +11 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n +11 1 +.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 +11 1 +.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ +pos_clk_un5_clk_000_pe_3_n +11 1 +.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 +11 1 +.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n +11 1 +.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 +11 1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n +11 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 +11 1 +.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF \ +pos_clk_un27_clk_000_ne_d0_3_n +11 1 +.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 +11 1 +.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 +11 1 +.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 +11 1 +.names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 +11 1 +.names N_73_0.BLIF N_73 +0 1 +.names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF \ +RESET_OUT_0_sqmuxa_7_3 +11 1 +.names CLK_030_H_i.BLIF N_73.BLIF N_94 +11 1 +.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 +11 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_85 +11 1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_87_1 +11 1 +.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF N_84 +11 1 +.names RW_000_c.BLIF nEXP_SPACE_D0_i.BLIF N_87_2 +11 1 +.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_83 +11 1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n +11 1 +.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF \ +pos_clk_un9_clk_000_n_sync_n +11 1 +.names pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_104_i.BLIF \ +pos_clk_un24_bgack_030_int_i_0_0_2_n +11 1 +.names sm_amiga_i_i_7__n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_72_0_1 +11 1 +.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF \ +pos_clk_un7_clk_000_d0_1_n +11 1 +.names N_211.BLIF RST_DLY_5_.BLIF N_213 +11 1 +.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 +11 1 +.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 +11 1 +.names N_209.BLIF RST_DLY_4_.BLIF N_211 +11 1 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 +11 1 +.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 +11 1 +.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 +11 1 +.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 +11 1 +.names N_116_1.BLIF N_116_2.BLIF N_116_4 +11 1 +.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n +11 1 +.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 +11 1 +.names N_83_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 +11 1 +.names N_84_i.BLIF RW_000_i.BLIF N_245_0_1 +11 1 +.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 +11 1 +.names N_94_i.BLIF RST_c.BLIF N_247_i_1 +11 1 +.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa +11 1 +.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_248_i_1 +11 1 +.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 +11 1 +.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.BLIF N_249_i_1 +11 1 +.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 +11 1 +.names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n +11 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n +11 1 +.names N_92_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +amiga_bus_enable_dma_high_0_un1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n +11 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n +11 1 +.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +amiga_bus_enable_dma_low_0_un1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n +11 1 +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c +0 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n +0 1 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_86 +11 1 +.names N_251.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_93 +11 1 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names N_87_1.BLIF N_87_2.BLIF N_87 +11 1 +.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 +.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n +11 1 +.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF pos_clk_un24_bgack_030_int_i_0_x2 01 1 10 1 11 0 @@ -1805,6 +1773,16 @@ sm_amiga_srsts_i_0_m2_1__un0_n 10 1 11 0 00 0 +.names CYCLE_DMA_1_.BLIF N_220.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 +01 1 +10 1 +11 0 +00 0 +.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2 +01 1 +10 1 +11 0 +00 0 .names RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_7.BLIF G_149 01 1 10 1 @@ -1840,7 +1818,7 @@ sm_amiga_srsts_i_0_m2_1__un0_n 10 1 11 0 00 0 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_ +.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF G_135 01 1 10 1 11 0 @@ -1887,10 +1865,10 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_78.BLIF AMIGA_BUS_ENABLE_HIGH +.names N_80.BLIF AMIGA_BUS_ENABLE_HIGH 1 1 0 0 -.names vcc_n_n.BLIF CIIN +.names un5_ciin.BLIF CIIN 1 1 0 0 .names IPL_030DFF_1_reg.BLIF IPL_030_1_ @@ -1899,6 +1877,15 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF IPL_D0_0_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF IPL_D0_1_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF IPL_D0_2_.C 1 1 0 0 @@ -1947,13 +1934,22 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C 1 1 0 0 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C +.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C +.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C +1 1 +0 0 +.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C 1 1 0 0 .names CLK_OSZI_c.BLIF RST_DLY_0_.C @@ -1992,6 +1988,24 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF SIZE_DMA_1_.C 1 1 0 0 +.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C +1 1 +0 0 +.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C +1 1 +0 0 +.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C +1 1 +0 0 .names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D 1 1 0 0 @@ -2058,24 +2072,6 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C 1 1 0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C 1 1 0 0 @@ -2103,27 +2099,27 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C 1 1 0 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D +.names CLK_OSZI_c.BLIF inst_CLK_030_H.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C +.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C 1 1 0 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C 1 1 0 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_LDS_000_INT.C 1 1 0 0 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C 1 1 0 0 @@ -2157,18 +2153,6 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF inst_DTACK_D0.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 .names CLK_OSZI_c.BLIF BG_000DFFreg.C 1 1 0 0 @@ -2184,12 +2168,6 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF inst_UDS_000_INT.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -2265,27 +2243,6 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names un4_size.BLIF SIZE_0_ 1 1 0 0 -.names RW.PIN.BLIF RW_c -1 1 -0 0 -.names FC_0_.BLIF fc_c_0__n -1 1 -0 0 -.names FC_1_.BLIF fc_c_1__n -1 1 -0 0 -.names A_23_.BLIF a_23__n -1 1 -0 0 -.names A_22_.BLIF a_22__n -1 1 -0 0 -.names A_21_.BLIF a_21__n -1 1 -0 0 -.names A_20_.BLIF a_20__n -1 1 -0 0 .names A_15_.BLIF a_15__n 1 1 0 0 @@ -2364,6 +2321,18 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names A_19_.BLIF a_c_19__n 1 1 0 0 +.names A_20_.BLIF a_c_20__n +1 1 +0 0 +.names A_21_.BLIF a_c_21__n +1 1 +0 0 +.names A_22_.BLIF a_c_22__n +1 1 +0 0 +.names A_23_.BLIF a_c_23__n +1 1 +0 0 .names A_24_.BLIF a_c_24__n 1 1 0 0 @@ -2433,22 +2402,31 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names RST.BLIF RST_c 1 1 0 0 +.names RW.PIN.BLIF RW_c +1 1 +0 0 +.names FC_0_.BLIF fc_c_0__n +1 1 +0 0 +.names FC_1_.BLIF fc_c_1__n +1 1 +0 0 .names un3_as_030_i.BLIF AS_030.OE 1 1 0 0 -.names N_98.BLIF AS_000.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 0 0 -.names N_98.BLIF RW_000.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 0 0 .names un3_as_030_i.BLIF DS_030.OE 1 1 0 0 -.names N_98.BLIF UDS_000.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 0 0 -.names N_98.BLIF LDS_000.OE +.names un1_as_000_i.BLIF LDS_000.OE 1 1 0 0 .names un1_as_030_i.BLIF SIZE_0_.OE @@ -2463,7 +2441,7 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names un22_berr.BLIF BERR.OE 1 1 0 0 -.names N_99.BLIF RW.OE +.names N_100.BLIF RW.OE 1 1 0 0 .names gnd_n_n.BLIF CLK_DIV_OUT.OE @@ -2472,7 +2450,7 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE 1 1 0 0 -.names un8_ciin.BLIF CIIN.OE +.names un8_ciin_i.BLIF CIIN.OE 1 1 0 0 .end diff --git a/Logic/68030_tk.bl3 b/Logic/68030_tk.bl3 index b081faa..f2f4e82 100644 --- a/Logic/68030_tk.bl3 +++ b/Logic/68030_tk.bl3 @@ -1,39 +1,40 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Jul 09 18:48:59 2015 +#$ DATE Thu Sep 24 16:20:59 2015 #$ MODULE 68030_tk -#$ PINS 57 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ IPL_030_2_ A_25_ A_24_ \ -# IPL_2_ FC_1_ AS_030 AS_000 A_19_ RW_000 A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A0 A1 \ -# nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT \ -# CLK_EXP FPU_CS IPL_030_1_ FPU_SENSE IPL_030_0_ DSACK1 IPL_1_ DTACK IPL_0_ AVEC FC_0_ E VPA \ -# VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -# AMIGA_BUS_ENABLE_HIGH CIIN +#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ +# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 DS_030 UDS_000 LDS_000 A0 A1 \ +# nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI IPL_030_1_ \ +# CLK_DIV_OUT IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E \ +# VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ +# AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ #$ NODES 84 inst_BGACK_030_INTreg cpu_est_3_reg inst_VMA_INTreg inst_RESET_OUTreg \ -# N_165 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ \ -# inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 \ -# inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA \ -# CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT \ -# inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 \ -# inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE \ +# cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW \ +# inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC \ +# inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ \ +# SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT \ +# inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 \ +# inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE \ # CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ \ # inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_DSACK1_INTreg \ # SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ \ # RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ \ # CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ \ # CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ \ -# CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ \ -# CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ \ -# CLK_000_N_SYNC_10_ inst_RW_000_INT inst_RW_000_DMA inst_A0_DMA inst_CLK_030_H \ -# SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ BG_000DFFreg \ -# IPL_030DFF_0_reg IPL_030DFF_1_reg IPL_030DFF_2_reg +# CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ \ +# pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ \ +# CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ \ +# inst_RW_000_INT inst_RW_000_DMA inst_A0_DMA inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ \ +# SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ BG_000DFFreg IPL_030DFF_0_reg \ +# IPL_030DFF_1_reg IPL_030DFF_2_reg .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ DTACK.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ -A_26_.BLIF A_25_.BLIF A_24_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF \ -IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF inst_BGACK_030_INTreg.BLIF \ -cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF inst_RESET_OUTreg.BLIF N_165.BLIF \ -cpu_est_0_.BLIF cpu_est_1_.BLIF inst_AS_000_INT.BLIF SM_AMIGA_5_.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF inst_AS_030_D0.BLIF \ +A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ +A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ +inst_BGACK_030_INTreg.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF \ +inst_RESET_OUTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_AS_000_INT.BLIF \ +SM_AMIGA_5_.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF inst_AS_030_D0.BLIF \ inst_nEXP_SPACE_D0reg.BLIF inst_DS_030_D0.BLIF inst_AS_030_000_SYNC.BLIF \ inst_BGACK_030_INT_D.BLIF inst_AS_000_DMA.BLIF inst_DS_000_DMA.BLIF \ CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF SIZE_DMA_0_.BLIF SIZE_DMA_1_.BLIF \ @@ -50,106 +51,102 @@ CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.BLIF \ CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.BLIF \ CLK_000_P_SYNC_8_.BLIF CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.BLIF \ CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.BLIF \ -CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.BLIF \ -CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.BLIF \ -inst_RW_000_INT.BLIF inst_RW_000_DMA.BLIF inst_A0_DMA.BLIF inst_CLK_030_H.BLIF \ -SM_AMIGA_1_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF inst_DS_000_ENABLE.BLIF \ -SM_AMIGA_i_7_.BLIF BG_000DFFreg.BLIF IPL_030DFF_0_reg.BLIF \ -IPL_030DFF_1_reg.BLIF IPL_030DFF_2_reg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ -RW_000.PIN.BLIF DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ -SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF +pos_clk_un29_clk_000_ne_d0_i_n.BLIF CLK_000_N_SYNC_5_.BLIF \ +CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.BLIF \ +CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.BLIF inst_RW_000_INT.BLIF \ +inst_RW_000_DMA.BLIF inst_A0_DMA.BLIF inst_CLK_030_H.BLIF SM_AMIGA_1_.BLIF \ +SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF inst_DS_000_ENABLE.BLIF SM_AMIGA_i_7_.BLIF \ +BG_000DFFreg.BLIF IPL_030DFF_0_reg.BLIF IPL_030DFF_1_reg.BLIF \ +IPL_030DFF_2_reg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ +DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF \ +SIZE_1_.PIN.BLIF A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E \ VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_D0_2_.D IPL_D0_2_.C \ -SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D \ +IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ +IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.D \ SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C \ cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C \ cpu_est_3_reg.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D \ -IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D \ -IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D \ -RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.C \ -RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D \ -CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C \ -SIZE_DMA_1_.D SIZE_DMA_1_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C \ -CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D \ -CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C \ -CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D \ -CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C \ -CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D \ -CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D \ -CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.D \ -CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C \ -CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D \ -CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C \ -CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ -CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C inst_LDS_000_INT.D \ -inst_LDS_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ +IPL_030DFF_1_reg.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C \ +CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D \ +CLK_000_N_SYNC_11_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C \ +RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C \ +RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ +CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ +SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ +CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C \ +CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D \ +CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C \ +CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ +CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ +CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D \ +CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ +CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ +CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ +CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ +CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ +CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C inst_CLK_030_H.C inst_RESET_OUTreg.D \ +inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ +inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ +inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D \ +inst_BGACK_030_INTreg.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ inst_A0_DMA.D inst_A0_DMA.C inst_AS_000_DMA.D inst_AS_000_DMA.C \ inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C \ inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D \ inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D \ -inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUTreg.D inst_RESET_OUTreg.C \ -inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_BGACK_030_INTreg.D \ -inst_BGACK_030_INTreg.C BG_000DFFreg.D BG_000DFFreg.C \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ -inst_VMA_INTreg.D inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C \ -inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ -inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D \ -inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D \ -inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D \ -inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ -inst_CLK_000_D0.D inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 RW_000 DS_030 \ -UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_165 AS_030.OE AS_000.OE RW_000.OE \ -DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE \ -CLK_DIV_OUT.OE DSACK1.OE CIIN.OE cpu_est_3_reg.D.X1 cpu_est_3_reg.D.X2 \ -SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 RST_DLY_4_.D.X1 RST_DLY_4_.D.X2 \ -RST_DLY_5_.D.X1 RST_DLY_5_.D.X2 RST_DLY_6_.D.X1 RST_DLY_6_.D.X2 \ -inst_CLK_030_H.D.X1 inst_CLK_030_H.D.X2 +inst_DTACK_D0.C BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D \ +inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D inst_VMA_INTreg.C \ +inst_UDS_000_INT.D inst_UDS_000_INT.C inst_BGACK_030_INT_D.D \ +inst_BGACK_030_INT_D.C inst_CLK_000_PE.D inst_CLK_000_PE.C inst_CLK_000_NE.D \ +inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ +inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C \ +inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D inst_CLK_000_D0.C \ +SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 BERR RW SIZE_0_ \ +pos_clk_un29_clk_000_ne_d0_i_n AS_030.OE AS_000.OE RW_000.OE DS_030.OE \ +UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE \ +DSACK1.OE CIIN.OE cpu_est_3_reg.D.X1 cpu_est_3_reg.D.X2 SM_AMIGA_3_.D.X1 \ +SM_AMIGA_3_.D.X2 RST_DLY_4_.D.X1 RST_DLY_4_.D.X2 RST_DLY_5_.D.X1 \ +RST_DLY_5_.D.X2 RST_DLY_6_.D.X1 RST_DLY_6_.D.X2 inst_CLK_030_H.D.X1 \ +inst_CLK_030_H.D.X2 SM_AMIGA_i_7_.D.X1 SM_AMIGA_i_7_.D.X2 +.names IPL_2_.BLIF RST.BLIF IPL_1_.BLIF IPL_0_.BLIF IPL_D0_0_.BLIF \ +IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_2_reg.BLIF IPL_030DFF_2_reg.D +1-00001- 1 +1-01101- 1 +1-10011- 1 +1-11111- 1 +1------1 1 +------11 1 +--1--0-1 1 +--0--1-1 1 +---10--1 1 +---01--1 1 +-0------ 1 +0100000- 0 +0101100- 0 +0110010- 0 +0111110- 0 +-11--0-0 0 +-10--1-0 0 +-1-10--0 0 +-1-01--0 0 +-1----00 0 +01-----0 0 +.names RST.BLIF IPL_0_.BLIF IPL_D0_0_.D +0- 1 +-1 1 +10 0 +.names RST.BLIF IPL_1_.BLIF IPL_D0_1_.D +0- 1 +-1 1 +10 0 .names IPL_2_.BLIF RST.BLIF IPL_D0_2_.D 1- 1 -0 1 01 0 -.names RST.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF N_165.BLIF \ -cpu_est_0_.BLIF cpu_est_1_.BLIF SM_AMIGA_5_.BLIF inst_VPA_D.BLIF \ -inst_DTACK_D0.BLIF inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF cpu_est_2_.BLIF \ -SM_AMIGA_3_.BLIF inst_CLK_000_NE_D0.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_6_.BLIF \ -SM_AMIGA_1_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF BERR.PIN.BLIF \ -SM_AMIGA_i_7_.D -11000000---1-100000- 1 -110000-0--11-100-00- 1 -11000000-1-1-10-0--- 1 -110000-0-111-10----- 1 -1--0--010----100000- 1 -1--0---10-1--100-00- 1 -1--0--0-----0-00000- 1 -1--0--0101---10-0--- 1 -1--0------1-0-00-00- 1 -1--0---1011--10----- 1 -1--0--0--1--0-0-0--- 1 -1--0-----11-0-0----- 1 -1--0-----0---------1 1 -1--0----------0----1 1 --------11---1------0 0 ----------1----1----- 0 --------0---01------0 0 ------1-0----1------0 0 -----1--0----1------0 0 ---1----0----1------0 0 --0-----0----1------0 0 -----------0-----1--0 0 -------1---0--------0 0 ----------0--------10 0 ----------0-------1-0 0 ----------0-----1---0 0 -------------10-----0 0 ----1---------------- 0 -0------------------- 0 ---------------1----0 0 .names RST.BLIF inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF \ inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_PE.BLIF \ SM_AMIGA_6_.BLIF SM_AMIGA_i_7_.BLIF BERR.PIN.BLIF SM_AMIGA_6_.D @@ -291,37 +288,6 @@ IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_1_reg.BLIF IPL_030DFF_1_reg.D -1-01--0 0 -1---0-0 0 -10----0 0 -.names IPL_2_.BLIF RST.BLIF IPL_1_.BLIF IPL_0_.BLIF IPL_D0_0_.BLIF \ -IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_2_reg.BLIF IPL_030DFF_2_reg.D -1-00001- 1 -1-01101- 1 -1-10011- 1 -1-11111- 1 -1------1 1 -------11 1 ---1--0-1 1 ---0--1-1 1 ----10--1 1 ----01--1 1 --0------ 1 -0100000- 0 -0101100- 0 -0110010- 0 -0111110- 0 --11--0-0 0 --10--1-0 0 --1-10--0 0 --1-01--0 0 --1----00 0 -01-----0 0 -.names RST.BLIF IPL_0_.BLIF IPL_D0_0_.D -0- 1 --1 1 -10 0 -.names RST.BLIF IPL_1_.BLIF IPL_D0_1_.D -0- 1 --1 1 -10 0 .names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ RST_DLY_6_.BLIF RST_DLY_7_.BLIF RST_DLY_0_.D @@ -444,6 +410,51 @@ SIZE_DMA_1_.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_DMA_1_.D 01 1 1- 0 -0 0 +.names RST.BLIF inst_RESET_OUTreg.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF \ +RST_DLY_1_.BLIF RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF \ +RST_DLY_5_.BLIF RST_DLY_6_.BLIF RST_DLY_7_.BLIF inst_RESET_OUTreg.D +1-111111111 1 +11--------- 1 +0---------- 0 +-0-------0- 0 +-0------0-- 0 +-0-----0--- 0 +-0----0---- 0 +-0---0----- 0 +-0--0------ 0 +-0-0------- 0 +-00-------- 0 +-0--------0 0 +.names RST.BLIF SM_AMIGA_5_.BLIF inst_AS_030_D0.BLIF SM_AMIGA_3_.BLIF \ +inst_DS_000_ENABLE.BLIF BERR.PIN.BLIF RW.PIN.BLIF inst_DS_000_ENABLE.D +1-0-11- 1 +10-1--- 1 +11----1 1 +-0-0-0- 0 +-0-00-- 0 +-010--- 0 +-1---00 0 +-1--0-0 0 +-11---0 0 +0------ 0 +.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ +inst_RW_000_DMA.BLIF RW_000.PIN.BLIF inst_RW_000_DMA.D +-1-1- 1 +-10-- 1 +0---- 1 +-0--1 1 +1110- 0 +10--0 0 +.names RST.BLIF SM_AMIGA_5_.BLIF inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF \ +inst_RW_000_INT.BLIF SM_AMIGA_i_7_.BLIF RW.PIN.BLIF inst_RW_000_INT.D +-0--1-- 1 +-011--- 1 +-0---0- 1 +0------ 1 +-1----1 1 +10-001- 0 +100-01- 0 +11----0 0 .names RST.BLIF inst_DS_030_D0.BLIF inst_LDS_000_INT.BLIF SM_AMIGA_6_.BLIF \ SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF inst_LDS_000_INT.D -0-1100 1 @@ -455,6 +466,14 @@ SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF inst_LDS_000_INT.D 10-1--1 0 1-00--- 0 110---- 0 +.names BGACK_000.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_PE.BLIF \ +AS_000.PIN.BLIF inst_BGACK_030_INTreg.D +1-1-- 1 +1--11 1 +-0--- 1 +-100- 0 +-10-0 0 +01--- 0 .names FC_1_.BLIF RST.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF \ FC_0_.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_030_D0.BLIF \ inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INT_D.BLIF \ @@ -574,40 +593,6 @@ inst_DS_000_DMA.D 1- 1 -0 1 01 0 -.names RST.BLIF inst_RESET_OUTreg.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF \ -RST_DLY_1_.BLIF RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF \ -RST_DLY_5_.BLIF RST_DLY_6_.BLIF RST_DLY_7_.BLIF inst_RESET_OUTreg.D -1-111111111 1 -11--------- 1 -0---------- 0 --0-------0- 0 --0------0-- 0 --0-----0--- 0 --0----0---- 0 --0---0----- 0 --0--0------ 0 --0-0------- 0 --00-------- 0 --0--------0 0 -.names RST.BLIF SM_AMIGA_5_.BLIF inst_AS_030_D0.BLIF SM_AMIGA_3_.BLIF \ -inst_DS_000_ENABLE.BLIF BERR.PIN.BLIF RW.PIN.BLIF inst_DS_000_ENABLE.D -1-0-11- 1 -10-1--- 1 -11----1 1 --0-0-0- 0 --0-00-- 0 --010--- 0 --1---00 0 --1--0-0 0 --11---0 0 -0------ 0 -.names BGACK_000.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_PE.BLIF \ -inst_BGACK_030_INTreg.D -1-1- 1 --0-- 1 -1--1 1 --100 0 -01-- 0 .names BG_030.BLIF RST.BLIF inst_AS_030_D0.BLIF inst_nEXP_SPACE_D0reg.BLIF \ inst_CLK_000_D0.BLIF BG_000DFFreg.BLIF BG_000DFFreg.D ----01 1 @@ -638,12 +623,12 @@ inst_AMIGA_BUS_ENABLE_DMA_LOW.D cpu_est_1_.BLIF inst_VPA_D.BLIF inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF \ cpu_est_2_.BLIF inst_VMA_INTreg.D -0-01-1-1 1 -0-------- 1 --1----0- 1 --1--1--- 1 --11------ 1 ---1-1---- 1 --10----- 1 +--1-1---- 1 +0-------- 1 +-11------ 1 --1-----0 1 10-100-11 0 1-0---0-- 0 @@ -660,24 +645,6 @@ A0.PIN.BLIF inst_UDS_000_INT.D 10-10 0 1-00- 0 110-- 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -inst_RW_000_DMA.BLIF RW_000.PIN.BLIF inst_RW_000_DMA.D --1-1- 1 --10-- 1 -0---- 1 --0--1 1 -1110- 0 -10--0 0 -.names RST.BLIF SM_AMIGA_5_.BLIF inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF \ -inst_RW_000_INT.BLIF SM_AMIGA_i_7_.BLIF RW.PIN.BLIF inst_RW_000_INT.D --0--1-- 1 --011--- 1 --0---0- 1 -0------ 1 --1----1 1 -10-001- 0 -100-01- 0 -11----0 0 .names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D 0- 1 -1 1 @@ -685,21 +652,18 @@ inst_RW_000_INT.BLIF SM_AMIGA_i_7_.BLIF RW.PIN.BLIF inst_RW_000_INT.D .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 1 0 -.names SM_AMIGA_5_.BLIF inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF \ -inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_0_.BLIF \ -SM_AMIGA_6_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF N_165 -0---1000000 1 -0--0-000000 1 -0-1--000000 1 -00---000000 1 --1010------ 0 ----------1- 0 ---------1-- 0 --------1--- 0 -------1---- 0 ------1----- 0 -1---------- 0 -----------1 0 +.names cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ +inst_VPA_D.BLIF inst_DTACK_D0.BLIF cpu_est_2_.BLIF inst_CLK_000_NE_D0.BLIF \ +pos_clk_un29_clk_000_ne_d0_i_n +----0-0- 1 +----11-- 1 +---10--- 1 +--1-0--- 1 +-1--0--- 1 +0---0--- 1 +-------0 1 +10000-11 0 +----10-1 0 .names IPL_030DFF_2_reg.BLIF IPL_030_2_ 1 1 0 0 @@ -761,14 +725,38 @@ SM_AMIGA_i_7_.BLIF AMIGA_BUS_ENABLE_HIGH 1-0 1 00- 0 1-1 0 -.names CIIN - 1 +.names A_31_.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF \ +A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ +inst_AS_030_D0.BLIF CIIN +0000000011110 1 +-----------0- 0 +----------0-- 0 +---------0--- 0 +--------0---- 0 +-------1----- 0 +------1------ 0 +-----1------- 0 +----1-------- 0 +---1--------- 0 +--1---------- 0 +-1----------- 0 +1------------ 0 +------------1 0 .names IPL_030DFF_1_reg.BLIF IPL_030_1_ 1 1 0 0 .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI.BLIF IPL_030DFF_2_reg.C +1 1 +0 0 +.names CLK_OSZI.BLIF IPL_D0_0_.C +1 1 +0 0 +.names CLK_OSZI.BLIF IPL_D0_1_.C +1 1 +0 0 .names CLK_OSZI.BLIF IPL_D0_2_.C 1 1 0 0 @@ -819,13 +807,22 @@ SM_AMIGA_i_7_.BLIF AMIGA_BUS_ENABLE_HIGH .names CLK_OSZI.BLIF IPL_030DFF_1_reg.C 1 1 0 0 -.names CLK_OSZI.BLIF IPL_030DFF_2_reg.C +.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D 1 1 0 0 -.names CLK_OSZI.BLIF IPL_D0_0_.C +.names CLK_OSZI.BLIF CLK_000_N_SYNC_9_.C 1 1 0 0 -.names CLK_OSZI.BLIF IPL_D0_1_.C +.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_N_SYNC_10_.C +1 1 +0 0 +.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_N_SYNC_11_.C 1 1 0 0 .names CLK_OSZI.BLIF RST_DLY_0_.C @@ -864,6 +861,24 @@ SM_AMIGA_i_7_.BLIF AMIGA_BUS_ENABLE_HIGH .names CLK_OSZI.BLIF SIZE_DMA_1_.C 1 1 0 0 +.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_P_SYNC_5_.C +1 1 +0 0 +.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_P_SYNC_6_.C +1 1 +0 0 +.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_P_SYNC_7_.C +1 1 +0 0 .names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D 1 1 0 0 @@ -931,24 +946,6 @@ SM_AMIGA_i_7_.BLIF AMIGA_BUS_ENABLE_HIGH .names CLK_OSZI.BLIF CLK_000_N_SYNC_8_.C 1 1 0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 .names CLK_OSZI.BLIF CLK_000_P_SYNC_0_.C 1 1 0 0 @@ -976,27 +973,27 @@ SM_AMIGA_i_7_.BLIF AMIGA_BUS_ENABLE_HIGH .names CLK_OSZI.BLIF CLK_000_P_SYNC_4_.C 1 1 0 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D +.names CLK_OSZI.BLIF inst_CLK_030_H.C 1 1 0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_5_.C +.names CLK_OSZI.BLIF inst_RESET_OUTreg.C 1 1 0 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D +.names CLK_OSZI.BLIF inst_DS_000_ENABLE.C 1 1 0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_6_.C +.names CLK_OSZI.BLIF inst_RW_000_DMA.C 1 1 0 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_7_.C +.names CLK_OSZI.BLIF inst_RW_000_INT.C 1 1 0 0 .names CLK_OSZI.BLIF inst_LDS_000_INT.C 1 1 0 0 +.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 .names CLK_OSZI.BLIF inst_AS_030_000_SYNC.C 1 1 0 0 @@ -1030,18 +1027,6 @@ SM_AMIGA_i_7_.BLIF AMIGA_BUS_ENABLE_HIGH .names CLK_OSZI.BLIF inst_DTACK_D0.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_CLK_030_H.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_RESET_OUTreg.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_DS_000_ENABLE.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 .names CLK_OSZI.BLIF BG_000DFFreg.C 1 1 0 0 @@ -1057,12 +1042,6 @@ SM_AMIGA_i_7_.BLIF AMIGA_BUS_ENABLE_HIGH .names CLK_OSZI.BLIF inst_UDS_000_INT.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_RW_000_DMA.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_RW_000_INT.C -1 1 -0 0 .names CLK_OSZI.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -1210,18 +1189,23 @@ A_17_.BLIF A_16_.BLIF FC_0_.BLIF AS_030.PIN.BLIF BERR.OE 1 1 0 0 .names A_31_.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF \ -A_25_.BLIF A_24_.BLIF inst_AS_030_D0.BLIF inst_nEXP_SPACE_D0reg.BLIF CIIN.OE -0000000001 1 ---------1- 0 --------1-- 0 -------1--- 0 ------1---- 0 -----1----- 0 ----1------ 0 ---1------- 0 --1-------- 0 -1--------- 0 ----------0 0 +A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ +inst_AS_030_D0.BLIF inst_nEXP_SPACE_D0reg.BLIF CIIN.OE +0000000011110- 1 +-------------1 1 +------------10 0 +-----------0-0 0 +----------0--0 0 +---------0---0 0 +--------0----0 0 +-------1-----0 0 +------1------0 0 +-----1-------0 0 +----1--------0 0 +---1---------0 0 +--1----------0 0 +-1-----------0 0 +1------------0 0 .names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ inst_CLK_000_NE_D0.BLIF cpu_est_3_reg.D.X1 11111 1 @@ -1352,4 +1336,48 @@ UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_CLK_030_H.D.X2 0--010-00- 0 0--001-0-0 0 0--010-0-0 0 +.names RST.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_i_7_.D.X1 +10 1 +0- 0 +-1 0 +.names RST.BLIF SM_AMIGA_5_.BLIF inst_nEXP_SPACE_D0reg.BLIF \ +inst_AS_030_000_SYNC.BLIF inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF \ +inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_0_.BLIF \ +SM_AMIGA_6_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF SM_AMIGA_1_.BLIF \ +SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF BERR.PIN.BLIF SM_AMIGA_i_7_.D.X2 +100-----000-000- 1 +10-1----000-000- 1 +10--0---000-000- 1 +10---1--000-000- 1 +1-----0--0----10 1 +1-----0--0---1-0 1 +1------0-0--1--0 1 +1-----0--01----0 1 +1-------10-1---0 1 +11-----0-0-----0 1 +1-----0--1-----1 1 +0--------------- 0 +------1--1------ 0 +---------1-----0 0 +-1-------0-----1 0 +--------10-----1 0 +---------01----1 0 +---------0--1--1 0 +---------0---1-1 0 +---------0----11 0 +-1----110------- 0 +------110-1----- 0 +-1----11---0---- 0 +------111--0---- 0 +------110---1--- 0 +-0----1-0-1-0--- 0 +-0----1-1--00--- 0 +-0----1-0---01-- 0 +-0----1-0---0-1- 0 +-1-----1000--00- 0 +-1-----1-000-00- 0 +-------11000-00- 0 +-------1000-100- 0 +-0------1000000- 0 +-01010--000-000- 0 .end diff --git a/Logic/68030_tk.crf b/Logic/68030_tk.crf index 71c2ab3..c77b7bd 100644 --- a/Logic/68030_tk.crf +++ b/Logic/68030_tk.crf @@ -1,7 +1,7 @@ // Signal Name Cross Reference File // ispLEVER Classic 1.8.00.04.29.14 -// Design '68030_tk' created Thu Jul 09 18:48:59 2015 +// Design '68030_tk' created Thu Sep 24 16:20:59 2015 // LEGEND: '>' Functional Block Port Separator diff --git a/Logic/68030_tk.eq3 b/Logic/68030_tk.eq3 index 62245f1..4d428b6 100644 --- a/Logic/68030_tk.eq3 +++ b/Logic/68030_tk.eq3 @@ -2,13 +2,11 @@ Copyright(C), 1992-2014, Lattice Semiconductor Corp. All Rights Reserved. -Design bus68030 created Thu Jul 09 18:48:59 2015 +Design bus68030 created Thu Sep 24 16:20:59 2015 P-Terms Fan-in Fan-out Type Name (attributes) --------- ------ ------- ---- ----------------- - 1 2 1 Pin SIZE_0_ - 1 2 1 Pin SIZE_0_.OE 1 2 1 Pin SIZE_1_ 1 2 1 Pin SIZE_1_.OE 1 2 1 Pin AS_030- @@ -32,8 +30,10 @@ Design bus68030 created Thu Jul 09 18:48:59 2015 2 4 1 Pin AMIGA_BUS_DATA_DIR 1 2 1 Pin AMIGA_BUS_ENABLE_LOW- 2 3 1 Pin AMIGA_BUS_ENABLE_HIGH - 1 0 1 Pin CIIN - 1 10 1 Pin CIIN.OE + 1 13 1 Pin CIIN + 1 1 1 Pin CIIN.OE + 1 2 1 Pin SIZE_0_ + 1 2 1 Pin SIZE_0_.OE 10 8 1 Pin IPL_030_2_.D- 1 1 1 Pin IPL_030_2_.C 1 2 1 Pin RW_000.OE @@ -44,7 +44,7 @@ Design bus68030 created Thu Jul 09 18:48:59 2015 1 1 1 Pin A0.C 2 6 1 Pin BG_000.D- 1 1 1 Pin BG_000.C - 2 4 1 Pin BGACK_030.D- + 3 5 1 Pin BGACK_030.D 1 1 1 Pin BGACK_030.C 10 8 1 Pin IPL_030_1_.D- 1 1 1 Pin IPL_030_1_.C @@ -62,7 +62,6 @@ Design bus68030 created Thu Jul 09 18:48:59 2015 1 2 1 Pin RW.OE 2 5 1 Pin RW.D- 1 1 1 Pin RW.C - 4 11 1 Node N_165 2 2 1 Node cpu_est_0_.D 1 1 1 Node cpu_est_0_.C 5 5 1 Node cpu_est_1_.D- @@ -182,6 +181,7 @@ Design bus68030 created Thu Jul 09 18:48:59 2015 1 1 1 Node CLK_000_N_SYNC_3_.C 1 1 1 Node CLK_000_N_SYNC_4_.D 1 1 1 Node CLK_000_N_SYNC_4_.C + 2 8 1 Node pos_clk_un29_clk_000_ne_d0_i_n- 1 1 1 Node CLK_000_N_SYNC_5_.D 1 1 1 Node CLK_000_N_SYNC_5_.C 1 1 1 Node CLK_000_N_SYNC_6_.D @@ -204,21 +204,18 @@ Design bus68030 created Thu Jul 09 18:48:59 2015 1 1 1 Node SM_AMIGA_2_.C 3 7 1 Node inst_DS_000_ENABLE.D 1 1 1 Node inst_DS_000_ENABLE.C - 14 20 1 Node SM_AMIGA_i_7_.D + 13 16 1 Node SM_AMIGA_i_7_.D- 1 1 1 Node SM_AMIGA_i_7_.C + 2 14 1 Node CIIN_0 ========= 346 P-Term Total: 346 - Total Pins: 57 - Total Nodes: 72 + Total Pins: 61 + Total Nodes: 73 Average P-Term/Output: 2 Equations: -SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); - -SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); - SIZE_1_ = (!SIZE_DMA_0_.Q & SIZE_DMA_1_.Q); SIZE_1_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); @@ -267,9 +264,13 @@ AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW_000.PIN AMIGA_BUS_ENABLE_HIGH = (!BGACK_030.Q & inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q # BGACK_030.Q & !SM_AMIGA_i_7_.Q); -CIIN = (1); +CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); -CIIN.OE = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q); +CIIN.OE = (CIIN_0); + +SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); + +SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); !IPL_030_2_.D = (!IPL_2_ & RST & !IPL_030_2_.Q # RST & !IPL_D0_2_.Q & !IPL_030_2_.Q @@ -305,8 +306,9 @@ A0.C = (CLK_OSZI); BG_000.C = (CLK_OSZI); -!BGACK_030.D = (!BGACK_000 & RST - # RST & !BGACK_030.Q & !inst_CLK_000_PE.Q); +BGACK_030.D = (!RST + # BGACK_000 & BGACK_030.Q + # BGACK_000 & inst_CLK_000_PE.Q & AS_000.PIN); BGACK_030.C = (CLK_OSZI); @@ -371,11 +373,6 @@ RW.OE = (!BGACK_030.Q & RESET.Q); RW.C = (CLK_OSZI); -N_165 = (!SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); - cpu_est_0_.D = (!cpu_est_0_.Q & inst_CLK_000_NE_D0.Q # cpu_est_0_.Q & !inst_CLK_000_NE_D0.Q); @@ -687,6 +684,9 @@ CLK_000_N_SYNC_4_.D = (CLK_000_N_SYNC_3_.Q); CLK_000_N_SYNC_4_.C = (CLK_OSZI); +!pos_clk_un29_clk_000_ne_d0_i_n = (inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q + # E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); + CLK_000_N_SYNC_5_.D = (CLK_000_N_SYNC_4_.Q); CLK_000_N_SYNC_5_.C = (CLK_OSZI); @@ -747,23 +747,25 @@ inst_DS_000_ENABLE.D = (RST & !SM_AMIGA_5_.Q & SM_AMIGA_3_.Q inst_DS_000_ENABLE.C = (CLK_OSZI); -SM_AMIGA_i_7_.D = (RST & !N_165 & !inst_CLK_000_PE.Q & BERR.PIN - # RST & !N_165 & !SM_AMIGA_0_.Q & BERR.PIN - # RST & !N_165 & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q - # RST & !N_165 & !SM_AMIGA_5_.Q & inst_CLK_000_PE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & !N_165 & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & !N_165 & inst_CLK_000_NE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & !N_165 & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & !N_165 & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & !N_165 & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & !N_165 & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); +!SM_AMIGA_i_7_.D = (!RST + # inst_CLK_000_PE.Q & SM_AMIGA_0_.Q + # SM_AMIGA_0_.Q & !BERR.PIN + # SM_AMIGA_5_.Q & !inst_CLK_000_NE.Q & !BERR.PIN + # !inst_CLK_000_PE.Q & SM_AMIGA_6_.Q & !BERR.PIN + # SM_AMIGA_3_.Q & pos_clk_un29_clk_000_ne_d0_i_n & !BERR.PIN + # !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & !BERR.PIN + # !inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & !BERR.PIN + # !inst_CLK_000_PE.Q & SM_AMIGA_2_.Q & !BERR.PIN + # !SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); SM_AMIGA_i_7_.C = (CLK_OSZI); +CIIN_0 = (inst_nEXP_SPACE_D0reg.Q + # !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); + Reverse-Polarity Equations: diff --git a/Logic/68030_tk.fti b/Logic/68030_tk.fti index d30c4e7..924571b 100644 --- a/Logic/68030_tk.fti +++ b/Logic/68030_tk.fti @@ -16,6 +16,10 @@ DATA LOCATION A_16_:A_*_96 // INP DATA LOCATION A_17_:F_*_59 // INP DATA LOCATION A_18_:A_*_95 // INP DATA LOCATION A_19_:A_*_97 // INP +DATA LOCATION A_20_:A_*_93 // INP +DATA LOCATION A_21_:A_*_94 // INP +DATA LOCATION A_22_:H_*_84 // INP +DATA LOCATION A_23_:H_*_85 // INP DATA LOCATION A_24_:C_*_19 // INP DATA LOCATION A_25_:C_*_18 // INP DATA LOCATION A_26_:C_*_17 // INP @@ -30,35 +34,36 @@ DATA LOCATION BGACK_030:H_4_83 // IO {RN_BGACK_030} DATA LOCATION BG_000:D_1_29 // IO {RN_BG_000} DATA LOCATION BG_030:C_*_21 // INP DATA LOCATION CIIN:E_12_47 // OUT +DATA LOCATION CIIN_0:E_5 // NOD DATA LOCATION CLK_000:*_*_11 // INP -DATA LOCATION CLK_000_N_SYNC_0_:D_7 // NOD +DATA LOCATION CLK_000_N_SYNC_0_:F_6 // NOD DATA LOCATION CLK_000_N_SYNC_10_:H_2 // NOD DATA LOCATION CLK_000_N_SYNC_11_:H_6 // NOD -DATA LOCATION CLK_000_N_SYNC_1_:A_15 // NOD -DATA LOCATION CLK_000_N_SYNC_2_:A_11 // NOD -DATA LOCATION CLK_000_N_SYNC_3_:A_7 // NOD -DATA LOCATION CLK_000_N_SYNC_4_:D_3 // NOD -DATA LOCATION CLK_000_N_SYNC_5_:A_3 // NOD -DATA LOCATION CLK_000_N_SYNC_6_:D_14 // NOD -DATA LOCATION CLK_000_N_SYNC_7_:E_8 // NOD -DATA LOCATION CLK_000_N_SYNC_8_:A_14 // NOD -DATA LOCATION CLK_000_N_SYNC_9_:G_3 // NOD -DATA LOCATION CLK_000_P_SYNC_0_:D_11 // NOD -DATA LOCATION CLK_000_P_SYNC_1_:G_11 // NOD -DATA LOCATION CLK_000_P_SYNC_2_:B_3 // NOD -DATA LOCATION CLK_000_P_SYNC_3_:C_9 // NOD -DATA LOCATION CLK_000_P_SYNC_4_:B_4 // NOD -DATA LOCATION CLK_000_P_SYNC_5_:E_9 // NOD -DATA LOCATION CLK_000_P_SYNC_6_:E_5 // NOD -DATA LOCATION CLK_000_P_SYNC_7_:G_7 // NOD -DATA LOCATION CLK_000_P_SYNC_8_:C_5 // NOD -DATA LOCATION CLK_000_P_SYNC_9_:C_2 // NOD +DATA LOCATION CLK_000_N_SYNC_1_:G_7 // NOD +DATA LOCATION CLK_000_N_SYNC_2_:G_3 // NOD +DATA LOCATION CLK_000_N_SYNC_3_:B_7 // NOD +DATA LOCATION CLK_000_N_SYNC_4_:B_3 // NOD +DATA LOCATION CLK_000_N_SYNC_5_:B_14 // NOD +DATA LOCATION CLK_000_N_SYNC_6_:A_6 // NOD +DATA LOCATION CLK_000_N_SYNC_7_:B_10 // NOD +DATA LOCATION CLK_000_N_SYNC_8_:G_14 // NOD +DATA LOCATION CLK_000_N_SYNC_9_:F_2 // NOD +DATA LOCATION CLK_000_P_SYNC_0_:D_10 // NOD +DATA LOCATION CLK_000_P_SYNC_1_:C_11 // NOD +DATA LOCATION CLK_000_P_SYNC_2_:B_11 // NOD +DATA LOCATION CLK_000_P_SYNC_3_:C_7 // NOD +DATA LOCATION CLK_000_P_SYNC_4_:D_6 // NOD +DATA LOCATION CLK_000_P_SYNC_5_:F_10 // NOD +DATA LOCATION CLK_000_P_SYNC_6_:A_3 // NOD +DATA LOCATION CLK_000_P_SYNC_7_:A_14 // NOD +DATA LOCATION CLK_000_P_SYNC_8_:A_10 // NOD +DATA LOCATION CLK_000_P_SYNC_9_:A_7 // NOD DATA LOCATION CLK_030:*_*_64 // INP DATA LOCATION CLK_DIV_OUT:G_1_65 // OUT DATA LOCATION CLK_EXP:B_1_10 // OUT DATA LOCATION CLK_OSZI:*_*_61 // Cin -DATA LOCATION CYCLE_DMA_0_:A_10 // NOD -DATA LOCATION CYCLE_DMA_1_:A_6 // NOD +DATA LOCATION CYCLE_DMA_0_:A_2 // NOD +DATA LOCATION CYCLE_DMA_1_:A_13 // NOD DATA LOCATION DSACK1:H_9_81 // IO {RN_DSACK1} DATA LOCATION DS_030:A_0_98 // IO DATA LOCATION DTACK:D_*_30 // INP @@ -68,38 +73,37 @@ DATA LOCATION FC_1_:F_*_58 // INP DATA LOCATION FPU_CS:H_1_78 // OUT DATA LOCATION FPU_SENSE:A_*_91 // INP DATA LOCATION IPL_030_0_:B_8_8 // IO {RN_IPL_030_0_} -DATA LOCATION IPL_030_1_:B_6_7 // IO {RN_IPL_030_1_} -DATA LOCATION IPL_030_2_:B_2_9 // IO {RN_IPL_030_2_} +DATA LOCATION IPL_030_1_:B_12_7 // IO {RN_IPL_030_1_} +DATA LOCATION IPL_030_2_:B_4_9 // IO {RN_IPL_030_2_} DATA LOCATION IPL_0_:G_*_67 // INP DATA LOCATION IPL_1_:F_*_56 // INP DATA LOCATION IPL_2_:G_*_68 // INP -DATA LOCATION IPL_D0_0_:B_7 // NOD -DATA LOCATION IPL_D0_1_:C_13 // NOD -DATA LOCATION IPL_D0_2_:B_13 // NOD +DATA LOCATION IPL_D0_0_:D_3 // NOD +DATA LOCATION IPL_D0_1_:D_14 // NOD +DATA LOCATION IPL_D0_2_:G_11 // NOD DATA LOCATION LDS_000:D_12_31 // IO -DATA LOCATION N_165:F_6 // NOD -DATA LOCATION RESET:B_14_3 // IO {RN_RESET} +DATA LOCATION RESET:B_0_3 // IO {RN_RESET} DATA LOCATION RN_A0:G_8 // NOD {A0} DATA LOCATION RN_BGACK_030:H_4 // NOD {BGACK_030} DATA LOCATION RN_BG_000:D_1 // NOD {BG_000} DATA LOCATION RN_DSACK1:H_9 // NOD {DSACK1} DATA LOCATION RN_E:G_4 // NOD {E} DATA LOCATION RN_IPL_030_0_:B_8 // NOD {IPL_030_0_} -DATA LOCATION RN_IPL_030_1_:B_6 // NOD {IPL_030_1_} -DATA LOCATION RN_IPL_030_2_:B_2 // NOD {IPL_030_2_} -DATA LOCATION RN_RESET:B_14 // NOD {RESET} +DATA LOCATION RN_IPL_030_1_:B_12 // NOD {IPL_030_1_} +DATA LOCATION RN_IPL_030_2_:B_4 // NOD {IPL_030_2_} +DATA LOCATION RN_RESET:B_0 // NOD {RESET} DATA LOCATION RN_RW:G_0 // NOD {RW} DATA LOCATION RN_RW_000:H_0 // NOD {RW_000} DATA LOCATION RN_VMA:D_0 // NOD {VMA} DATA LOCATION RST:*_*_86 // INP -DATA LOCATION RST_DLY_0_:B_10 // NOD -DATA LOCATION RST_DLY_1_:A_1 // NOD +DATA LOCATION RST_DLY_0_:C_6 // NOD +DATA LOCATION RST_DLY_1_:B_13 // NOD DATA LOCATION RST_DLY_2_:B_5 // NOD -DATA LOCATION RST_DLY_3_:B_0 // NOD -DATA LOCATION RST_DLY_4_:B_12 // NOD -DATA LOCATION RST_DLY_5_:A_12 // NOD -DATA LOCATION RST_DLY_6_:B_11 // NOD -DATA LOCATION RST_DLY_7_:A_5 // NOD +DATA LOCATION RST_DLY_3_:C_9 // NOD +DATA LOCATION RST_DLY_4_:C_14 // NOD +DATA LOCATION RST_DLY_5_:B_9 // NOD +DATA LOCATION RST_DLY_6_:C_2 // NOD +DATA LOCATION RST_DLY_7_:C_10 // NOD DATA LOCATION RW:G_0_71 // IO {RN_RW} DATA LOCATION RW_000:H_0_80 // IO {RN_RW_000} DATA LOCATION SIZE_0_:G_12_70 // IO @@ -107,43 +111,44 @@ DATA LOCATION SIZE_1_:H_12_79 // IO DATA LOCATION SIZE_DMA_0_:G_6 // NOD DATA LOCATION SIZE_DMA_1_:G_2 // NOD DATA LOCATION SM_AMIGA_0_:F_9 // NOD -DATA LOCATION SM_AMIGA_1_:F_1 // NOD -DATA LOCATION SM_AMIGA_2_:F_2 // NOD -DATA LOCATION SM_AMIGA_3_:F_12 // NOD -DATA LOCATION SM_AMIGA_4_:F_10 // NOD -DATA LOCATION SM_AMIGA_5_:F_4 // NOD -DATA LOCATION SM_AMIGA_6_:C_4 // NOD -DATA LOCATION SM_AMIGA_i_7_:F_0 // NOD +DATA LOCATION SM_AMIGA_1_:C_1 // NOD +DATA LOCATION SM_AMIGA_2_:C_13 // NOD +DATA LOCATION SM_AMIGA_3_:C_8 // NOD +DATA LOCATION SM_AMIGA_4_:F_1 // NOD +DATA LOCATION SM_AMIGA_5_:F_12 // NOD +DATA LOCATION SM_AMIGA_6_:F_5 // NOD +DATA LOCATION SM_AMIGA_i_7_:F_8 // NOD DATA LOCATION UDS_000:D_8_32 // IO DATA LOCATION VMA:D_0_35 // IO {RN_VMA} DATA LOCATION VPA:*_*_36 // INP -DATA LOCATION cpu_est_0_:D_6 // NOD -DATA LOCATION cpu_est_1_:D_13 // NOD -DATA LOCATION cpu_est_2_:D_2 // NOD +DATA LOCATION cpu_est_0_:C_5 // NOD +DATA LOCATION cpu_est_1_:G_5 // NOD +DATA LOCATION cpu_est_2_:C_12 // NOD DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_HIGH:G_10 // NOD -DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_LOW:G_14 // NOD +DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_LOW:C_3 // NOD DATA LOCATION inst_AS_000_DMA:A_8 // NOD -DATA LOCATION inst_AS_000_INT:C_1 // NOD -DATA LOCATION inst_AS_030_000_SYNC:C_8 // NOD +DATA LOCATION inst_AS_000_INT:A_1 // NOD +DATA LOCATION inst_AS_030_000_SYNC:F_13 // NOD DATA LOCATION inst_AS_030_D0:H_5 // NOD DATA LOCATION inst_BGACK_030_INT_D:H_13 // NOD -DATA LOCATION inst_CLK_000_D0:G_13 // NOD -DATA LOCATION inst_CLK_000_D1:F_8 // NOD -DATA LOCATION inst_CLK_000_NE:G_9 // NOD -DATA LOCATION inst_CLK_000_NE_D0:B_15 // NOD -DATA LOCATION inst_CLK_000_PE:D_9 // NOD -DATA LOCATION inst_CLK_030_H:A_2 // NOD -DATA LOCATION inst_CLK_OUT_PRE_50:A_9 // NOD -DATA LOCATION inst_CLK_OUT_PRE_D:G_15 // NOD -DATA LOCATION inst_DS_000_DMA:A_13 // NOD -DATA LOCATION inst_DS_000_ENABLE:C_12 // NOD -DATA LOCATION inst_DS_030_D0:D_10 // NOD -DATA LOCATION inst_DTACK_D0:D_15 // NOD -DATA LOCATION inst_LDS_000_INT:B_9 // NOD -DATA LOCATION inst_UDS_000_INT:F_5 // NOD -DATA LOCATION inst_VPA_D:F_13 // NOD -DATA LOCATION inst_nEXP_SPACE_D0reg:G_5 // NOD +DATA LOCATION inst_CLK_000_D0:B_2 // NOD +DATA LOCATION inst_CLK_000_D1:D_9 // NOD +DATA LOCATION inst_CLK_000_NE:F_4 // NOD +DATA LOCATION inst_CLK_000_NE_D0:E_8 // NOD +DATA LOCATION inst_CLK_000_PE:C_4 // NOD +DATA LOCATION inst_CLK_030_H:A_9 // NOD +DATA LOCATION inst_CLK_OUT_PRE_50:E_9 // NOD +DATA LOCATION inst_CLK_OUT_PRE_D:E_13 // NOD +DATA LOCATION inst_DS_000_DMA:A_5 // NOD +DATA LOCATION inst_DS_000_ENABLE:A_12 // NOD +DATA LOCATION inst_DS_030_D0:D_7 // NOD +DATA LOCATION inst_DTACK_D0:B_6 // NOD +DATA LOCATION inst_LDS_000_INT:G_9 // NOD +DATA LOCATION inst_UDS_000_INT:G_13 // NOD +DATA LOCATION inst_VPA_D:D_13 // NOD +DATA LOCATION inst_nEXP_SPACE_D0reg:F_0 // NOD DATA LOCATION nEXP_SPACE:*_*_14 // INP +DATA LOCATION pos_clk_un29_clk_000_ne_d0_i_n:D_2 // NOD DATA IO_DIR A0:BI DATA IO_DIR A1:IN DATA IO_DIR AMIGA_ADDR_ENABLE:OUT @@ -157,6 +162,10 @@ DATA IO_DIR A_16_:IN DATA IO_DIR A_17_:IN DATA IO_DIR A_18_:IN DATA IO_DIR A_19_:IN +DATA IO_DIR A_20_:IN +DATA IO_DIR A_21_:IN +DATA IO_DIR A_22_:IN +DATA IO_DIR A_23_:IN DATA IO_DIR A_24_:IN DATA IO_DIR A_25_:IN DATA IO_DIR A_26_:IN @@ -202,46 +211,48 @@ DATA IO_DIR VMA:OUT DATA IO_DIR VPA:IN DATA IO_DIR nEXP_SPACE:IN DATA GLB_CLOCK CLK_OSZI -DATA PW_LEVEL SIZE_0_:1 -DATA SLEW SIZE_0_:1 -DATA PW_LEVEL A_30_:1 -DATA SLEW A_30_:1 -DATA PW_LEVEL SIZE_1_:1 -DATA SLEW SIZE_1_:1 -DATA PW_LEVEL A_29_:1 -DATA SLEW A_29_:1 DATA PW_LEVEL A_28_:1 DATA SLEW A_28_:1 -DATA PW_LEVEL A_31_:1 -DATA SLEW A_31_:1 DATA PW_LEVEL A_27_:1 DATA SLEW A_27_:1 +DATA PW_LEVEL SIZE_1_:1 +DATA SLEW SIZE_1_:1 DATA PW_LEVEL A_26_:1 DATA SLEW A_26_:1 DATA PW_LEVEL A_25_:1 DATA SLEW A_25_:1 +DATA PW_LEVEL A_31_:1 +DATA SLEW A_31_:1 DATA PW_LEVEL A_24_:1 DATA SLEW A_24_:1 +DATA PW_LEVEL A_23_:1 +DATA SLEW A_23_:1 +DATA PW_LEVEL A_22_:1 +DATA SLEW A_22_:1 +DATA PW_LEVEL A_21_:1 +DATA SLEW A_21_:1 DATA PW_LEVEL IPL_2_:1 DATA SLEW IPL_2_:1 -DATA PW_LEVEL FC_1_:1 -DATA SLEW FC_1_:1 -DATA PW_LEVEL AS_030:1 -DATA SLEW AS_030:1 -DATA PW_LEVEL AS_000:1 -DATA SLEW AS_000:1 +DATA PW_LEVEL A_20_:1 +DATA SLEW A_20_:1 DATA PW_LEVEL A_19_:1 DATA SLEW A_19_:1 +DATA PW_LEVEL FC_1_:1 +DATA SLEW FC_1_:1 DATA PW_LEVEL A_18_:1 DATA SLEW A_18_:1 -DATA PW_LEVEL DS_030:1 -DATA SLEW DS_030:1 +DATA PW_LEVEL AS_030:1 +DATA SLEW AS_030:1 DATA PW_LEVEL A_17_:1 DATA SLEW A_17_:1 -DATA PW_LEVEL UDS_000:1 -DATA SLEW UDS_000:1 +DATA PW_LEVEL AS_000:1 +DATA SLEW AS_000:1 DATA PW_LEVEL A_16_:1 DATA SLEW A_16_:1 +DATA PW_LEVEL DS_030:1 +DATA SLEW DS_030:1 +DATA PW_LEVEL UDS_000:1 +DATA SLEW UDS_000:1 DATA PW_LEVEL LDS_000:1 DATA SLEW LDS_000:1 DATA PW_LEVEL A1:1 @@ -260,20 +271,20 @@ DATA PW_LEVEL CLK_DIV_OUT:1 DATA SLEW CLK_DIV_OUT:0 DATA PW_LEVEL CLK_EXP:1 DATA SLEW CLK_EXP:0 -DATA PW_LEVEL FPU_CS:1 -DATA SLEW FPU_CS:0 -DATA PW_LEVEL FPU_SENSE:1 -DATA SLEW FPU_SENSE:1 DATA PW_LEVEL IPL_1_:1 DATA SLEW IPL_1_:1 -DATA PW_LEVEL DTACK:1 -DATA SLEW DTACK:1 +DATA PW_LEVEL FPU_CS:1 +DATA SLEW FPU_CS:0 DATA PW_LEVEL IPL_0_:1 DATA SLEW IPL_0_:1 -DATA PW_LEVEL AVEC:1 -DATA SLEW AVEC:1 +DATA PW_LEVEL FPU_SENSE:1 +DATA SLEW FPU_SENSE:1 DATA PW_LEVEL FC_0_:1 DATA SLEW FC_0_:1 +DATA PW_LEVEL DTACK:1 +DATA SLEW DTACK:1 +DATA PW_LEVEL AVEC:1 +DATA SLEW AVEC:1 DATA SLEW VPA:1 DATA SLEW RST:1 DATA PW_LEVEL AMIGA_ADDR_ENABLE:1 @@ -286,6 +297,12 @@ DATA PW_LEVEL AMIGA_BUS_ENABLE_HIGH:1 DATA SLEW AMIGA_BUS_ENABLE_HIGH:0 DATA PW_LEVEL CIIN:1 DATA SLEW CIIN:1 +DATA PW_LEVEL SIZE_0_:1 +DATA SLEW SIZE_0_:1 +DATA PW_LEVEL A_30_:1 +DATA SLEW A_30_:1 +DATA PW_LEVEL A_29_:1 +DATA SLEW A_29_:1 DATA PW_LEVEL IPL_030_2_:1 DATA SLEW IPL_030_2_:1 DATA PW_LEVEL RW_000:1 @@ -310,8 +327,6 @@ DATA PW_LEVEL RESET:1 DATA SLEW RESET:1 DATA PW_LEVEL RW:1 DATA SLEW RW:1 -DATA PW_LEVEL N_165:1 -DATA SLEW N_165:1 DATA PW_LEVEL cpu_est_0_:1 DATA SLEW cpu_est_0_:1 DATA PW_LEVEL cpu_est_1_:1 @@ -430,6 +445,8 @@ DATA PW_LEVEL CLK_000_N_SYNC_3_:1 DATA SLEW CLK_000_N_SYNC_3_:1 DATA PW_LEVEL CLK_000_N_SYNC_4_:1 DATA SLEW CLK_000_N_SYNC_4_:1 +DATA PW_LEVEL pos_clk_un29_clk_000_ne_d0_i_n:1 +DATA SLEW pos_clk_un29_clk_000_ne_d0_i_n:1 DATA PW_LEVEL CLK_000_N_SYNC_5_:1 DATA SLEW CLK_000_N_SYNC_5_:1 DATA PW_LEVEL CLK_000_N_SYNC_6_:1 @@ -454,6 +471,8 @@ DATA PW_LEVEL inst_DS_000_ENABLE:1 DATA SLEW inst_DS_000_ENABLE:1 DATA PW_LEVEL SM_AMIGA_i_7_:1 DATA SLEW SM_AMIGA_i_7_:1 +DATA PW_LEVEL CIIN_0:1 +DATA SLEW CIIN_0:1 DATA PW_LEVEL RN_IPL_030_2_:1 DATA PW_LEVEL RN_RW_000:1 DATA PW_LEVEL RN_A0:1 diff --git a/Logic/68030_tk.grp b/Logic/68030_tk.grp index 7068f67..51efa5a 100644 --- a/Logic/68030_tk.grp +++ b/Logic/68030_tk.grp @@ -1,28 +1,29 @@ -GROUP MACH_SEG_A inst_DS_000_DMA inst_CLK_030_H RST_DLY_1_ RST_DLY_5_ RST_DLY_7_ - inst_AS_000_DMA CYCLE_DMA_1_ CYCLE_DMA_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ - CLK_000_N_SYNC_3_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_8_ inst_CLK_OUT_PRE_50 - DS_030 AVEC -GROUP MACH_SEG_B RESET RN_RESET IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ - IPL_030_2_ RN_IPL_030_2_ RST_DLY_3_ RST_DLY_0_ RST_DLY_2_ RST_DLY_4_ - RST_DLY_6_ inst_LDS_000_INT IPL_D0_0_ IPL_D0_2_ CLK_000_P_SYNC_2_ - CLK_000_P_SYNC_4_ inst_CLK_000_NE_D0 CLK_EXP -GROUP MACH_SEG_C inst_AS_030_000_SYNC SM_AMIGA_6_ inst_DS_000_ENABLE inst_AS_000_INT - IPL_D0_1_ CLK_000_P_SYNC_9_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_8_ AMIGA_BUS_ENABLE_LOW +GROUP MACH_SEG_A inst_DS_000_DMA inst_CLK_030_H inst_AS_000_DMA inst_DS_000_ENABLE + CYCLE_DMA_1_ inst_AS_000_INT CYCLE_DMA_0_ CLK_000_P_SYNC_9_ CLK_000_P_SYNC_6_ + CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_6_ DS_030 AVEC -GROUP MACH_SEG_D VMA RN_VMA BG_000 RN_BG_000 cpu_est_1_ cpu_est_2_ cpu_est_0_ - CLK_000_P_SYNC_0_ CLK_000_N_SYNC_0_ inst_DTACK_D0 inst_DS_030_D0 - inst_CLK_000_PE CLK_000_N_SYNC_4_ CLK_000_N_SYNC_6_ LDS_000 UDS_000 - AMIGA_BUS_ENABLE_HIGH AMIGA_ADDR_ENABLE -GROUP MACH_SEG_E CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_N_SYNC_7_ - CIIN BERR AMIGA_BUS_DATA_DIR AS_000 -GROUP MACH_SEG_F SM_AMIGA_i_7_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_0_ SM_AMIGA_1_ - SM_AMIGA_4_ SM_AMIGA_5_ inst_UDS_000_INT inst_VPA_D inst_CLK_000_D1 - N_165 -GROUP MACH_SEG_G A0 RN_A0 RW RN_RW E RN_E SIZE_DMA_0_ SIZE_DMA_1_ inst_AMIGA_BUS_ENABLE_DMA_LOW - inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_nEXP_SPACE_D0reg inst_CLK_000_D0 - inst_CLK_000_NE CLK_000_P_SYNC_1_ CLK_000_P_SYNC_7_ CLK_000_N_SYNC_9_ - inst_CLK_OUT_PRE_D SIZE_0_ CLK_DIV_OUT +GROUP MACH_SEG_B RESET RN_RESET IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ + IPL_030_2_ RN_IPL_030_2_ RST_DLY_1_ RST_DLY_2_ RST_DLY_5_ inst_DTACK_D0 + inst_CLK_000_D0 CLK_000_P_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ + CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_EXP +GROUP MACH_SEG_C SM_AMIGA_3_ SM_AMIGA_2_ RST_DLY_3_ RST_DLY_0_ RST_DLY_4_ + RST_DLY_6_ RST_DLY_7_ SM_AMIGA_1_ cpu_est_2_ inst_AMIGA_BUS_ENABLE_DMA_LOW + cpu_est_0_ inst_CLK_000_PE CLK_000_P_SYNC_1_ CLK_000_P_SYNC_3_ AMIGA_BUS_ENABLE_LOW + +GROUP MACH_SEG_D VMA RN_VMA BG_000 RN_BG_000 CLK_000_P_SYNC_0_ inst_VPA_D + inst_DS_030_D0 IPL_D0_0_ IPL_D0_1_ CLK_000_P_SYNC_4_ inst_CLK_000_D1 + LDS_000 UDS_000 AMIGA_BUS_ENABLE_HIGH AMIGA_ADDR_ENABLE pos_clk_un29_clk_000_ne_d0_i_n + +GROUP MACH_SEG_E inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE_50 inst_CLK_000_NE_D0 + CIIN BERR AMIGA_BUS_DATA_DIR AS_000 CIIN_0 +GROUP MACH_SEG_F SM_AMIGA_i_7_ inst_AS_030_000_SYNC SM_AMIGA_6_ SM_AMIGA_0_ + SM_AMIGA_4_ SM_AMIGA_5_ CLK_000_N_SYNC_0_ inst_nEXP_SPACE_D0reg inst_CLK_000_NE + CLK_000_P_SYNC_5_ CLK_000_N_SYNC_9_ +GROUP MACH_SEG_G A0 RN_A0 RW RN_RW E RN_E inst_LDS_000_INT SIZE_DMA_0_ + SIZE_DMA_1_ cpu_est_1_ inst_UDS_000_INT inst_AMIGA_BUS_ENABLE_DMA_HIGH + IPL_D0_2_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_8_ SIZE_0_ + CLK_DIV_OUT GROUP MACH_SEG_H DSACK1 RN_DSACK1 RW_000 RN_RW_000 BGACK_030 RN_BGACK_030 inst_AS_030_D0 inst_BGACK_030_INT_D CLK_000_N_SYNC_11_ CLK_000_N_SYNC_10_ FPU_CS AS_030 SIZE_1_ \ No newline at end of file diff --git a/Logic/68030_tk.ipr b/Logic/68030_tk.ipr index 22ec451..bc30fde 100644 --- a/Logic/68030_tk.ipr +++ b/Logic/68030_tk.ipr @@ -1 +1 @@ -9273210z*5A(L3- \ No newline at end of file +9467445Fv,kS- \ No newline at end of file diff --git a/Logic/68030_tk.jed b/Logic/68030_tk.jed index 6058fb3..ef54cea 100644 --- a/Logic/68030_tk.jed +++ b/Logic/68030_tk.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Thu Jul 09 18:49:07 2015 +DATE: Thu Sep 24 16:17:03 2015 ABEL mach447a * @@ -33,467 +33,468 @@ NOTE Zero Hold Time For Input Registers? Y * NOTE Table of pin names and numbers* NOTE PINS SIZE_0_:70 A_30_:5 SIZE_1_:79 A_29_:6 A_28_:15* NOTE PINS A_31_:4 A_27_:16 A_26_:17 A_25_:18 A_24_:19 IPL_2_:68* -NOTE PINS FC_1_:58 AS_030:82 AS_000:42 A_19_:97 A_18_:95* -NOTE PINS DS_030:98 A_17_:59 UDS_000:32 A_16_:96 LDS_000:31* -NOTE PINS A1:60 nEXP_SPACE:14 BERR:41 BG_030:21 BGACK_000:28* -NOTE PINS CLK_030:64 CLK_000:11 CLK_OSZI:61 CLK_DIV_OUT:65* -NOTE PINS CLK_EXP:10 FPU_CS:78 FPU_SENSE:91 IPL_1_:56 DTACK:30* -NOTE PINS IPL_0_:67 AVEC:92 FC_0_:57 VPA:36 RST:86 AMIGA_ADDR_ENABLE:33* -NOTE PINS AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* +NOTE PINS A_23_:85 A_22_:84 FC_1_:58 A_21_:94 AS_030:82 A_20_:93* +NOTE PINS AS_000:42 A_19_:97 A_18_:95 DS_030:98 A_17_:59* +NOTE PINS UDS_000:32 A_16_:96 LDS_000:31 A1:60 nEXP_SPACE:14* +NOTE PINS BERR:41 BG_030:21 BGACK_000:28 CLK_030:64 CLK_000:11* +NOTE PINS CLK_OSZI:61 CLK_DIV_OUT:65 FPU_CS:78 FPU_SENSE:91* +NOTE PINS IPL_1_:56 DTACK:30 IPL_0_:67 AVEC:92 FC_0_:57 VPA:36* +NOTE PINS RST:86 AMIGA_ADDR_ENABLE:33 AMIGA_BUS_DATA_DIR:48* +NOTE PINS AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* NOTE PINS CIIN:47 IPL_030_2_:9 RW_000:80 A0:69 BG_000:29* -NOTE PINS BGACK_030:83 IPL_030_1_:7 IPL_030_0_:8 DSACK1:81* -NOTE PINS E:66 VMA:35 RESET:3 RW:71 * +NOTE PINS BGACK_030:83 CLK_EXP:10 IPL_030_1_:7 IPL_030_0_:8* +NOTE PINS DSACK1:81 E:66 VMA:35 RESET:3 RW:71 * NOTE Table of node names and numbers* NOTE NODES RN_SIZE_0_:263 RN_SIZE_1_:287 RN_AS_030:281 RN_AS_000:203 * NOTE NODES RN_DS_030:101 RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 * -NOTE NODES RN_IPL_030_2_:128 RN_RW_000:269 RN_A0:257 RN_BG_000:175 * -NOTE NODES RN_BGACK_030:275 RN_IPL_030_1_:134 RN_IPL_030_0_:137 * -NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RESET:146 * -NOTE NODES RN_RW:245 N_165:230 cpu_est_0_:182 cpu_est_1_:193 * -NOTE NODES inst_AS_000_INT:151 SM_AMIGA_5_:227 inst_AMIGA_BUS_ENABLE_DMA_LOW:266 * -NOTE NODES inst_AS_030_D0:277 inst_nEXP_SPACE_D0reg:253 * -NOTE NODES inst_DS_030_D0:188 inst_AS_030_000_SYNC:161 inst_BGACK_030_INT_D:289 * -NOTE NODES inst_AS_000_DMA:113 inst_DS_000_DMA:121 CYCLE_DMA_0_:116 * -NOTE NODES CYCLE_DMA_1_:110 SIZE_DMA_0_:254 SIZE_DMA_1_:248 * -NOTE NODES inst_VPA_D:241 inst_UDS_000_INT:229 inst_LDS_000_INT:139 * -NOTE NODES inst_CLK_OUT_PRE_D:268 inst_DTACK_D0:196 inst_CLK_OUT_PRE_50:115 * -NOTE NODES inst_CLK_000_D1:233 inst_CLK_000_D0:265 inst_CLK_000_PE:187 * -NOTE NODES CLK_000_P_SYNC_9_:152 inst_CLK_000_NE:259 CLK_000_N_SYNC_11_:278 * -NOTE NODES cpu_est_2_:176 IPL_D0_0_:136 IPL_D0_1_:169 IPL_D0_2_:145 * -NOTE NODES SM_AMIGA_3_:239 inst_CLK_000_NE_D0:148 SM_AMIGA_0_:235 * -NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:260 SM_AMIGA_6_:155 * -NOTE NODES RST_DLY_0_:140 RST_DLY_1_:103 RST_DLY_2_:133 * -NOTE NODES RST_DLY_3_:125 RST_DLY_4_:143 RST_DLY_5_:119 * -NOTE NODES RST_DLY_6_:142 RST_DLY_7_:109 CLK_000_P_SYNC_0_:190 * -NOTE NODES CLK_000_P_SYNC_1_:262 CLK_000_P_SYNC_2_:130 CLK_000_P_SYNC_3_:163 * -NOTE NODES CLK_000_P_SYNC_4_:131 CLK_000_P_SYNC_5_:211 CLK_000_P_SYNC_6_:205 * -NOTE NODES CLK_000_P_SYNC_7_:256 CLK_000_P_SYNC_8_:157 CLK_000_N_SYNC_0_:184 * -NOTE NODES CLK_000_N_SYNC_1_:124 CLK_000_N_SYNC_2_:118 CLK_000_N_SYNC_3_:112 * -NOTE NODES CLK_000_N_SYNC_4_:178 CLK_000_N_SYNC_5_:106 CLK_000_N_SYNC_6_:194 * -NOTE NODES CLK_000_N_SYNC_7_:209 CLK_000_N_SYNC_8_:122 CLK_000_N_SYNC_9_:250 * -NOTE NODES CLK_000_N_SYNC_10_:272 inst_CLK_030_H:104 SM_AMIGA_1_:223 * -NOTE NODES SM_AMIGA_4_:236 SM_AMIGA_2_:224 inst_DS_000_ENABLE:167 * -NOTE NODES SM_AMIGA_i_7_:221 * +NOTE NODES RN_IPL_030_2_:131 RN_RW_000:269 RN_A0:257 RN_BG_000:175 * +NOTE NODES RN_BGACK_030:275 RN_IPL_030_1_:143 RN_IPL_030_0_:137 * +NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RESET:125 * +NOTE NODES RN_RW:245 cpu_est_0_:167 cpu_est_1_:187 inst_AS_000_INT:259 * +NOTE NODES SM_AMIGA_5_:139 inst_AMIGA_BUS_ENABLE_DMA_LOW:104 * +NOTE NODES inst_AS_030_D0:277 inst_nEXP_SPACE_D0reg:133 * +NOTE NODES inst_DS_030_D0:184 inst_AS_030_000_SYNC:229 inst_BGACK_030_INT_D:289 * +NOTE NODES inst_AS_000_DMA:103 inst_DS_000_DMA:110 CYCLE_DMA_0_:106 * +NOTE NODES CYCLE_DMA_1_:122 SIZE_DMA_0_:253 SIZE_DMA_1_:119 * +NOTE NODES inst_VPA_D:265 inst_UDS_000_INT:115 inst_LDS_000_INT:109 * +NOTE NODES inst_CLK_OUT_PRE_D:145 inst_DTACK_D0:172 inst_CLK_OUT_PRE_50:142 * +NOTE NODES inst_CLK_000_D1:217 inst_CLK_000_D0:209 inst_CLK_000_PE:155 * +NOTE NODES CLK_000_P_SYNC_9_:166 inst_CLK_000_NE:113 CLK_000_N_SYNC_11_:278 * +NOTE NODES cpu_est_2_:193 IPL_D0_0_:136 IPL_D0_1_:236 IPL_D0_2_:178 * +NOTE NODES SM_AMIGA_3_:161 inst_CLK_000_NE_D0:233 SM_AMIGA_0_:223 * +NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:121 SM_AMIGA_6_:227 * +NOTE NODES RST_DLY_0_:158 RST_DLY_1_:169 RST_DLY_2_:151 * +NOTE NODES RST_DLY_3_:128 RST_DLY_4_:134 RST_DLY_5_:163 * +NOTE NODES RST_DLY_6_:152 RST_DLY_7_:164 N_203_i:170 CLK_000_P_SYNC_0_:230 * +NOTE NODES CLK_000_P_SYNC_1_:256 CLK_000_P_SYNC_2_:250 CLK_000_P_SYNC_3_:266 * +NOTE NODES CLK_000_P_SYNC_4_:130 CLK_000_P_SYNC_5_:260 CLK_000_P_SYNC_6_:224 * +NOTE NODES CLK_000_P_SYNC_7_:194 CLK_000_P_SYNC_8_:241 CLK_000_N_SYNC_0_:235 * +NOTE NODES CLK_000_N_SYNC_1_:160 CLK_000_N_SYNC_2_:146 CLK_000_N_SYNC_3_:140 * +NOTE NODES CLK_000_N_SYNC_4_:154 CLK_000_N_SYNC_5_:254 CLK_000_N_SYNC_6_:112 * +NOTE NODES CLK_000_N_SYNC_7_:248 CLK_000_N_SYNC_8_:188 CLK_000_N_SYNC_9_:211 * +NOTE NODES CLK_000_N_SYNC_10_:272 inst_CLK_030_H:116 SM_AMIGA_1_:239 * +NOTE NODES SM_AMIGA_4_:176 SM_AMIGA_2_:157 inst_DS_000_ENABLE:182 * +NOTE NODES SM_AMIGA_i_7_:221 CIIN_0:205 * NOTE BLOCK 0 * L000000 - 110111111011111111111111111111111111111111111111111111111101111111 - 111101111111111111111111111111111101111111111111111111101111111111 - 111111111111111111111111111111111111111111111111111111111111110111 - 111111111111111111111111111111111111111111111111111111111111111110 - 111111111111111111111111110111111111111111111111111101111111111111 - 111111111111110111111111111101011111111111111111111111110111111111 - 111111011111101110110111011111111111110111011111011111111111111111 - 111111111111111111111111111111111111011101110110111111111111111111 - 101111111111111111011111111111111011111111111111110111111111111111* + 111111111011111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111110111111111111111111111111111111 + 101111111110111111111111111111111111111111111111111111111111110111 + 111111111111111111111111111101111111111111111111111111111110111111 + 111111101111111111111111111111111111111101111111111101111111111111 + 111111111111111101111111111111011111111011111111110111101111111111 + 111101111111111111110111110111111111111111111111011111111011111111 + 111111111111011011111111011111111111011111111110111111111111111111 + 111111111111111111011111111111111011111111100111111111111111111111* L000594 000000000000000000000000000000000000000000000000000000000000000000* -L000660 111111111111111111111111111111111011111111111111111111111011111111* -L000726 000000000000000000000000000000000000000000000000000000000000000000* -L000792 000000000000000000000000000000000000000000000000000000000000000000* -L000858 000000000000000000000000000000000000000000000000000000000000000000* -L000924 000000000000000000000000000000000000000000000000000000000000000000* -L000990 011111111111111111011111111111111111111111111111111111101111111111* -L001056 011110111111111111011111111111111111111111111111111111111111111111* -L001122 011101111111111111101111111111111111111111111111111111011111111111* -L001188 010111111111111111011111111111011101011111111111111101111111110111* +L000660 111111111111111111111011111111111011111111111111111111111111111111* +L000726 111111111111111111111111111111111111111111101111111111111111111111* +L000792 111111111111111111111111111111111111111111111101111111111111111111* +L000858 111111111011111111011111111111111111111111111111111111111111111111* +L000924 111111111111011111111111111111111111111101111111111111111111111111* +L000990 111111111111101111111111111111111111111110111111111111111111111111* +L001056 111111111111111111111111111111110111111111111111111111111111111111* +L001122 111111111111111111111111011111111111111111111111011111111111111111* +L001188 000000000000000000000000000000000000000000000000000000000000000000* L001254 000000000000000000000000000000000000000000000000000000000000000000* L001320 111111111111111111111111111111111111111111111111111111111111111111* -L001386 011111101011111111110111111110111011111110111110111111111111111111* -L001452 011111101011111111111011111101111011111110111110111111111111111111* -L001518 011111111111111111110111111110111011111110111110110111111111111111* -L001584 011111111111111111111011111101111011111110111110110111111111111111* -L001650 011111101011111111110111111110111011111111111110101111111111111111* -L001716 111111111111111111111111111111111111111111110111111111111111111111* -L001782 000000000000000000000000000000000000000000000000000000000000000000* +L001386 111111011111111111111111111111111111111111011110111111111111111111* +L001452 111111111111111111111111111111111111110111011001111111111111111111* +L001518 000000000000000000000000000000000000000000000000000000000000000000* +L001584 000000000000000000000000000000000000000000000000000000000000000000* +L001650 000000000000000000000000000000000000000000000000000000000000000000* +L001716 111111111111101111111111111101111011111111011110111111111111111111* +L001782 111111111111011111111111111110111011111111011110111111111111111111* L001848 000000000000000000000000000000000000000000000000000000000000000000* L001914 000000000000000000000000000000000000000000000000000000000000000000* L001980 000000000000000000000000000000000000000000000000000000000000000000* L002046 000000000000000000000000000000000000000000000000000000000000000000* L002112 111111111111111111111111111111111111111111111111111111111111111111* -L002178 011111101011111111111011111101111011111111111110101111111111111111* -L002244 011111111111111111110111111110111011111111111110100111111111111111* -L002310 011111111111111111111011111101111011111111111110100111111111111111* -L002376 000000000000000000000000000000000000000000000000000000000000000000* -L002442 010101111111111111011111111111011101111111111111111101011111110111* -L002508 011111111111111111111111111111111111011111111111111111111111111111* -L002574 000000000000000000000000000000000000000000000000000000000000000000* -L002640 000000000000000000000000000000000000000000000000000000000000000000* -L002706 000000000000000000000000000000000000000000000000000000000000000000* +L002178 111111111111111111111111111111111111111111101111111111111111111111* +L002244 111111111111111111111111110111111111011111111111111111111111111111* +L002310 111111111111111111111111111111111111011111111111111111111110111111* +L002376 101111111111111111111111111011111101111111111111111111101101111111* +L002442 111111111111111111111111111111111111111111101111111111111111111111* +L002508 111111111111111111111111111111111111111111111101111111111111111111* +L002574 111111111111011111111111111111111111111101111111111111111111111111* +L002640 111111111111101111111111111111111111111110111111111111111111111111* +L002706 111111111111111111111111111111110111111111111111111111111111111111* L002772 000000000000000000000000000000000000000000000000000000000000000000* -L002838 011111111111111111110111111110111011111111111110111111111111111111* -L002904 011111111111111111111011011101111011111111111110111111111111111111* -L002970 011111111111111111110111101111111011111111111110111111111111111111* -L003036 000000000000000000000000000000000000000000000000000000000000000000* +L002838 111111111111111111111111011111111111111111111111011111111111111111* +L002904 111111111011111111110111111111111111111111111111111111111011111111* +L002970 111111110111111101011111111111111111111111111111111111111011111111* +L003036 111111111111111110110111111111111111111111111111111111111011111111* L003102 000000000000000000000000000000000000000000000000000000000000000000* -L003168 111111111111110111111111111111111111111111111111111111111111111111* +L003168 111111111101111111111111111111111111111111111111111111111111111111* L003234 000000000000000000000000000000000000000000000000000000000000000000* L003300 000000000000000000000000000000000000000000000000000000000000000000* L003366 000000000000000000000000000000000000000000000000000000000000000000* L003432 000000000000000000000000000000000000000000000000000000000000000000* L003498 000000000000000000000000000000000000000000000000000000000000000000* -L003564 101111111111111111111111111111111111111111111111111111111111111111* -L003630 111111111111111111111111111111111111111111111101111111111111111111* -L003696 111111011011111111111111111111111111111111111111111111111111111111* -L003762 111111111111111111110111111101111111111111111111111111111111111111* -L003828 111111111111111111111011111110111111111111111111111111111111111111* -L003894 111111111111111111111111111111111111111011111111111111111111111111* -L003960 111111111111111111111111111111110111111111111111111111111111111111* -L004026 111111111111111111111111111111111111111101111111011111111111111111* +L003564 111111111111110111111111111111111111111111111111111111111111111111* +L003630 000000000000000000000000000000000000000000000000000000000000000000* +L003696 000000000000000000000000000000000000000000000000000000000000000000* +L003762 000000000000000000000000000000000000000000000000000000000000000000* +L003828 000000000000000000000000000000000000000000000000000000000000000000* +L003894 111110111111111111111111110111111111111111011111111111111111111111* +L003960 111110111111111111111111111111111111111111011111111111111110111111* +L004026 101111111111111111111111111011111111111111011111111111111101111111* L004092 000000000000000000000000000000000000000000000000000000000000000000* L004158 000000000000000000000000000000000000000000000000000000000000000000* L004224 000000000000000000000000000000000000000000000000000000000000000000* -L004290 011111111111111111111111011110111011111111111110111111111111111111* -L004356 011111111111111111111111101101111011111111111110111111111111111111* -L004422 000000000000000000000000000000000000000000000000000000000000000000* -L004488 000000000000000000000000000000000000000000000000000000000000000000* -L004554 000000000000000000000000000000000000000000000000000000000000000000* -L004620 111111111111111111111111110111111111111111111111111111111111111111* -L004686 000000000000000000000000000000000000000000000000000000000000000000* -L004752 000000000000000000000000000000000000000000000000000000000000000000* +L004290 111111111011101111101111101111111011111101011110111111111111111111* +L004356 111111111011011111101111101111111011111110011110111111111111111111* +L004422 111111111111101101111111101111111011111101011110111111111111111111* +L004488 111111111111011101111111101111111011111110011110111111111111111111* +L004554 111111111011101111101111111111111011111101011110101111111111111111* +L004620 111111111011011111101111111111111011111110011110101111111111111111* +L004686 111111111111101101111111111111111011111101011110101111111111111111* +L004752 111111111111011101111111111111111011111110011110101111111111111111* L004818 000000000000000000000000000000000000000000000000000000000000000000* L004884 000000000000000000000000000000000000000000000000000000000000000000* L004950 000000000000000000000000000000000000000000000000000000000000000000* -L005016 101111111111111111111111111111011111111111111111111111111111111111* -L005082 010101111111111111011111111111101111111111111111111101011111110111* -L005148 110101111111111111011111111111011110111111111111111101011111110111* -L005214 110101111111111111011111111111011111101111111111111101011111110111* +L005016 111111111111111111111111111111111111111111101111111111111111111111* +L005082 111111111111111111111111111111011111110111111101111111111111111111* +L005148 111111111111111111111111101111111111111111111110101111111111111111* +L005214 000000000000000000000000000000000000000000000000000000000000000000* L005280 000000000000000000000000000000000000000000000000000000000000000000* -L005346 101111111111111111111111111111111111111111111111111111111111111111* -L005412 111111111111111111111111111111111111111111111101111111111111111111* -L005478 111111111111111111110111111101111111111111111111111111111111111111* -L005544 111111111111111111111011111110111111111111111111111111111111111111* -L005610 111111111111111111111111111111110111111111111111111111111111111111* +L005346 111111101111111111111111111111111111111111011110111111111111111111* +L005412 111111111111111111111111111111111111110111011101111011111111111111* +L005478 000000000000000000000000000000000000000000000000000000000000000000* +L005544 000000000000000000000000000000000000000000000000000000000000000000* +L005610 000000000000000000000000000000000000000000000000000000000000000000* L005676 - 111111111111111111111111111111111111111111111110111111111101111110* -L005742 111111111111111101111111111111111111111111111111111111111111111111* -L005808 111111111111111111111111111111111111111101111111011111111111111111* -L005874 111111111011101111111111111111111111111111111111111111110111111111* -L005940 111111010111101111111111111111111111111111111111110111111111111111* -L006006 111111111111101111111111111111111111111111111111111011110111111111* -L006072 111111111111111111111111111111111111111111011111111111111111111111* -L006138 000000000000000000000000000000000000000000000000000000000000000000* -L006204 000000000000000000000000000000000000000000000000000000000000000000* -L006270 000000000000000000000000000000000000000000000000000000000000000000* -L006336 000000000000000000000000000000000000000000000000000000000000000000* + 111111111111111111111111111111111111111111111110111101111111111011* +L005742 111111111111101111111111111111111011111101011110111111111111111111* +L005808 111111111111011111111111111101111011111110011110111111111111111111* +L005874 111111111111111111111111111110111011111101011110111111111111111111* +L005940 000000000000000000000000000000000000000000000000000000000000000000* +L006006 000000000000000000000000000000000000000000000000000000000000000000* +L006072 111111111111111111111111111111111111111111111111111111111111111111* +L006138 111111111111111111111111111111111111111111111111111111111111111111* +L006204 111111111111111111111111111111111111111111111111111111111111111111* +L006270 111111111111111111111111111111111111111111111111111111111111111111* +L006336 111111111111111111111111111111111111111111111111111111111111111111* L006402 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L006534 0010* -L006538 01100011111000* +L006538 01010011111000* L006552 10100110010011* -L006566 10100110010101* -L006580 00100110011111* -L006594 00001111111000* -L006608 10100110011110* +L006566 11100110010101* +L006580 10100110011111* +L006594 00011111111000* +L006608 10010110010010* L006622 10100110010000* -L006636 00100110011111* -L006650 10100110010000* -L006664 00110110010010* +L006636 00100110010011* +L006650 00100110010000* +L006664 11100110010010* L006678 10100110010000* -L006692 00100110010011* -L006706 10100111010001* -L006720 10100110010011* -L006734 00110110010100* -L006748 00100110010010* +L006692 11111011110011* +L006706 10100110010001* +L006720 11100110010011* +L006734 10100110010100* +L006748 11101111110010* NOTE BLOCK 1 * L006762 - 110111111011111111110111111111111111111111111111111111111101011111 - 111111011111010111111111011011111110111111111111111111101111111111 - 101111111111111101111101111111111111111111101011111111111111110101 - 111111111111111111111111111101111011011111111111111111111111111111 - 111111111111111111111111111111111111111111111111111101111111111111 - 111101111111111111111111111111011111111110111111111111111111111111 + 111111111111111111111011011111111111111101111111111111110111011111 + 111111111101010101111111110111111111111011111111111111111111111111 + 111111111011111111111101111111011101011111101111011111111111111111 + 111101111111111111111111111110111011111111111111110111011101111111 + 111111111111111111111111111111111111111111110111111101111111111111 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111111111110111111111 - 111111111111111111011111111111111111111111111111101111111111111111* + 111111011111111111111111111111111111111111111111111111111111111111 + 111111111111111111011111111111111111111111111111111111111111111111 + 101011111111111111111111111111111111111111111111111111111111111111* L007356 111111111111111111111111111111111111111111111111111111111111111111* -L007422 111111111111111111111111111111111111111111111111011101101111111111* -L007488 111111111111111111111111101111111111111111111111011101111111111111* -L007554 111111111111111111101111111111111111111111111111011101111111111111* -L007620 111111111111111111111111111111111111111111111111011101111111111011* -L007686 111111111111111111011111011111111111111111111111011110011111110111* -L007752 111111110111111111111111111111111111111111111111111111111111111111* -L007818 110111111111110111111111111111011111111111111111011101110111111111* -L007884 000000000000000000000000000000000000000000000000000000000000000000* -L007950 000000000000000000000000000000000000000000000000000000000000000000* -L008016 000000000000000000000000000000000000000000000000000000000000000000* +L007422 011111111111111111111111111111111111111111111111111101111111111111* +L007488 011101011111111101111101110111111111011111110111110111111111011111* +L007554 000000000000000000000000000000000000000000000000000000000000000000* +L007620 000000000000000000000000000000000000000000000000000000000000000000* +L007686 000000000000000000000000000000000000000000000000000000000000000000* +L007752 111111111111111111111111111111111111111111111111111111110111111111* +L007818 011111101111111111111111111111111111111111111111110111111111111111* +L007884 011111111111111111111111111111111111101111111111110111111111111111* +L007950 011111111111111111111111111111111111111111111111110111111111101111* +L008016 011111111111111111111111111111111111111111111011110111111111111111* L008082 111111111111111111111111111111111111111111111111111111111111111111* -L008148 111111111111111111111011111111110111111111011011011111111111011101* -L008214 111111111111111111111011111111111011111111011011011111111111011110* -L008280 111111111111111111111011111111110111111111101011011111111111101101* -L008346 111111111111111111111011111111111011111111101011011111111111101110* -L008412 111111111111111111111111111111111111101111111011011111111111111111* -L008478 111111111111111111111111110111111111111111111111111111111111111111* -L008544 111111111111111111111111111111111011101111111111011111111111111101* -L008610 111111111111111111111111111111110111101111111111011111111111111110* -L008676 111111111111111111111111111111111111101111101111011111111111011111* -L008742 111111111111111111111111111111111111101111011111011111111111101111* +L008148 011111011111111111111111111111111111011111110111111011111111011111* +L008214 011101111111111101111101110111111111111111111111110111111111111111* +L008280 000000000000000000000000000000000000000000000000000000000000000000* +L008346 000000000000000000000000000000000000000000000000000000000000000000* +L008412 000000000000000000000000000000000000000000000000000000000000000000* +L008478 111111111111111111110111111111111111111111111111111111111111111111* +L008544 000000000000000000000000000000000000000000000000000000000000000000* +L008610 000000000000000000000000000000000000000000000000000000000000000000* +L008676 000000000000000000000000000000000000000000000000000000000000000000* +L008742 000000000000000000000000000000000000000000000000000000000000000000* L008808 111111111111111111111111111111111111111111111111111111111111111111* -L008874 111111011111111111111111111111111111111111111111111111111111111111* -L008940 111111111111111111111011111111111111101111111111011111111111111111* -L009006 000000000000000000000000000000000000000000000000000000000000000000* -L009072 000000000000000000000000000000000000000000000000000000000000000000* -L009138 000000000000000000000000000000000000000000000000000000000000000000* -L009204 111111111111111111111111111111111111111111111111011111101111110111* -L009270 111111111111111111111111101111111111111111111111011111111111110111* -L009336 111111111111111111101111111111111111111111111111011111111111110111* -L009402 111111111111111111011111011111111111111111111111011111011111111011* -L009468 110111111111110111111111111111011111111111111111011101110111110111* +L008874 011111111011111111101111111111110111110111011111011111111111111111* +L008940 011111111011111111101111111111111011110111011111101111111111111111* +L009006 011111111011111111101111111111110111111011101111011111111111111111* +L009072 011111111011111111101111111111111011111011101111101111111111111111* +L009138 011111111011111111111111111111111111111111111111111111101111111111* +L009204 011111111110111111111111111111111111111111111111111111111111111111* +L009270 011111111111111111111111111111111011111111111111011111101111111111* +L009336 011111111111111111111111111111110111111111111111101111101111111111* +L009402 011111111111111111111111111111111111110111101111111111101111111111* +L009468 011111111111111111111111111111111111111011011111111111101111111111* L009534 111111111111111111111111111111111111111111111111111111111111111111* -L009600 111111111111111111111011111111110111111111101011011111111111101101* -L009666 111111111111111111111011111111111011111111101011011111111111101110* -L009732 111111111111111111110111111111110111111111100111011111111111101101* -L009798 111111111111111111110111111111111011111111100111011111111111101110* -L009864 111111111111111111111110111111111111111111101111011111111111111111* -L009930 111111111111111111111111111111111011111111111111011111111111111111* -L009996 000000000000000000000000000000000000000000000000000000000000000000* -L010062 000000000000000000000000000000000000000000000000000000000000000000* +L009600 011101011111111101111101110111111111011111110111110111111111011111* +L009666 011111111111111111101111111111111111111111111111111111101111111111* +L009732 000000000000000000000000000000000000000000000000000000000000000000* +L009798 000000000000000000000000000000000000000000000000000000000000000000* +L009864 000000000000000000000000000000000000000000000000000000000000000000* +L009930 011111111111111111111111111111111011111111111111111111111111111111* +L009996 101111111111111111111101111111111111111111111111111111111111111111* +L010062 011111011111111111111111111111111111011111110111110111111111011111* L010128 000000000000000000000000000000000000000000000000000000000000000000* L010194 000000000000000000000000000000000000000000000000000000000000000000* L010260 000000000000000000000000000000000000000000000000000000000000000000* -L010326 111111111111111111111110111111111011111111111111011111111111111101* -L010392 111111111111111111111110111111110111111111111111011111111111111110* -L010458 111111111111111111111110111111111111111111111111011111111111101111* -L010524 111111111111111111110110111111111111111111111011011111111111111111* -L010590 111111111111111111111010111111111111111111110111011111111111111111* -L010656 111111111111111111111011111111111011111111011011011111111111011110* -L010722 111111111111111111111011111111111011111111101011011111111111101110* -L010788 111111111111111111110111111111111011111111100111011111111111101110* -L010854 111111111111111111110111111111111011111111010111011111111111011110* -L010920 111111111111111110111111111111111011111111111111011111111111111111* +L010326 011111111011111111101111111111111011110111011111101111111111111111* +L010392 011111111011111111101111111111111011111011101111101111111111111111* +L010458 011111110111111111011111111111111011111011101111101111111111111111* +L010524 011111110111111111011111111111111011110111011111101111111111111111* +L010590 011111111111111111111111111111111010111111111111111111111111111111* +L010656 011111111111111111111111111111111110111111111111101111111111111111* +L010722 011111111111111111111111111111111110110111101111111111111111111111* +L010788 011111111111111111111111111111111110111011011111111111111111111111* +L010854 011111111011111111011111111111111110111111111111111111111111111111* +L010920 011111110111111111101111111111111110111111111111111111111111111111* L010986 000000000000000000000000000000000000000000000000000000000000000000* -L011052 111111111111111110111111111111111111111111111111011111111111111110* -L011118 111111111111111110111111111111111111111111101111011111111111011111* -L011184 111111111111111110111111111111111111111111011111011111111111101111* -L011250 111111111111111110110111111111111111111111111011011111111111111111* -L011316 111111111111111110111011111111111111111111110111011111111111111111* -L011382 111111111111111111111111111111111111111111111111101111111111111111* -L011448 111101111111011111111111111111111111111111111111111111111111111111* -L011514 111111111111011111111111111110111111111111111111111111111111111111* -L011580 101110111111111111111111111101111101111110111111111111111111111111* +L011052 111111111111111111111111111111111111111101111111111111111111111111* +L011118 011111111111101111111111111101111111111111111111111111111101111111* +L011184 010111101111011111111111111111111111111111111111111111111111111111* +L011250 010111111111011111111111111101111111111111111111111111111111111111* +L011316 000000000000000000000000000000000000000000000000000000000000000000* +L011382 111111111111111011111111111111111111111111111111111111111111111111* +L011448 000000000000000000000000000000000000000000000000000000000000000000* +L011514 000000000000000000000000000000000000000000000000000000000000000000* +L011580 000000000000000000000000000000000000000000000000000000000000000000* L011646 000000000000000000000000000000000000000000000000000000000000000000* L011712 000000000000000000000000000000000000000000000000000000000000000000* -L011778 110111111111110111011111011111011111111111111111011101010111110111* -L011844 111111111111111111111111011111111111111111111111011111101111111111* -L011910 111111111111111111111111101111111111111111111111011111011111111111* -L011976 110111111111110111011111011111011111111111111111011101110111110111* -L012042 000000000000000000000000000000000000000000000000000000000000000000* -L012108 111111111111111111111111111111111111111111111011011111111111111111* -L012174 111111111111110111111111111111111111111111111111101111111111111111* -L012240 110111111111111011011111011111011111111111111111011101011111110111* -L012306 110111111111110111011111011111011111111111111111111101011011110111* -L012372 000000000000000000000000000000000000000000000000000000000000000000* +L011778 011111111011111111101111111111110111111011101111011111111111111111* +L011844 011111111011111111101111111111111011111011101111101111111111111111* +L011910 011111110111111111011111111111110111111011101111011111111111111111* +L011976 011111110111111111011111111111111011111011101111101111111111111111* +L012042 011111111111111111111111101111111111111111101111111111111111111111* +L012108 111111111111110111111111111111111111111111111111111111111111111111* +L012174 011111111111111111111111101111111011111111111111011111111111111111* +L012240 011111111111111111111111101111110111111111111111101111111111111111* +L012306 011111111111111111111111101111111111111011111111111111111111111111* +L012372 011111111011111111011111101111111111111111111111111111111111111111* L012438 111111111111111111111111111111111111111111111111111111111111111111* -L012504 110111111111111111111111111111111111111111111111101111111111111111* -L012570 111111111111111111011111011111111111111111111111011101011111110111* +L012504 111111111111111111111111111111011111111111111111111111111111111111* +L012570 011111110111111111101111101111111111111111111111111111111111111111* L012636 000000000000000000000000000000000000000000000000000000000000000000* L012702 000000000000000000000000000000000000000000000000000000000000000000* L012768 000000000000000000000000000000000000000000000000000000000000000000* -L012834 111111111111111111111111111111111111111111111111111111011111111111* -L012900 111111111111111111111111111111111111111111111111011111111101111111* -L012966 110111111111110111011111011111011111111111111111011101010111110111* -L013032 000000000000000000000000000000000000000000000000000000000000000000* -L013098 000000000000000000000000000000000000000000000000000000000000000000* +L012834 111111111111111111111111111111111111111111111111111111111111111111* +L012900 111111111111111111111111111111111111111111111111111111111111111111* +L012966 111111111111111111111111111111111111111111111111111111111111111111* +L013032 111111111111111111111111111111111111111111111111111111111111111111* +L013098 111111111111111111111111111111111111111111111111111111111111111111* L013164 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L013296 0010* L013300 10100110011000* -L013314 00111011111110* -L013328 11100110010001* -L013342 00110110011111* -L013356 00000110010010* -L013370 10100110011110* -L013384 11100110010000* -L013398 01100110011111* -L013412 11000110011001* +L013314 00010110011110* +L013328 10100110010100* +L013342 00100110011111* +L013356 11100110010011* +L013370 01110110011111* +L013384 00000111010110* +L013398 01110110011110* +L013412 11100110011000* L013426 10110110010011* -L013440 10000110010000* -L013454 10000111010010* -L013468 00000111010000* -L013482 01000110010011* -L013496 10000110010001* -L013510 00110110011111* +L013440 00110110010001* +L013454 00100110010011* +L013468 11100110011000* +L013482 00110110010010* +L013496 00000110010100* +L013510 11101111111111* NOTE BLOCK 2 * L013524 - 111111110111111111101011111111111110111111111111111111111111111111 - 111111111111111111111110111111111111111111111111111111111111111111 - 111110101111111111111111111111110111111111111111101110111111111111 - 111111111101111110111111101110011111111111111111111111111101111110 - 111111111111111111111111111111111111111111110111111011111111111111 - 111111111111111111111111111111111111111011111111111111011111111111 - 111111111111010111111111111111111111111111111111111111111111110111 - 111111111111111111111111111011111111111110111111111111111111111111 - 101011111111111111111111111111111111111111111111111111111111111111* + 111111110101101111111111101111111111111111111111111111111111011111 + 111111111111111101111111110111111111101111111111011111111111111101 + 111111111111110111111101111111111111111110111111111111011111111111 + 111110111111111111110111111101011111111111110111111111111111111111 + 110111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111101111111 + 111111011111111111011111111111111111111111111111110111111111111111 + 111111111111111111111111111111111111111111111110111111111111111111 + 011111111111111111111111111111110110111111101111111101111111111111* L014118 000000000000000000000000000000000000000000000000000000000000000000* -L014184 111111111111111111111011111111111111111110111111111111111111111111* +L014184 101111111111111111111111111111111111111111111110111111111111111111* L014250 000000000000000000000000000000000000000000000000000000000000000000* L014316 000000000000000000000000000000000000000000000000000000000000000000* L014382 000000000000000000000000000000000000000000000000000000000000000000* L014448 000000000000000000000000000000000000000000000000000000000000000000* -L014514 011111111111111111111111111101111111111111111111111111111111111111* -L014580 010111111111111111111111111011111111111111111011111111111111111111* -L014646 000000000000000000000000000000000000000000000000000000000000000000* -L014712 000000000000000000000000000000000000000000000000000000000000000000* -L014778 000000000000000000000000000000000000000000000000000000000000000000* +L014514 110111101111111111111111111111111111111111011111111111111111111111* +L014580 110111111111111111111111111111111111111111011111111111101111111111* +L014646 110111111111111111111111111111111111111111011111111111111111101111* +L014712 111011011111111111111111111111111111111111011111111111011111011111* +L014778 110111111111111101110101110111111111111111010111111111111111111111* L014844 000000000000000000000000000000000000000000000000000000000000000000* -L014910 111111111111111111111111111111011111111111111111111111111111111111* -L014976 111111111111111111111111111111111111111111111111111111111111111111* -L015042 111111111111111111111111111111111111111111111111111111111111111111* -L015108 111111111111111111111111111111111111111111111111111111111111111111* -L015174 111111111111111111111111111111111111111111111111111111111111111111* -L015240 111111111111111111111111111111111111111111111111111111111111111111* -L015306 111111111111111111111111111111111111111111111111111111111111111111* -L015372 111111111111111111111111111111111111111111111111111111111111111111* -L015438 111111111111111111111111111111111111111111111111111111111111111111* -L015504 111111111111111111111111111111111111111111111111111111111111111111* +L014910 111111111111111111111111111111111111111111100111111111111111111111* +L014976 110111011111111111110101110111111111111111011011111111011111011111* +L015042 110111011111111110110101110111111111111111110111111111011111011111* +L015108 000000000000000000000000000000000000000000000000000000000000000000* +L015174 000000000000000000000000000000000000000000000000000000000000000000* +L015240 111111111111111111111111111111111111111111111111011111111111111111* +L015306 000000000000000000000000000000000000000000000000000000000000000000* +L015372 000000000000000000000000000000000000000000000000000000000000000000* +L015438 000000000000000000000000000000000000000000000000000000000000000000* +L015504 000000000000000000000000000000000000000000000000000000000000000000* L015570 000000000000000000000000000000000000000000000000000000000000000000* -L015636 011101111111111111101111111111111011111111111111111011111111111101* -L015702 011111111111111111111111111111111111111111111111111011111101111111* -L015768 010111111111111011111111111111111111111111111111111111111101111111* +L015636 111111111111111111111111111111111111111111111111111111111111111101* +L015702 000000000000000000000000000000000000000000000000000000000000000000* +L015768 000000000000000000000000000000000000000000000000000000000000000000* L015834 000000000000000000000000000000000000000000000000000000000000000000* L015900 000000000000000000000000000000000000000000000000000000000000000000* -L015966 111111111111111111111111111111111111111111111111111101111111111111* -L016032 111111111111111111111111111111111111111111111111111111111111111111* -L016098 111111111111111111111111111111111111111111111111111111111111111111* -L016164 111111111111111111111111111111111111111111111111111111111111111111* -L016230 111111111111111111111111111111111111111111111111111111111111111111* +L015966 111111111110110111111111011111111111111101011111111111111111111111* +L016032 111101111011110111111111101111111111111101011111111010111101111111* +L016098 111111111111110111111111111111011111111111011111111111111111111111* +L016164 111111111111111111111111111110011101111111011111111111111111111111* +L016230 000000000000000000000000000000000000000000000000000000000000000000* L016296 000000000000000000000000000000000000000000000000000000000000000000* -L016362 111111111111111111111111111111111111111111111111111111111111111111* -L016428 111111111111111111111111111111111111111111111111111111111111111111* -L016494 111111111111111111111111111111111111111111111111111111111111111111* -L016560 111111111111111111111111111111111111111111111111111111111111111111* -L016626 111111111111111111111111111111111111111111111111111111111111111111* -L016692 111111111111111111111111111111111111111111111111111111111111111111* -L016758 111111111111111111111111111111111111111111111111111111111111111111* -L016824 111111111111111111111111111111111111111111111111111111111111111111* -L016890 111111111111111111111111111111111111111111111111111111111111111111* -L016956 111111111111111111111111111111111111111111111111111111111111111111* +L016362 111111101111111111111111111111111111111111011111111111011111111111* +L016428 111111011111111111111111111111111111111111011111111111101111111111* +L016494 110111111111111101110101110111111111111111010111111111011111011111* +L016560 000000000000000000000000000000000000000000000000000000000000000000* +L016626 000000000000000000000000000000000000000000000000000000000000000000* +L016692 111111111111111111111111111111111111011111111111111111111111111111* +L016758 000000000000000000000000000000000000000000000000000000000000000000* +L016824 000000000000000000000000000000000000000000000000000000000000000000* +L016890 000000000000000000000000000000000000000000000000000000000000000000* +L016956 000000000000000000000000000000000000000000000000000000000000000000* L017022 000000000000000000000000000000000000000000000000000000000000000000* -L017088 010111111111111111111111111011111011111111111111111111111111111111* -L017154 010111111111111111111111101011111111110101111111111011111111111101* -L017220 010111111111111111111111111011111111110101111111111011011111111101* -L017286 010111111111111111111111111011111111110101111111111011111111110101* -L017352 010111111111111110111111111011111111110101111111111011111111111101* -L017418 111111111101111111111111111111111111111111111111111111111111111111* -L017484 010111111111011111111111111011111111110101111111111011111111111101* -L017550 010111111111111111111111111011111111110101111111101011111111111101* -L017616 000000000000000000000000000000000000000000000000000000000000000000* +L017088 111111111111110111111111111111111111111111101111111111111111111111* +L017154 111111111111111011111111111101110111111111011111111111111111111111* +L017220 111111111110110111111111011111111011111101111111111111111111111111* +L017286 111101111011110111111111101111111011111101111111111010111101111111* +L017352 111111111111110111111111111111111110111111111111111111111111111111* +L017418 111111111111111111111111110111111111111111101111111111111111111111* +L017484 110111011111111111110101111011111111111111011111111111011111011111* +L017550 110111011111111111110101110111111111111111111011111111011111011111* +L017616 110111011111111110110101110111111111111111111111111111011111011111* L017682 000000000000000000000000000000000000000000000000000000000000000000* L017748 111111111111111111111111111111111111111111111111111111111111111111* -L017814 111111111111111111111111111111111111111111111111111111111111111111* -L017880 111111111111111111111111111111111111111111111111111111111111111111* -L017946 111111111111111111111111111111111111111111111111111111111111111111* -L018012 111111111111111111111111111111111111111111111111111111111111111111* -L018078 111111111111111111111111111111111111111111111111111111111111111111* -L018144 111111111111111111111111111111111111111111111111111111111111111111* -L018210 111111111111111111111111111111111111111111111111111111111111111111* -L018276 111111111111111111111111111111111111111111111111111111111111111111* -L018342 111111111111111111111111111111111111111111111111111111111111111111* -L018408 111111111111111111111111111111111111111111111111111111111111111111* +L017814 110111011111111111110101110111111111111111010111111111011111011111* +L017880 111111111111111101111111111111111111111111011111111111111111111111* +L017946 000000000000000000000000000000000000000000000000000000000000000000* +L018012 000000000000000000000000000000000000000000000000000000000000000000* +L018078 000000000000000000000000000000000000000000000000000000000000000000* +L018144 111111111111011111111111111111111111111111111111111111111111111111* +L018210 000000000000000000000000000000000000000000000000000000000000000000* +L018276 000000000000000000000000000000000000000000000000000000000000000000* +L018342 000000000000000000000000000000000000000000000000000000000000000000* +L018408 000000000000000000000000000000000000000000000000000000000000000000* L018474 000000000000000000000000000000000000000000000000000000000000000000* -L018540 011111111111111111111111111110111101111111111111111111111111111111* -L018606 010111110111111111111111111011111111111111111111111111111111111111* -L018672 011111111111111111111101111101111111111111111111111111111111111111* +L018540 111111111011111111111111111111111111111101111111111111111111111111* +L018606 111111110111111111111111111111111111111110111111111111111111111111* +L018672 000000000000000000000000000000000000000000000000000000000000000000* L018738 000000000000000000000000000000000000000000000000000000000000000000* L018804 000000000000000000000000000000000000000000000000000000000000000000* -L018870 011111101111111111111111111111111111111111111111111111111111111111* -L018936 111111111111111111111111111111111111111111111111111111111111111111* -L019002 111111111111111111111111111111111111111111111111111111111111111111* -L019068 111111111111111111111111111111111111111111111111111111111111111111* -L019134 111111111111111111111111111111111111111111111111111111111111111111* +L018870 111111101111111111111111111111111111111111011111111111111111011111* +L018936 111111111111111111111111111111111111111111011111111111101111011111* +L019002 111111011111111111111111111111111111111111011111111111011111101111* +L019068 110111111111111101110101110111111111111111010111111111111111011111* +L019134 000000000000000000000000000000000000000000000000000000000000000000* L019200 000000000000000000000000000000000000000000000000000000000000000000* -L019266 111111111111111111111111111111111111111111111111111111111111111111* -L019332 111111111111111111111111111111111111111111111111111111111111111111* -L019398 111111111111111111111111111111111111111111111111111111111111111111* -L019464 111111111111111111111111111111111111111111111111111111111111111111* -L019530 111111111111111111111111111111111111111111111111111111111111111111* -L019596 111111111111111111111111111111111111111111111111111111111111111111* -L019662 111111111111111111111111111111111111111111111111111111111111111111* -L019728 111111111111111111111111111111111111111111111111111111111111111111* -L019794 111111111111111111111111111111111111111111111111111111111111111111* -L019860 111111111111111111111111111111111111111111111111111111111111111111* +L019266 111111111110111111111111011111111111111101111111111111111111111111* +L019332 111101111011111111111111101111111111111101111111111010111101111111* +L019398 000000000000000000000000000000000000000000000000000000000000000000* +L019464 000000000000000000000000000000000000000000000000000000000000000000* +L019530 000000000000000000000000000000000000000000000000000000000000000000* +L019596 111111111111111111101111111111111111111111011111111111111111111111* +L019662 000000000000000000000000000000000000000000000000000000000000000000* +L019728 000000000000000000000000000000000000000000000000000000000000000000* +L019794 000000000000000000000000000000000000000000000000000000000000000000* +L019860 000000000000000000000000000000000000000000000000000000000000000000* L019926 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L020058 0010* L020062 01100011111000* -L020076 11100110010011* -L020090 00010110010001* -L020104 11101011110011* -L020118 10100110010000* -L020132 00000110010010* -L020146 11011111110001* -L020160 11111011110011* -L020174 11100110010000* -L020188 00110110010010* -L020202 11010011110110* -L020216 11111011111111* +L020076 10100110010011* +L020090 10100111010001* +L020104 00100110010011* +L020118 00100110010000* +L020132 10100110010010* +L020146 10100110010000* +L020160 00100110010011* +L020174 10100111010001* +L020188 10100111010011* +L020202 10100110010110* +L020216 00100110011110* L020230 10100110010000* -L020244 01000110010010* -L020258 11011111110001* -L020272 11110011111111* +L020244 10100110010011* +L020258 11100011110001* +L020272 01100110011111* NOTE BLOCK 3 * L020286 - 111111110111111101111111101111101111111111111111111111111101111111 - 111111111111111111111011111101110111111111111111111111111011111111 - 111111111111111111111111111111111111111110111111111111101111111111 - 111101111111111111111110111111111111111111111011111111111111111111 - 111111111101111111111111111111111111111111111111111011111111111111 - 111111111111111111111111111111111111111111011111111111111111111111 - 110111111111110111011111111111111111111111111111111111111111111111 - 111111111111011111111111111011111111111111111110111111111111011111 - 101111011111111111111111111111111101011111111111111111111111111111* + 111111111111111111111111101111011111111111111111111111111111111111 + 111111111111111110111111111101111111111111111111111111111111110111 + 011111111111111111111111111111111111111111111011111111111101111110 + 111111111111011111101110111111111111111111111110111111111111111111 + 111111111101111111111111111111111111111111111111111001111111111111 + 110111111111111111111111111111111111111111111111111111110111111111 + 111111111111110111111111111111111011110111111111111111111111011111 + 111101110111111111111111111011111111111110111111111111111111111111 + 111111011111111111111111111111111110011111101111111111011111111111* L020880 111111111111111111111111111111111111111111111111111111111111111111* -L020946 101111111111111111111111111111111111101111111111111111111111111111* -L021012 111111011111110111111110111111111111101111011111111111111111101111* -L021078 011111011111111111110110111111101111011111101111111111111111011111* +L020946 111111111111111111111111111111111111101111101111111111111111111111* +L021012 110111111111010111111110111111101111101111111111111111111111111111* +L021078 110111111111111011111110101111011111011111011111111111111111011111* L021144 000000000000000000000000000000000000000000000000000000000000000000* L021210 000000000000000000000000000000000000000000000000000000000000000000* -L021276 011111111111111111111111010110111111111111110111111111111111111111* -L021342 011111111111111111111111111110111110111111111111111111111111111111* +L021276 011111111111111111111111110110110111111111011111111111111111111111* +L021342 111111111111111111111111111110111111111111011111111111101111111111* L021408 000000000000000000000000000000000000000000000000000000000000000000* L021474 000000000000000000000000000000000000000000000000000000000000000000* L021540 000000000000000000000000000000000000000000000000000000000000000000* L021606 111111111111111111111111111111111111111111111111111111111111111111* -L021672 111111011111111111111111111111111111111111011111111111111111111111* -L021738 111111111111111101111101111111111111111111111111111111111111011111* -L021804 111111111111111101111111111111111111111111101111111111111111101111* -L021870 111111011111111110111111111111111111111111111111111111111111111111* +L021672 111111111111111111111111111111111111111111011111111111111111010111* +L021738 111111011111111111111111111111111111111111011111111111111111110111* +L021804 111111011111101111111111111111111101111111011111111111111111111111* +L021870 000000000000000000000000000000000000000000000000000000000000000000* L021936 000000000000000000000000000000000000000000000000000000000000000000* -L022002 110111111111111111111111111111111111111111111111111111111111111111* +L022002 111111111111111111111111111111111111111111011011111111111111111111* L022068 000000000000000000000000000000000000000000000000000000000000000000* L022134 000000000000000000000000000000000000000000000000000000000000000000* L022200 000000000000000000000000000000000000000000000000000000000000000000* L022266 000000000000000000000000000000000000000000000000000000000000000000* L022332 111111111111111111111111111111111111111111111111111111111111111111* -L022398 111111111111111111111111111111111111111111111110111111110111111111* -L022464 111111111111111111111111111111111111111111111101111011111111111111* +L022398 111111111111111111111111111111111111111110111111111111110111111111* +L022464 111111111111111111111111111111111111111101111111111011111111111111* L022530 000000000000000000000000000000000000000000000000000000000000000000* L022596 000000000000000000000000000000000000000000000000000000000000000000* L022662 000000000000000000000000000000000000000000000000000000000000000000* @@ -503,65 +504,65 @@ L022860 000000000000000000000000000000000000000000000000000000000000000000* L022926 000000000000000000000000000000000000000000000000000000000000000000* L022992 000000000000000000000000000000000000000000000000000000000000000000* L023058 - 111111111111111111111111111111111111111111111101111111111101111111* -L023124 111111111111111101111111111111111111111111111111111111111111101111* -L023190 111111111111111110111111111111111111111111111111111111111111011111* -L023256 000000000000000000000000000000000000000000000000000000000000000000* + 111111111111111111111111111111111111111101111111111101111111111111* +L023124 111111111111111111111111111111111111111111011111111111111101111011* +L023190 111111110111111111111111111011111101111111011111111111111111111111* +L023256 111111111111111101111111111111111111111111011111111111111111110111* L023322 000000000000000000000000000000000000000000000000000000000000000000* L023388 000000000000000000000000000000000000000000000000000000000000000000* -L023454 111111111111111111111111101111111111111101111111111111111111111111* +L023454 111111111110111111111111111111111111111111011111111111111111111111* L023520 000000000000000000000000000000000000000000000000000000000000000000* L023586 000000000000000000000000000000000000000000000000000000000000000000* L023652 000000000000000000000000000000000000000000000000000000000000000000* L023718 000000000000000000000000000000000000000000000000000000000000000000* L023784 - 111111111111111111111111111111111111111111111101111111111101111111* -L023850 111111110110111111111111111111111111111111111111111111101111111111* + 111111111111111111111111111111111111111101111111111101111111111111* +L023850 111111110110111111111111111111111111111011111111111111111111111111* L023916 000000000000000000000000000000000000000000000000000000000000000000* L023982 000000000000000000000000000000000000000000000000000000000000000000* L024048 000000000000000000000000000000000000000000000000000000000000000000* L024114 000000000000000000000000000000000000000000000000000000000000000000* -L024180 111101111111111111111111111111111111111111111111111111111111111111* -L024246 000000000000000000000000000000000000000000000000000000000000000000* -L024312 000000000000000000000000000000000000000000000000000000000000000000* -L024378 000000000000000000000000000000000000000000000000000000000000000000* -L024444 000000000000000000000000000000000000000000000000000000000000000000* +L024180 111111111111111011111101111111011111111111111111111111111111111111* +L024246 110111111111111011111110111111101111111111111111111111111111111111* +L024312 110111111111110111111110111111011111111111111111111111111111111101* +L024378 111011111111111111111101111111011111111111111111111111111111111101* +L024444 111111111111111011111111111111111111111111111111111111111111111110* L024510 000000000000000000000000000000000000000000000000000000000000000000* -L024576 011111111110111111111111111111111111111111111111111111111111111111* -L024642 000000000000000000000000000000000000000000000000000000000000000000* -L024708 000000000000000000000000000000000000000000000000000000000000000000* -L024774 000000000000000000000000000000000000000000000000000000000000000000* -L024840 000000000000000000000000000000000000000000000000000000000000000000* -L024906 111111111111111111111111011111111111111110111111111111111111111111* -L024972 000000000000000000000000000000000000000000000000000000000000000000* -L025038 000000000000000000000000000000000000000000000000000000000000000000* -L025104 000000000000000000000000000000000000000000000000000000000000000000* -L025170 000000000000000000000000000000000000000000000000000000000000000000* +L024576 111111111111111111111111111111111111111111111101111111111111111111* +L024642 111111111111111111111111111111111111111111111111111111111111111111* +L024708 111111111111111111111111111111111111111111111111111111111111111111* +L024774 111111111111111111111111111111111111111111111111111111111111111111* +L024840 111111111111111111111111111111111111111111111111111111111111111111* +L024906 111111111111111111111111111111111111111111111111111111111111111111* +L024972 111111111111111111111111111111111111111111111111111111111111111111* +L025038 111111111111111111111111111111111111111111111111111111111111111111* +L025104 111111111111111111111111111111111111111111111111111111111111111111* +L025170 111111111111111111111111111111111111111111111111111111111111111111* L025236 111111111111111111111111111111111111111111111111111111111111111111* -L025302 111111110110111111111111111111111011111111111111111111111111111111* -L025368 000000000000000000000000000000000000000000000000000000000000000000* -L025434 000000000000000000000000000000000000000000000000000000000000000000* -L025500 000000000000000000000000000000000000000000000000000000000000000000* -L025566 000000000000000000000000000000000000000000000000000000000000000000* -L025632 111111111111111111111101111111111111111111101111111111111111011111* -L025698 111111011111111111111110111111111111111111101111111111111111101111* -L025764 111111011111111101111110111111111111111111011111111111111111011111* -L025830 111111101111111101111101111111111111111111111111111111111111011111* -L025896 111111111111111110111111111111111111111111101111111111111111111111* +L025302 111110110110111111111111111111111111111111111111111111111111111111* +L025368 111111111111111111111111111111111111111111111111111111111111111111* +L025434 111111111111111111111111111111111111111111111111111111111111111111* +L025500 111111111111111111111111111111111111111111111111111111111111111111* +L025566 111111111111111111111111111111111111111111111111111111111111111111* +L025632 110111111111110111111111111111111111111111111111111111111111111111* +L025698 111111111111111111111101111111011111111111111111111111111111111101* +L025764 111111111111111011111111111111101111111111111111111111111111111101* +L025830 110111111111111111111111111111111111111111111111111111111111111110* +L025896 000000000000000000000000000000000000000000000000000000000000000000* L025962 000000000000000000000000000000000000000000000000000000000000000000* -L026028 111111111111011111111111111111111111111111111111111111111111111111* -L026094 000000000000000000000000000000000000000000000000000000000000000000* -L026160 000000000000000000000000000000000000000000000000000000000000000000* -L026226 000000000000000000000000000000000000000000000000000000000000000000* -L026292 000000000000000000000000000000000000000000000000000000000000000000* -L026358 011111111111111111101111111111111111111111111111111111111111111111* -L026424 000000000000000000000000000000000000000000000000000000000000000000* -L026490 000000000000000000000000000000000000000000000000000000000000000000* -L026556 000000000000000000000000000000000000000000000000000000000000000000* -L026622 000000000000000000000000000000000000000000000000000000000000000000* +L026028 111111111111111111011111111111111111111111111111111111111111111111* +L026094 111111111111111111111111111111111111111111111111111111111111111111* +L026160 111111111111111111111111111111111111111111111111111111111111111111* +L026226 111111111111111111111111111111111111111111111111111111111111111111* +L026292 111111111111111111111111111111111111111111111111111111111111111111* +L026358 111111111111111111111111111111111111111111111111111111111111111111* +L026424 111111111111111111111111111111111111111111111111111111111111111111* +L026490 111111111111111111111111111111111111111111111111111111111111111111* +L026556 111111111111111111111111111111111111111111111111111111111111111111* +L026622 111111111111111111111111111111111111111111111111111111111111111111* L026688 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* @@ -569,44 +570,44 @@ L026820 0010* L026824 10100111010000* L026838 11100110011110* L026852 10100110010100* -L026866 00100110011111* +L026866 01100110011111* L026880 10101011111001* L026894 00100011111111* L026908 10100110010100* -L026922 00100110010010* +L026922 01100110010010* L026936 01101011110011* -L026950 00100110010011* -L026964 01100110010000* -L026978 00100110010011* -L026992 01101111111011* -L027006 11100110011111* -L027020 00100110010000* -L027034 01100110010010* +L026950 11100110010011* +L026964 00010110010000* +L026978 11101111110011* +L026992 01110011111011* +L027006 10100110011111* +L027020 00010110010000* +L027034 11101011110010* NOTE BLOCK 4 * L027048 - 111111111111111111111111111111111111111111111111111111111101111111 - 110111111111111111111111111111111111110111111111111111111111111111 - 111111111101111111111111010111111110111111111111111111111111111111 - 011111101111111110011111111111111111111110011111111111111111111111 - 111111111111110111110111111111111111111111110111111111111111111111 - 111111111111111111111111111111111111011111111111111111011111111111 - 111110111111011111111111111111111111111111111111111111111011110111 - 111111111011111111111111111111111111111111111110111111111111111110 - 111111111111111111111101111111111011111111111111111111111111111111* + 111111111111111111111111111111111111111111111111011111111111111111 + 111111111111111111111011111111111111110111111111110111111111111111 + 111111110101101111111111111111111111111111110111111111110111111111 + 111011011111111111111111111111111101111111111111111111101111111111 + 011111111111110111111111111111111111111111111111111111111111111111 + 111111111111111111111111011111111111011101111111111111111111111111 + 111111111111111111111111111111111011111111101111111101111111110111 + 111111111111111111111111111010011111111111111110111111111101111110 + 111110111111111110101101111111111111111111111111111111111111111111* L027642 - 111111111111101101111101111111111101011101111111111111101111111010* + 110111111111011111111101101111111111011111111111111110011111111010* L027708 000000000000000000000000000000000000000000000000000000000000000000* L027774 000000000000000000000000000000000000000000000000000000000000000000* L027840 000000000000000000000000000000000000000000000000000000000000000000* L027906 000000000000000000000000000000000000000000000000000000000000000000* L027972 000000000000000000000000000000000000000000000000000000000000000000* -L028038 111111101111111111111111111111111011111111111110111111110111111111* -L028104 111111111111111111111111111111111111111111111101111111111011111111* +L028038 111110111111111111111111111111111111111111011110111111111011111111* +L028104 111111111111111111111111111111111111111111101101111111111111111111* L028170 000000000000000000000000000000000000000000000000000000000000000000* L028236 000000000000000000000000000000000000000000000000000000000000000000* L028302 000000000000000000000000000000000000000000000000000000000000000000* L028368 - 111111111111111111111111111111111111111111111101111111111101111111* + 011111111111111111111111111111111111111111111101111111111111111111* L028434 111111111111111111111111111111111111111111111111111111111111111111* L028500 111111111111111111111111111111111111111111111111111111111111111111* L028566 111111111111111111111111111111111111111111111111111111111111111111* @@ -619,16 +620,16 @@ L028962 111111111111111111111111111111111111111111111111111111111111111111* L029028 111111111111111111111111111111111111111111111111111111111111111111* L029094 000000000000000000000000000000000000000000000000000000000000000000* -L029160 111111111111111111111111111111111111111111111011111111111111111110* +L029160 111111111111111111111011111111111111111111111111111111111111111110* L029226 111111111111111111111111111111111111111111111111111111111111111111* L029292 111111111111111111111111111111111111111111111111111111111111111111* L029358 111111111111111111111111111111111111111111111111111111111111111111* L029424 111111111111111111111111111111111111111111111111111111111111111111* -L029490 111101111111111111111111111111111111111111111111111111111111111111* -L029556 111111111111111111111111111111111111111111111111111111111111111111* -L029622 111111111111111111111111111111111111111111111111111111111111111111* -L029688 111111111111111111111111111111111111111111111111111111111111111111* -L029754 111111111111111111111111111111111111111111111111111111111111111111* +L029490 111111101010111001011111111011011110111011111011111011111101111111* +L029556 111111111111111111111111111111111111111111111111111111110111111111* +L029622 000000000000000000000000000000000000000000000000000000000000000000* +L029688 000000000000000000000000000000000000000000000000000000000000000000* +L029754 000000000000000000000000000000000000000000000000000000000000000000* L029820 000000000000000000000000000000000000000000000000000000000000000000* L029886 111111111111111111111111111111111111111111111111111111111111111111* @@ -643,12 +644,12 @@ L030414 111111111111111111111111111111111111111111111111111111111111111111* L030480 111111111111111111111111111111111111111111111111111111111111111111* L030546 000000000000000000000000000000000000000000000000000000000000000000* -L030612 111111111111111111110111111111111111111111111111111111111111111111* +L030612 111111111111111111111111111111111111111111111111011111111111111111* L030678 111111111111111111111111111111111111111111111111111111111111111111* L030744 111111111111111111111111111111111111111111111111111111111111111111* L030810 111111111111111111111111111111111111111111111111111111111111111111* L030876 111111111111111111111111111111111111111111111111111111111111111111* -L030942 011111111111111111111111111111111111111111111111111111111111111111* +L030942 111111111111111111111111111111111111111101111111111111111111111111* L031008 111111111111111111111111111111111111111111111111111111111111111111* L031074 111111111111111111111111111111111111111111111111111111111111111111* L031140 111111111111111111111111111111111111111111111111111111111111111111* @@ -666,13 +667,13 @@ L031800 111111111111111111111111111111111111111111111111111111111111111111* L031866 111111111111111111111111111111111111111111111111111111111111111111* L031932 111111111111111111111111111111111111111111111111111111111111111111* L031998 - 111011011010111011101111101011111111111011101111111111111111111111* -L032064 111111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111101111111111111111111111111111111111111* +L032064 111111101010111001011111111011011110111011111011111011111101111111* L032130 111111111111111111111111111111111111111111111111111111111111111111* L032196 111111111111111111111111111111111111111111111111111111111111111111* L032262 111111111111111111111111111111111111111111111111111111111111111111* L032328 111111111111111111111111111111111111111111111111111111111111111111* -L032394 111111111111111111111111111111111111111111111111111111111111111111* +L032394 111111111111111111111111111111110111111111111111111111111111111111* L032460 111111111111111111111111111111111111111111111111111111111111111111* L032526 111111111111111111111111111111111111111111111111111111111111111111* L032592 111111111111111111111111111111111111111111111111111111111111111111* @@ -698,69 +699,69 @@ L033600 10101111110011* L033614 11011011110100* L033628 11110011110010* L033642 01111111111001* -L033656 00000110011111* -L033670 11010111110000* -L033684 11110011111111* +L033656 10101011111111* +L033670 11011111110000* +L033684 11111011111111* L033698 00110110010000* L033712 00000110011110* -L033726 11010111110000* -L033740 11111111111111* -L033754 00110011110001* -L033768 11001011111111* -L033782 11110111111100* -L033796 11111111111111* +L033726 11011111110001* +L033740 11110011111110* +L033754 00111011110000* +L033768 00000110011110* +L033782 11010111111101* +L033796 11111111111110* NOTE BLOCK 5 * L033810 - 111111111111101101111111101111111110111111111111111111111111111111 - 111111111111111111111111111111111111101011111111111111101111111111 - 111111111111110111101110111111101111111111111111111111111111111110 - 111110111111111111111111111101111111111111111011111111111010111111 - 111111111111111111111011111111111111111111111111111111111111110111 - 111111111111111111111111111111111111111101011111111111111111111111 - 111111111111111111111111111111111111111111111111110111111111111111 - 111111110111111111111111111111111111111111111111111111111111111111 - 101011011111111111111111110111111111111111111111111101111111111111* + 111111111111111111111111111111111111011111111111111111111111111011 + 111111111110111111111111111111110111111111111111111111111111111111 + 111111111111111111101111111111111111111111101111101111110101111111 + 111111111111110111111011101001111111111111111111111111111111111111 + 111111111111111111111111111111101101111111111111111011111111111111 + 111110111111011111111111111111111111111011111111111111111111111111 + 111111110111111110111101111111111111111111111111111111111111011111 + 111111111111111111111111111111111111111110111111111111101111111111 + 101011011111111111111111111111111111111111111111111111111111111111* L034404 000000000000000000000000000000000000000000000000000000000000000000* -L034470 011111111111111111111110111111111110101111111111110111011111111111* -L034536 011111111111011101111110111111111111101111111111110111011111111011* -L034602 011101011011101101111110111111111111101111101111110110011111111111* -L034668 011111111111111111111010111111111110101111111111110111111110111111* -L034734 011111111111011101111010111111111111101111111111110111111110111011* -L034800 011101011011101101111010111111111111101111101111110110111110111111* -L034866 011111111111111111111110111110111110101011111111111111011011111111* -L034932 011111111111011101111110111110111111101011111111111111011011111011* -L034998 011101011011101101111110111110111111101011101111111110011011111111* -L035064 011111111111111111111010111110111110101011111111111111111010111111* +L034470 101111111111111111111111111111111111111111111111111111111111111111* +L034536 111111111111111111111111111101011111111111111111111111111111111111* +L034602 111111101111111011111011111111101011111111111111111111111010111011* +L034668 111111101111111011011011111111101011111111111111111111111110111011* +L034734 111110101111111011111011111111101011111111111111111111111110111011* +L034800 111111101111111001111011111111101011111111111111111111111110111011* +L034866 111011111111111111111111111111110111111111111111111111111111101111* +L034932 111011111111111111111111111111011111111111111111111111111111111111* +L034998 111011111111111111110111111110111111111111111111111111111111111111* +L035064 111011111111111111111111111111111111011111111111111111111101111111* L035130 000000000000000000000000000000000000000000000000000000000000000000* -L035196 011111111111011101111010111110111111101011111111111111111010111011* -L035262 011101011011101101111010111110111111101011101111111110111010111111* -L035328 010111111111111111111110111111111111111111111111111011111111111111* -L035394 010111111111111111111110111111111111101111111111111111111111111111* +L035196 111111111101111111111111111111111111111111111111111111111111111111* +L035262 111011111111111111111111111111111111111111111111111111111111100111* +L035328 111011011111111111111111111110111111111111111111111111111111111111* +L035394 111011111111110111111111111110111111111111111111111111111111111111* L035460 000000000000000000000000000000000000000000000000000000000000000000* -L035526 011111111111111111111011111111111111111111111111110111110111111111* -L035592 010111111111111111110111111111111111111111111111111111101111111111* -L035658 010111111111111111110111111111111111111111111111111111110111111111* +L035526 011111111111111111111111111111101111111111111111111111111111010111* +L035592 010111111111111111111111111110011111111111111111111111111111111111* +L035658 000000000000000000000000000000000000000000000000000000000000000000* L035724 000000000000000000000000000000000000000000000000000000000000000000* L035790 000000000000000000000000000000000000000000000000000000000000000000* L035856 000000000000000000000000000000000000000000000000000000000000000000* -L035922 011111111111011101111111111111111101111111111111111111111111111011* -L035988 011101011011101101111111111111111101111111101111111110111111111111* -L036054 011111111111111111111111111111111101111111111111111111110111111111* -L036120 010111111111111111111111111111111111111111111111111011110111111111* +L035922 011101111111111110101111111111111111111111111111111011110111111111* +L035988 011111111111111111110111111111111111111111111111111011111111111111* +L036054 010111111111111111110111111110111111111111111111111111111111111111* +L036120 000000000000000000000000000000000000000000000000000000000000000000* L036186 000000000000000000000000000000000000000000000000000000000000000000* -L036252 011111111111111111101111111111111111111101111111111111111111111111* -L036318 011111111111111111101111111110111111111111111111111111111111111111* -L036384 011111111111111111111111111101101111111110111111111111111111111111* -L036450 000000000000000000000000000000000000000000000000000000000000000000* -L036516 000000000000000000000000000000000000000000000000000000000000000000* +L036252 010111111111111111101111111111111111111111111111111111101111111111* +L036318 010111111111111111111111101111111111110101111111111011100111111111* +L036384 010111111111011111111111111111111111110101111111111011100111111111* +L036450 010111110111111111111111111111111111110101111111111011100111111111* +L036516 010111111111111111111111111011111111110101111111111011100111111111* L036582 000000000000000000000000000000000000000000000000000000000000000000* -L036648 011111111111111111111111111101111111111111111111110111111110111111* -L036714 010111111111111111111111111111111111111111111111111111101101111111* -L036780 010111111111111111111111111101111111111111111111111111111101111111* +L036648 111110111111111101111111111111111111111111111111111111111111111111* +L036714 010111111111111111111101111111111111110101111111111011100111111111* +L036780 010111111111111111111111111111111111110101111111101011100111111111* L036846 000000000000000000000000000000000000000000000000000000000000000000* L036912 000000000000000000000000000000000000000000000000000000000000000000* L036978 111111111111111111111111111111111111111111111111111111111111111111* @@ -770,23 +771,23 @@ L037176 111111111111111111111111111111111111111111111111111111111111111111* L037242 111111111111111111111111111111111111111111111111111111111111111111* L037308 000000000000000000000000000000000000000000000000000000000000000000* -L037374 111111111111111111111111011111111111111111111111111111111111111111* -L037440 111111111111111111111011111110111110101011111011111111111010111111* -L037506 111111111111110111111011111110111110101011111111111111111010111111* -L037572 111111111111111111111011111110111110101011111111111111111010111110* -L037638 111111111111111111111011011110111110101011111111111111111010111111* -L037704 011111111111111111110111111111111111101111111111111111011111111111* -L037770 010111111111111111111111111111111111011111111111111011111111111111* -L037836 000000000000000000000000000000000000000000000000000000000000000000* -L037902 000000000000000000000000000000000000000000000000000000000000000000* -L037968 000000000000000000000000000000000000000000000000000000000000000000* +L037374 111111111111111111111111111111111111111111111111111111111111011111* +L037440 111111111111111111111111111111111111111111111111111111111111111111* +L037506 111111111111111111111111111111111111111111111111111111111111111111* +L037572 111111111111111111111111111111111111111111111111111111111111111111* +L037638 111111111111111111111111111111111111111111111111111111111111111111* +L037704 111101111111111110111111111111111111111111111111111111111111111111* +L037770 111111111111111111111111111111111111111111111111111111111111111111* +L037836 111111111111111111111111111111111111111111111111111111111111111111* +L037902 111111111111111111111111111111111111111111111111111111111111111111* +L037968 111111111111111111111111111111111111111111111111111111111111111111* L038034 000000000000000000000000000000000000000000000000000000000000000000* -L038100 011111111111111111111111111111111111111111111111111111011101111111* -L038166 011111111111111111111111111111111111110111111111111111111101111111* -L038232 010111111111111111111111111111111111110111111111111011111111111111* -L038298 000000000000000000000000000000000000000000000000000000000000000000* -L038364 000000000000000000000000000000000000000000000000000000000000000000* +L038100 011111111111111111111111111111111111111111101111111111111111111111* +L038166 111111111111111111111111111111111111111111111111111111111111111111* +L038232 111111111111111111111111111111111111111111111111111111111111111111* +L038298 111111111111111111111111111111111111111111111111111111111111111111* +L038364 111111111111111111111111111111111111111111111111111111111111111111* L038430 111111111111111111111111111111111111111111111111111111111111111111* L038496 111111111111111111111111111111111111111111111111111111111111111111* L038562 111111111111111111111111111111111111111111111111111111111111111111* @@ -794,16 +795,16 @@ L038628 111111111111111111111111111111111111111111111111111111111111111111* L038694 111111111111111111111111111111111111111111111111111111111111111111* L038760 000000000000000000000000000000000000000000000000000000000000000000* -L038826 101111111111111111111111111111111101111111111111111111111111111111* -L038892 011111111111111111111111111111111110110111111111110111111111111111* -L038958 111111111111011101111111111111111101111011111111111111111111111011* -L039024 111101011011101101111111111111111101111011101111111110111111111111* -L039090 111011111111111111111111111111111101111111111111111111111111111111* -L039156 011111111111111111111111111011111111111111111111111111111111111111* -L039222 111111111111111111111111111111111111111111111111111111111111111111* -L039288 111111111111111111111111111111111111111111111111111111111111111111* -L039354 111111111111111111111111111111111111111111111111111111111111111111* -L039420 111111111111111111111111111111111111111111111111111111111111111111* +L038826 111111111111111111111111111111111111111111111111111111111111111111* +L038892 111111111111111111111111111111111111111111111111111111111111111111* +L038958 111111111111111111111111111111111111111111111111111111111111111111* +L039024 111111111111111111111111111111111111111111111111111111111111111111* +L039090 111111111111111111111111111111111111111111111111111111111111111111* +L039156 111111111111111111111111111111111101111111111111111111111111111111* +L039222 011111111111110111111111111101111111111111111111111111111111111011* +L039288 010111111111111111111111111111111111111111111111111111111111100111* +L039354 010111111111110111111111111111111111111111111111111111111111110111* +L039420 000000000000000000000000000000000000000000000000000000000000000000* L039486 000000000000000000000000000000000000000000000000000000000000000000* L039552 111111111111111111111111111111111111111111111111111111111111111111* @@ -820,175 +821,175 @@ L040212 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L040344 0010* -L040348 10100110011110* +L040348 11100110011110* L040362 10110110010010* -L040376 10000110011110* +L040376 00000110011110* L040390 11000011110011* -L040404 10000110011111* +L040404 10100110011111* L040418 11100110010011* -L040432 10001011111110* -L040446 11100011110011* -L040460 00000110011111* -L040474 10100110010011* -L040488 10100110011110* -L040502 11101111111110* -L040516 10100111011111* -L040530 01000110011111* -L040544 11010011111110* -L040558 11111011111111* +L040432 00110110011110* +L040446 11101111110011* +L040460 00110110011111* +L040474 00000110010011* +L040488 01010110011110* +L040502 11101011111110* +L040516 10110110011110* +L040530 00110110011111* +L040544 11011111111110* +L040558 11110011111110* NOTE BLOCK 6 * L040572 - 111111111111111101011011111101111111111111111111111111111111111111 - 111111111111111111111111111111011111111111111011111111111111111111 - 111111111110111111111111111011111111111111111111111111111111111111 - 111110111111111111111111111111111111111111111110111111111111111110 - 111111111111111111111110111111111110111101111111111111111111111111 - 110111011111111111111111111111111111111111111111111111111011111111 - 111111111111101111111111111111111111110111111111011111111111111111 - 101111110111111011111111011111111111111111111111111110111111111111 - 111111111111111111111111111111110111111111101111111111111111111111* + 111111111111111111111111111111011111111111011111111111111111111111 + 111111111111111111111111101111110111111111111111111111111111111111 + 111111111111111111111110111111111111111111111111111110111011110110 + 111110100110111111111111111111111111110111111111111111111111111111 + 011111111111111111111111111111111110111111111111111111111111111111 + 111111111111111011011111111111111111111111111111111111111101111111 + 111111111111101111111111111111111111111101111111110111011111111111 + 111111111111111101111111111011111111111111111110111111111111111111 + 111011111111111111110111111111111111111111111111101111111111111111* L041166 - 000000000000000000000000000000000000000000000000000000000000000000* -L041232 011111111111111111111111111111111110111111011111111111110111111111* -L041298 101111111111101111111111111111111111111111011111111111111111111111* + 111111111111111111111111111111111111111111111111111111111111111111* +L041232 111111111111110111111111111111111110111111111101011111111111111111* +L041298 111111111111101111111111111111111111111111111110011111111111111111* L041364 000000000000000000000000000000000000000000000000000000000000000000* L041430 000000000000000000000000000000000000000000000000000000000000000000* L041496 000000000000000000000000000000000000000000000000000000000000000000* -L041562 000000000000000000000000000000000000000000000000000000000000000000* +L041562 111111111111111111111111111111111111111111011111111111111111111111* L041628 000000000000000000000000000000000000000000000000000000000000000000* L041694 000000000000000000000000000000000000000000000000000000000000000000* L041760 000000000000000000000000000000000000000000000000000000000000000000* L041826 000000000000000000000000000000000000000000000000000000000000000000* L041892 111111111111111111111111111111111111111111111111111111111111111111* -L041958 111111111111111111111111111111111111111111101111111111111111111111* -L042024 011111111111111111111111111111111111111111111101111111110111111111* -L042090 101111111111111111111111101111111111111111111111101111111111111111* +L041958 111111111111111111111111111111111111111101111111111111111111111111* +L042024 000000000000000000000000000000000000000000000000000000000000000000* +L042090 000000000000000000000000000000000000000000000000000000000000000000* L042156 000000000000000000000000000000000000000000000000000000000000000000* L042222 000000000000000000000000000000000000000000000000000000000000000000* -L042288 111111111111111111111111111111111111111101111111111111111111111111* +L042288 111111111111111111111111111111111111111111111111111101111111111111* L042354 000000000000000000000000000000000000000000000000000000000000000000* L042420 000000000000000000000000000000000000000000000000000000000000000000* L042486 000000000000000000000000000000000000000000000000000000000000000000* L042552 000000000000000000000000000000000000000000000000000000000000000000* L042618 000000000000000000000000000000000000000000000000000000000000000000* -L042684 111101111011111111111111111111111111111111111111111111111111111111* -L042750 111001111111111111111111111111111111111111111111111111111111111111* -L042816 110111110111111101111111111111111011111111111111111111111111111111* -L042882 111011111011111101111111111111111011111111111111111111111111111111* -L042948 111101111111111110111111111111111111111111111111111111111111111111* -L043014 111111111111111111111111111111101111111111011111111111111111111111* -L043080 000000000000000000000000000000000000000000000000000000000000000000* -L043146 000000000000000000000000000000000000000000000000000000000000000000* +L042684 111101111111111111111111111111101111111111111111111111111111111111* +L042750 111101111111111111111111111111111111111111111111111011111111111111* +L042816 111111111111111111111111111111011111111111111111110111111110111101* +L042882 111111111111111111111111111111101111111111111111111011111110111101* +L042948 111101111111111111111111111111111111111111111111111111111111111110* +L043014 111111111111111011111111111111111111111111111101011111111111111111* +L043080 111111101111111111111111111111111111111111111101011111111111111111* +L043146 111111111111111110111111111111111111111111111110011111101111111111* L043212 000000000000000000000000000000000000000000000000000000000000000000* L043278 000000000000000000000000000000000000000000000000000000000000000000* L043344 000000000000000000000000000000000000000000000000000000000000000000* -L043410 011111111111111111111111111111111111111111011111111111111011111111* -L043476 011111111110111111111111111111111111111111011111111111111111111111* -L043542 101111111111111111111111101111111111111111011111101111111111111111* +L043410 111111110111111111111111111111111111111111111111111111111111111111* +L043476 000000000000000000000000000000000000000000000000000000000000000000* +L043542 000000000000000000000000000000000000000000000000000000000000000000* L043608 000000000000000000000000000000000000000000000000000000000000000000* L043674 000000000000000000000000000000000000000000000000000000000000000000* -L043740 111111111111111111111111111111111111111111111111111101111111111111* +L043740 111111111111111111111101111111111111111111111111111111111111111111* L043806 000000000000000000000000000000000000000000000000000000000000000000* L043872 000000000000000000000000000000000000000000000000000000000000000000* L043938 000000000000000000000000000000000000000000000000000000000000000000* L044004 000000000000000000000000000000000000000000000000000000000000000000* L044070 - 101111111111111111011111111111111111111111111111111111111111111110* -L044136 111111111111111111111111111111111111111111101111111111111111111111* -L044202 011111111111111111111111110111111111111111111111111111110111111111* -L044268 101111111111111111111111011111111111111111111111111111111111111111* + 011111111111111111111111111111111111111111111110111111111111111011* +L044136 111111111111111111111111111111111111111111111111101111111111111111* +L044202 111111111111110111111111111111111111111111111101111111110111111111* +L044268 111111111111111101111111111111111111111111111110111111111111111111* L044334 000000000000000000000000000000000000000000000000000000000000000000* L044400 000000000000000000000000000000000000000000000000000000000000000000* -L044466 111111111111110111111111111111111111111111111111111111111111111111* -L044532 000000000000000000000000000000000000000000000000000000000000000000* +L044466 111111111111111111111111111111110111111111111111011111111111111111* +L044532 110111111111111111111111101011111111111111111111011111111111111111* L044598 000000000000000000000000000000000000000000000000000000000000000000* L044664 000000000000000000000000000000000000000000000000000000000000000000* L044730 000000000000000000000000000000000000000000000000000000000000000000* L044796 - 101111111111111111111111111111111111111111111111111111111111111110* -L044862 101111111111111111111110111111111111111111011111111111111111111111* -L044928 011111111111111111111111111111111111111111011011111111110111111111* -L044994 000000000000000000000000000000000000000000000000000000000000000000* -L045060 000000000000000000000000000000000000000000000000000000000000000000* -L045126 000000000000000000000000000000000000000000000000000000000000000000* -L045192 111111011111111111111111111111111111111111111111111111111111111111* -L045258 000000000000000000000000000000000000000000000000000000000000000000* -L045324 000000000000000000000000000000000000000000000000000000000000000000* -L045390 000000000000000000000000000000000000000000000000000000000000000000* -L045456 000000000000000000000000000000000000000000000000000000000000000000* + 111111111111111111111111111111111111111111111110111111111111111011* +L044862 111111111111111111111111111111111111110111111111111111111111111111* +L044928 111111111111111111111111111111111111111111111111111111111111111111* +L044994 111111111111111111111111111111111111111111111111111111111111111111* +L045060 111111111111111111111111111111111111111111111111111111111111111111* +L045126 111111111111111111111111111111111111111111111111111111111111111111* +L045192 111111111111111111111111111111111111111111111111111111111111111111* +L045258 111111111111111111111111111111111111111111111111111111111111111111* +L045324 111111111111111111111111111111111111111111111111111111111111111111* +L045390 111111111111111111111111111111111111111111111111111111111111111111* +L045456 111111111111111111111111111111111111111111111111111111111111111111* L045522 - 101111111111111111011111111111111111111111111111111111111111111111* -L045588 111111111101111111111111111111111111111111111110111111111111111111* -L045654 000000000000000000000000000000000000000000000000000000000000000000* -L045720 000000000000000000000000000000000000000000000000000000000000000000* -L045786 000000000000000000000000000000000000000000000000000000000000000000* -L045852 000000000000000000000000000000000000000000000000000000000000000000* -L045918 111111111111111111111111111101111111111111111111111111111111111111* -L045984 000000000000000000000000000000000000000000000000000000000000000000* -L046050 000000000000000000000000000000000000000000000000000000000000000000* -L046116 000000000000000000000000000000000000000000000000000000000000000000* -L046182 000000000000000000000000000000000000000000000000000000000000000000* + 011111111111111111111111111111111111111111111110111111111111111111* +L045588 111111011111111111101111111111111111111111111111111111111111111111* +L045654 111111111111111111111111111111111111111111111111111111111111111111* +L045720 111111111111111111111111111111111111111111111111111111111111111111* +L045786 111111111111111111111111111111111111111111111111111111111111111111* +L045852 111111111111111111111111111111111111111111111111111111111111111111* +L045918 111111111111111111111011111111111111111111111111011111111111111111* +L045984 111111111111111111111111111111111111111111111111111111111111111111* +L046050 111111111111111111111111111111111111111111111111111111111111111111* +L046116 111111111111111111111111111111111111111111111111111111111111111111* +L046182 111111111111111111111111111111111111111111111111111111111111111111* L046248 000000000000000000000000000000000000000000000000000000000000000000* -L046314 101111111111111111111101111111111111111111011111111111111111111111* -L046380 011111111111111111111011111111111111111111011111111111110111111111* -L046446 000000000000000000000000000000000000000000000000000000000000000000* -L046512 000000000000000000000000000000000000000000000000000000000000000000* -L046578 000000000000000000000000000000000000000000000000000000000000000000* -L046644 111111111111111111111111111111111111110111111111111111111111111111* -L046710 000000000000000000000000000000000000000000000000000000000000000000* -L046776 000000000000000000000000000000000000000000000000000000000000000000* -L046842 000000000000000000000000000000000000000000000000000000000000000000* -L046908 000000000000000000000000000000000000000000000000000000000000000000* +L046314 111111111101111111111111111111111111111111111111111111111111111111* +L046380 111111111111111111111111111111111111111111111111111111111111111111* +L046446 111111111111111111111111111111111111111111111111111111111111111111* +L046512 111111111111111111111111111111111111111111111111111111111111111111* +L046578 111111111111111111111111111111111111111111111111111111111111111111* +L046644 111111111111111111111111111111111111111111111111111111111111111111* +L046710 111111111111111111111111111111111111111111111111111111111111111111* +L046776 111111111111111111111111111111111111111111111111111111111111111111* +L046842 111111111111111111111111111111111111111111111111111111111111111111* +L046908 111111111111111111111111111111111111111111111111111111111111111111* L046974 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L047106 0010* L047110 11100110011000* -L047124 00101011111110* -L047138 10100110010101* +L047124 00100110011110* +L047138 00100110010100* L047152 00100110011111* -L047166 10100110011000* -L047180 01100110010010* -L047194 11100110010000* -L047208 00100110010011* -L047222 10100110010001* -L047236 00100110010011* -L047250 11100110010100* -L047264 00100110010010* -L047278 00100011110010* -L047292 00100110010011* -L047306 11100110010001* -L047320 00100110011111* +L047166 10100110011001* +L047180 11100110010011* +L047194 00100110010000* +L047208 00100110010010* +L047222 10100110010000* +L047236 11100110010011* +L047250 00010110010101* +L047264 11101111110011* +L047278 00110011110010* +L047292 01000110010010* +L047306 00010110010000* +L047320 11101011111111* NOTE BLOCK 7 * L047334 - 111110111111111111011111111111111111111110111111111111111111111111 - 111111111111111111111111111111111111111111111111111011111111111110 - 111111111111111111111111111111111110111111111111111111111110111111 - 111111111111111111111011101011111111111111111010101111111111111111 - 111111111110111111111111111111101111111111111111111111111111111111 - 111111111111011111111111111111111111011111111111111111111111111111 - 111111011111110111111101111111111111111111111111111111101111110111 - 101111111011111111111111111111111111111011111111111111111111111111 - 111011111111111101111111111110111011111111101111111111111111101111* + 111111111111111111111111111111111111111110011111111111111111111011 + 111111111111111110111111111111110111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111101111110111111111 + 111111101111111111111111101011111111111111111111111111111101111111 + 111111111111111111111011111111111111111111111111111001111111111111 + 111111111111011111111111111111011111011111111111111111111111111111 + 111111111110111111111101111110111101111111111111111111111111111111 + 111111111111111111111111111111111111111111111110111111101111111110 + 101010111011111111011111111111111111110111111111111111111111101111* L047928 000000000000000000000000000000000000000000000000000000000000000000* -L047994 111111111101111011111011111111111111111111011111111111111111101111* -L048060 111111111101111111111011111111111111111111011111111111111111101110* -L048126 111111111111111111110111111111111111111111011111111011111111111111* +L047994 011111111111111111111111111111111011111111111111110111111110101111* +L048060 011111111111111111111011111111111011111111111111110111111111101111* +L048126 011111111111111110111111111111110111111111111111111111111111111111* L048192 000000000000000000000000000000000000000000000000000000000000000000* L048258 000000000000000000000000000000000000000000000000000000000000000000* -L048324 111111111111101110111110010111111101011011111111111111111111111011* +L048324 111111111111101111111110010111111110011011111111011111111111111110* L048390 000000000000000000000000000000000000000000000000000000000000000000* L048456 000000000000000000000000000000000000000000000000000000000000000000* L048522 000000000000000000000000000000000000000000000000000000000000000000* L048588 000000000000000000000000000000000000000000000000000000000000000000* L048654 000000000000000000000000000000000000000000000000000000000000000000* -L048720 111111111111111111111111111111111111111111111111011111111111111111* +L048720 111111111111111111111111111101111111111111111111111111111111111111* L048786 111111111111111111111111111111111111111111111111111111111111111111* L048852 111111111111111111111111111111111111111111111111111111111111111111* L048918 111111111111111111111111111111111111111111111111111111111111111111* @@ -1000,19 +1001,19 @@ L049248 111111111111111111111111111111111111111111111111111111111111111111* L049314 111111111111111111111111111111111111111111111111111111111111111111* L049380 111111111111111111111111111111111111111111111111111111111111111111* -L049446 111111111111111111111111111111111111101111011111111111111111111111* -L049512 101111111111111011111111111111111111111111011111111111111111111111* -L049578 000000000000000000000000000000000000000000000000000000000000000000* +L049446 101111111111111111111111111111111111111111111111111111111111111111* +L049512 111111111111111111111111111111111111011111111101111111111111111111* +L049578 111101111111111111111111111111111111011111111111111111111101111111* L049644 000000000000000000000000000000000000000000000000000000000000000000* L049710 000000000000000000000000000000000000000000000000000000000000000000* -L049776 111111111111111111111111111111111111111011011111111111111111111111* +L049776 011111111111111111111111111111111111111111111111111111111111111110* L049842 111111111111111111111111111111111111111111111111111111111111111111* L049908 111111111111111111111111111111111111111111111111111111111111111111* L049974 111111111111111111111111111111111111111111111111111111111111111111* L050040 111111111111111111111111111111111111111111111111111111111111111111* L050106 - 101111111111111111011111111111111111111111111011111111111111111111* -L050172 111111111111111111111111111101111111111111111111111111111111111111* + 111111111111111111111111111111111111111111111110111101111011111111* +L050172 111111110111111111111111111111111111111111111111111111111111111111* L050238 111111111111111111111111111111111111111111111111111111111111111111* L050304 111111111111111111111111111111111111111111111111111111111111111111* L050370 111111111111111111111111111111111111111111111111111111111111111111* @@ -1023,19 +1024,19 @@ L050634 111111111111111111111111111111111111111111111111111111111111111111* L050700 111111111111111111111111111111111111111111111111111111111111111111* L050766 111111111111111111111111111111111111111111111111111111111111111111* L050832 - 111111111111111111111111111111111111111111110111111111111111111111* -L050898 111111101111111111111111111111111011111111111111111111111111111111* + 111111111111111111111111111111111111111111111111111111110111111111* +L050898 111110111111111111101111111111111111111111111111111111111111111111* L050964 111111111111111111111111111111111111111111111111111111111111111111* L051030 111111111111111111111111111111111111111111111111111111111111111111* L051096 111111111111111111111111111111111111111111111111111111111111111111* L051162 111111111111111111111111111111111111111111111111111111111111111111* -L051228 111111111111111111111111111111011111111110011111011111111111111111* -L051294 111101111111111111111111111111011111111111011111011111111111111111* -L051360 111111111111111111111111111101011111111111011111111111111111111111* -L051426 110111111011111111111111111111111111111111011111111111101111111111* +L051228 011111111111111111111111111101111111111110111111111111111111110111* +L051294 011111111111111111111111111101111111111111011111111111111111110111* +L051360 011111110111111111111111111111111111111111111111111111111111110111* +L051426 010111111110111111111111111111111111111111111111111111101111111111* L051492 000000000000000000000000000000000000000000000000000000000000000000* L051558 - 011111111111111111011111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111111101111101111111111111* L051624 111111111111111111111111111111111111111111111111111111111111111111* L051690 111111111111111111111111111111111111111111111111111111111111111111* L051756 111111111111111111111111111111111111111111111111111111111111111111* @@ -1047,13 +1048,13 @@ L052086 111111111111111111111111111111111111111111111111111111111111111111* L052152 111111111111111111111111111111111111111111111111111111111111111111* L052218 111111111111111111111111111111111111111111111111111111111111111111* L052284 - 101111111111111111111111111111111111111111111011111111111111111111* -L052350 111111111111111111111111111111111111111111111101111111111110111111* + 111111111111111111111111111111111111111111111110111111111011111111* +L052350 111111101111111111111111111111011111111111111111111111111111111111* L052416 111111111111111111111111111111111111111111111111111111111111111111* L052482 111111111111111111111111111111111111111111111111111111111111111111* L052548 111111111111111111111111111111111111111111111111111111111111111111* L052614 111111111111111111111111111111111111111111111111111111111111111111* -L052680 101111111111111111111111111111111111111111011111111111111111111111* +L052680 011111111111111111111111111111111111111111111110111111111111111111* L052746 111111111111111111111111111111111111111111111111111111111111111111* L052812 111111111111111111111111111111111111111111111111111111111111111111* L052878 111111111111111111111111111111111111111111111111111111111111111111* @@ -1075,10 +1076,10 @@ L053736 000000000000000000000000000000000000000000000000000000000000000000* L053868 0010* L053872 11100110011100* -L053886 01101011111110* +L053886 01101011110010* L053900 00010110010001* -L053914 11101011111111* -L053928 11100110010000* +L053914 11101011110011* +L053928 10100110010000* L053942 01000110011110* L053956 00010110010101* L053970 11100011110011* @@ -1109,6 +1110,6 @@ E1 10000010 1 * -C42BE* +CF9B2* U00000000000000000000000000000000* -FD2E +11B6 diff --git a/Logic/68030_tk.lco b/Logic/68030_tk.lco index 199cdfa..2bfa1de 100644 --- a/Logic/68030_tk.lco +++ b/Logic/68030_tk.lco @@ -16,8 +16,8 @@ RCS = "$Revision: 1.2 $"; Parent = m4a5.lci; SDS_File = m4a5.sds; Design = 68030_tk.tt4; -DATE = 7/9/15; -TIME = 18:49:07; +DATE = 9/24/15; +TIME = 16:21:08; Source_Format = Pure_VHDL; Type = TT2; Pre_Fit_Time = 1; @@ -76,26 +76,27 @@ Usercode_Format = Hex; [LOCATION ASSIGNMENTS] Layer = OFF; -SIZE_0_ = pin,70,-,G,-; -A_30_ = pin,5,-,B,-; -SIZE_1_ = pin,79,-,H,-; -A_29_ = pin,6,-,B,-; A_28_ = pin,15,-,C,-; -A_31_ = pin,4,-,B,-; A_27_ = pin,16,-,C,-; +SIZE_1_ = pin,79,-,H,-; A_26_ = pin,17,-,C,-; A_25_ = pin,18,-,C,-; +A_31_ = pin,4,-,B,-; A_24_ = pin,19,-,C,-; +A_23_ = pin,85,-,H,-; +A_22_ = pin,84,-,H,-; +A_21_ = pin,94,-,A,-; IPL_2_ = pin,68,-,G,-; -FC_1_ = pin,58,-,F,-; -AS_030 = pin,82,-,H,-; -AS_000 = pin,42,-,E,-; +A_20_ = pin,93,-,A,-; A_19_ = pin,97,-,A,-; +FC_1_ = pin,58,-,F,-; A_18_ = pin,95,-,A,-; -DS_030 = pin,98,-,A,-; +AS_030 = pin,82,-,H,-; A_17_ = pin,59,-,F,-; -UDS_000 = pin,32,-,D,-; +AS_000 = pin,42,-,E,-; A_16_ = pin,96,-,A,-; +DS_030 = pin,98,-,A,-; +UDS_000 = pin,32,-,D,-; LDS_000 = pin,31,-,D,-; A1 = pin,60,-,F,-; nEXP_SPACE = pin,14,-,-,-; @@ -107,13 +108,13 @@ CLK_000 = pin,11,-,-,-; CLK_OSZI = pin,61,-,-,-; CLK_DIV_OUT = pin,65,-,G,-; CLK_EXP = pin,10,-,B,-; -FPU_CS = pin,78,-,H,-; -FPU_SENSE = pin,91,-,A,-; IPL_1_ = pin,56,-,F,-; -DTACK = pin,30,-,D,-; +FPU_CS = pin,78,-,H,-; IPL_0_ = pin,67,-,G,-; -AVEC = pin,92,-,A,-; +FPU_SENSE = pin,91,-,A,-; FC_0_ = pin,57,-,F,-; +DTACK = pin,30,-,D,-; +AVEC = pin,92,-,A,-; VPA = pin,36,-,-,-; RST = pin,86,-,-,-; AMIGA_ADDR_ENABLE = pin,33,-,D,-; @@ -121,6 +122,9 @@ AMIGA_BUS_DATA_DIR = pin,48,-,E,-; AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-; AMIGA_BUS_ENABLE_HIGH = pin,34,-,D,-; CIIN = pin,47,-,E,-; +SIZE_0_ = pin,70,-,G,-; +A_30_ = pin,5,-,B,-; +A_29_ = pin,6,-,B,-; IPL_030_2_ = pin,9,-,B,-; RW_000 = pin,80,-,H,-; A0 = pin,69,-,G,-; @@ -133,78 +137,79 @@ E = pin,66,-,G,-; VMA = pin,35,-,D,-; RESET = pin,3,-,B,-; RW = pin,71,-,G,-; -N_165 = node,-,-,F,6; -cpu_est_0_ = node,-,-,D,6; -cpu_est_1_ = node,-,-,D,13; -inst_AS_000_INT = node,-,-,C,1; -SM_AMIGA_5_ = node,-,-,F,4; -inst_AMIGA_BUS_ENABLE_DMA_LOW = node,-,-,G,14; +cpu_est_0_ = node,-,-,C,5; +cpu_est_1_ = node,-,-,G,5; +inst_AS_000_INT = node,-,-,A,1; +SM_AMIGA_5_ = node,-,-,F,12; +inst_AMIGA_BUS_ENABLE_DMA_LOW = node,-,-,C,3; inst_AS_030_D0 = node,-,-,H,5; -inst_nEXP_SPACE_D0reg = node,-,-,G,5; -inst_DS_030_D0 = node,-,-,D,10; -inst_AS_030_000_SYNC = node,-,-,C,8; +inst_nEXP_SPACE_D0reg = node,-,-,F,0; +inst_DS_030_D0 = node,-,-,D,7; +inst_AS_030_000_SYNC = node,-,-,F,13; inst_BGACK_030_INT_D = node,-,-,H,13; inst_AS_000_DMA = node,-,-,A,8; -inst_DS_000_DMA = node,-,-,A,13; -CYCLE_DMA_0_ = node,-,-,A,10; -CYCLE_DMA_1_ = node,-,-,A,6; +inst_DS_000_DMA = node,-,-,A,5; +CYCLE_DMA_0_ = node,-,-,A,2; +CYCLE_DMA_1_ = node,-,-,A,13; SIZE_DMA_0_ = node,-,-,G,6; SIZE_DMA_1_ = node,-,-,G,2; -inst_VPA_D = node,-,-,F,13; -inst_UDS_000_INT = node,-,-,F,5; -inst_LDS_000_INT = node,-,-,B,9; -inst_CLK_OUT_PRE_D = node,-,-,G,15; -inst_DTACK_D0 = node,-,-,D,15; -inst_CLK_OUT_PRE_50 = node,-,-,A,9; -inst_CLK_000_D1 = node,-,-,F,8; -inst_CLK_000_D0 = node,-,-,G,13; -inst_CLK_000_PE = node,-,-,D,9; -CLK_000_P_SYNC_9_ = node,-,-,C,2; -inst_CLK_000_NE = node,-,-,G,9; +inst_VPA_D = node,-,-,D,13; +inst_UDS_000_INT = node,-,-,G,13; +inst_LDS_000_INT = node,-,-,G,9; +inst_CLK_OUT_PRE_D = node,-,-,E,13; +inst_DTACK_D0 = node,-,-,B,6; +inst_CLK_OUT_PRE_50 = node,-,-,E,9; +inst_CLK_000_D1 = node,-,-,D,9; +inst_CLK_000_D0 = node,-,-,B,2; +inst_CLK_000_PE = node,-,-,C,4; +CLK_000_P_SYNC_9_ = node,-,-,A,7; +inst_CLK_000_NE = node,-,-,F,4; CLK_000_N_SYNC_11_ = node,-,-,H,6; -cpu_est_2_ = node,-,-,D,2; -IPL_D0_0_ = node,-,-,B,7; -IPL_D0_1_ = node,-,-,C,13; -IPL_D0_2_ = node,-,-,B,13; -SM_AMIGA_3_ = node,-,-,F,12; -inst_CLK_000_NE_D0 = node,-,-,B,15; +cpu_est_2_ = node,-,-,C,12; +IPL_D0_0_ = node,-,-,D,3; +IPL_D0_1_ = node,-,-,D,14; +IPL_D0_2_ = node,-,-,G,11; +SM_AMIGA_3_ = node,-,-,C,8; +inst_CLK_000_NE_D0 = node,-,-,E,8; SM_AMIGA_0_ = node,-,-,F,9; inst_AMIGA_BUS_ENABLE_DMA_HIGH = node,-,-,G,10; -SM_AMIGA_6_ = node,-,-,C,4; -RST_DLY_0_ = node,-,-,B,10; -RST_DLY_1_ = node,-,-,A,1; +SM_AMIGA_6_ = node,-,-,F,5; +RST_DLY_0_ = node,-,-,C,6; +RST_DLY_1_ = node,-,-,B,13; RST_DLY_2_ = node,-,-,B,5; -RST_DLY_3_ = node,-,-,B,0; -RST_DLY_4_ = node,-,-,B,12; -RST_DLY_5_ = node,-,-,A,12; -RST_DLY_6_ = node,-,-,B,11; -RST_DLY_7_ = node,-,-,A,5; -CLK_000_P_SYNC_0_ = node,-,-,D,11; -CLK_000_P_SYNC_1_ = node,-,-,G,11; -CLK_000_P_SYNC_2_ = node,-,-,B,3; -CLK_000_P_SYNC_3_ = node,-,-,C,9; -CLK_000_P_SYNC_4_ = node,-,-,B,4; -CLK_000_P_SYNC_5_ = node,-,-,E,9; -CLK_000_P_SYNC_6_ = node,-,-,E,5; -CLK_000_P_SYNC_7_ = node,-,-,G,7; -CLK_000_P_SYNC_8_ = node,-,-,C,5; -CLK_000_N_SYNC_0_ = node,-,-,D,7; -CLK_000_N_SYNC_1_ = node,-,-,A,15; -CLK_000_N_SYNC_2_ = node,-,-,A,11; -CLK_000_N_SYNC_3_ = node,-,-,A,7; -CLK_000_N_SYNC_4_ = node,-,-,D,3; -CLK_000_N_SYNC_5_ = node,-,-,A,3; -CLK_000_N_SYNC_6_ = node,-,-,D,14; -CLK_000_N_SYNC_7_ = node,-,-,E,8; -CLK_000_N_SYNC_8_ = node,-,-,A,14; -CLK_000_N_SYNC_9_ = node,-,-,G,3; +RST_DLY_3_ = node,-,-,C,9; +RST_DLY_4_ = node,-,-,C,14; +RST_DLY_5_ = node,-,-,B,9; +RST_DLY_6_ = node,-,-,C,2; +RST_DLY_7_ = node,-,-,C,10; +CLK_000_P_SYNC_0_ = node,-,-,D,10; +CLK_000_P_SYNC_1_ = node,-,-,C,11; +CLK_000_P_SYNC_2_ = node,-,-,B,11; +CLK_000_P_SYNC_3_ = node,-,-,C,7; +CLK_000_P_SYNC_4_ = node,-,-,D,6; +CLK_000_P_SYNC_5_ = node,-,-,F,10; +CLK_000_P_SYNC_6_ = node,-,-,A,3; +CLK_000_P_SYNC_7_ = node,-,-,A,14; +CLK_000_P_SYNC_8_ = node,-,-,A,10; +CLK_000_N_SYNC_0_ = node,-,-,F,6; +CLK_000_N_SYNC_1_ = node,-,-,G,7; +CLK_000_N_SYNC_2_ = node,-,-,G,3; +CLK_000_N_SYNC_3_ = node,-,-,B,7; +CLK_000_N_SYNC_4_ = node,-,-,B,3; +pos_clk_un29_clk_000_ne_d0_i_n = node,-,-,D,2; +CLK_000_N_SYNC_5_ = node,-,-,B,14; +CLK_000_N_SYNC_6_ = node,-,-,A,6; +CLK_000_N_SYNC_7_ = node,-,-,B,10; +CLK_000_N_SYNC_8_ = node,-,-,G,14; +CLK_000_N_SYNC_9_ = node,-,-,F,2; CLK_000_N_SYNC_10_ = node,-,-,H,2; -inst_CLK_030_H = node,-,-,A,2; -SM_AMIGA_1_ = node,-,-,F,1; -SM_AMIGA_4_ = node,-,-,F,10; -SM_AMIGA_2_ = node,-,-,F,2; -inst_DS_000_ENABLE = node,-,-,C,12; -SM_AMIGA_i_7_ = node,-,-,F,0; +inst_CLK_030_H = node,-,-,A,9; +SM_AMIGA_1_ = node,-,-,C,1; +SM_AMIGA_4_ = node,-,-,F,1; +SM_AMIGA_2_ = node,-,-,C,13; +inst_DS_000_ENABLE = node,-,-,A,12; +SM_AMIGA_i_7_ = node,-,-,F,8; +CIIN_0 = node,-,-,E,5; [GROUP ASSIGNMENTS] Layer = OFF; diff --git a/Logic/68030_tk.out b/Logic/68030_tk.out index 96b072d..4aee406 100644 --- a/Logic/68030_tk.out +++ b/Logic/68030_tk.out @@ -2388,6 +2388,604 @@ 14 A_28_ 1 -1 -1 1 4 14 -1 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +141 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 + 79 RW_000 5 366 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 376 6 2 0 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 367 6 1 6 68 -1 3 0 21 + 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 6 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 + 8 IPL_030_2_ 5 365 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 371 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 370 1 0 6 -1 10 0 21 + 65 E 5 373 6 0 65 -1 5 0 21 + 80 DSACK1 5 372 7 0 80 -1 4 0 21 + 82 BGACK_030 5 369 7 0 82 -1 3 0 21 + 34 VMA 5 374 3 0 34 -1 3 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 368 3 0 28 -1 2 0 21 + 2 RESET 5 375 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 369 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 3 0 21 + 375 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 21 + 319 inst_CLK_000_NE 3 -1 2 5 0 1 2 3 5 -1 -1 1 0 21 + 317 inst_CLK_000_PE 3 -1 3 5 0 2 3 5 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 5 0 3 4 5 7 -1 -1 1 0 21 + 326 inst_CLK_000_NE_D0 3 -1 1 4 2 3 5 6 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 14 0 21 + 373 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 + 325 SM_AMIGA_3_ 3 -1 2 3 0 2 5 -1 -1 5 0 21 + 294 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 + 321 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 359 SM_AMIGA_1_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 296 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 327 SM_AMIGA_0_ 3 -1 0 3 0 5 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 3 0 5 6 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 333 RST_DLY_3_ 3 -1 2 2 1 2 -1 -1 6 0 21 + 332 RST_DLY_2_ 3 -1 2 2 1 2 -1 -1 5 0 21 + 361 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 + 335 RST_DLY_5_ 3 -1 1 2 1 2 -1 -1 4 0 21 + 331 RST_DLY_1_ 3 -1 2 2 1 2 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 4 0 21 + 374 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 362 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 + 360 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 336 RST_DLY_6_ 3 -1 2 2 1 2 -1 -1 3 0 21 + 330 RST_DLY_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 + 329 SM_AMIGA_6_ 3 -1 5 2 5 6 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 6 2 3 6 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 337 RST_DLY_7_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 334 RST_DLY_4_ 3 -1 2 2 1 2 -1 -1 2 1 21 + 328 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 + 316 inst_CLK_000_D0 3 -1 6 2 3 5 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 1 2 2 3 -1 -1 1 0 21 + 371 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 370 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 365 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 358 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 + 372 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 367 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 366 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 376 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 368 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 363 pos_clk_un23_clk_000_ne_d0_0_n 3 -1 2 1 5 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 357 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_9_ 3 -1 5 1 7 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_6_ 3 -1 1 1 4 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_5_ 3 -1 4 1 1 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_4_ 3 -1 3 1 4 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_3_ 3 -1 1 1 3 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_2_ 3 -1 3 1 1 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_1_ 3 -1 4 1 3 -1 -1 1 0 21 + 347 CLK_000_N_SYNC_0_ 3 -1 5 1 4 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_7_ 3 -1 1 1 0 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_6_ 3 -1 3 1 1 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_5_ 3 -1 1 1 3 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_4_ 3 -1 2 1 1 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_3_ 3 -1 1 1 2 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 + 338 CLK_000_P_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 + 324 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 323 IPL_D0_1_ 3 -1 3 1 1 -1 -1 1 0 21 + 322 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 320 CLK_000_N_SYNC_11_ 3 -1 7 1 2 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 6 1 3 -1 -1 1 0 21 + 315 inst_CLK_000_D1 3 -1 5 1 5 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 6 1 6 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 3 1 2 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 6 1 7 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 3 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 59 A1 1 -1 -1 2 0 6 59 -1 + 55 IPL_1_ 1 -1 -1 2 1 3 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 29 DTACK 1 -1 -1 1 3 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 5 13 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +141 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 2 5 6 7 40 -1 1 0 21 + 79 RW_000 5 366 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 376 6 2 6 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 367 6 1 0 68 -1 3 0 21 + 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 + 8 IPL_030_2_ 5 365 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 371 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 370 1 0 6 -1 10 0 21 + 65 E 5 373 6 0 65 -1 5 0 21 + 80 DSACK1 5 372 7 0 80 -1 4 0 21 + 82 BGACK_030 5 369 7 0 82 -1 3 0 21 + 34 VMA 5 374 3 0 34 -1 3 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 368 3 0 28 -1 2 0 21 + 2 RESET 5 375 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 369 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 3 0 21 + 375 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 21 + 317 inst_CLK_000_PE 3 -1 0 5 0 2 3 5 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 5 3 4 5 6 7 -1 -1 1 0 21 + 319 inst_CLK_000_NE 3 -1 1 4 1 2 3 5 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 4 0 3 5 6 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 + 373 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 + 325 SM_AMIGA_3_ 3 -1 2 3 2 5 6 -1 -1 5 0 21 + 294 cpu_est_1_ 3 -1 2 3 2 3 6 -1 -1 5 0 21 + 321 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 + 296 SM_AMIGA_5_ 3 -1 5 3 5 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 2 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 6 3 1 6 7 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 334 RST_DLY_3_ 3 -1 2 2 1 2 -1 -1 6 0 21 + 333 RST_DLY_2_ 3 -1 2 2 1 2 -1 -1 5 0 21 + 362 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 + 336 RST_DLY_5_ 3 -1 1 2 1 2 -1 -1 4 0 21 + 332 RST_DLY_1_ 3 -1 2 2 1 2 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 4 0 21 + 374 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 6 2 3 6 -1 -1 3 0 21 + 361 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 360 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 337 RST_DLY_6_ 3 -1 1 2 1 2 -1 -1 3 0 21 + 330 RST_DLY_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 + 329 SM_AMIGA_6_ 3 -1 5 2 0 5 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 338 RST_DLY_7_ 3 -1 2 2 1 2 -1 -1 2 0 21 + 335 RST_DLY_4_ 3 -1 2 2 1 2 -1 -1 2 1 21 + 327 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 + 326 inst_CLK_000_NE_D0 3 -1 2 2 2 6 -1 -1 1 0 21 + 316 inst_CLK_000_D0 3 -1 1 2 3 5 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 5 2 2 3 -1 -1 1 0 21 + 371 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 370 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 365 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 + 372 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 367 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 366 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 376 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 368 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 331 N_264_i 3 -1 2 1 5 -1 -1 2 0 21 + 328 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 3 1 3 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 1 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 0 1 1 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 4 1 3 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 1 1 4 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_4_ 3 -1 4 1 1 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_3_ 3 -1 1 1 4 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_1_ 3 -1 2 1 1 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_0_ 3 -1 5 1 2 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_8_ 3 -1 6 1 4 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_7_ 3 -1 1 1 6 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_6_ 3 -1 2 1 1 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_5_ 3 -1 3 1 2 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_4_ 3 -1 4 1 3 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_3_ 3 -1 3 1 4 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_2_ 3 -1 6 1 3 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_1_ 3 -1 1 1 6 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 + 324 IPL_D0_2_ 3 -1 0 1 1 -1 -1 1 0 21 + 323 IPL_D0_1_ 3 -1 2 1 1 -1 -1 1 0 21 + 322 IPL_D0_0_ 3 -1 3 1 1 -1 -1 1 0 21 + 320 CLK_000_N_SYNC_11_ 3 -1 7 1 1 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 4 1 0 -1 -1 1 0 21 + 315 inst_CLK_000_D1 3 -1 3 1 5 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 6 1 6 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 0 1 2 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 3 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 0 1 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 3 66 -1 + 63 CLK_030 1 -1 -1 2 0 7 63 -1 + 59 A1 1 -1 -1 2 0 3 59 -1 + 55 IPL_1_ 1 -1 -1 2 1 2 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 29 DTACK 1 -1 -1 1 0 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 5 13 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +146 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 6 1 2 3 5 6 7 40 -1 1 0 21 + 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 377 6 2 3 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 368 6 1 0 68 -1 3 0 21 + 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 + 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 + 65 E 5 374 6 0 65 -1 5 0 21 + 80 DSACK1 5 373 7 0 80 -1 4 0 21 + 82 BGACK_030 5 370 7 0 82 -1 3 0 21 + 34 VMA 5 375 3 0 34 -1 3 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 369 3 0 28 -1 2 0 21 + 2 RESET 5 376 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 370 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 3 0 21 + 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 317 inst_CLK_000_PE 3 -1 2 6 0 1 2 3 5 7 -1 -1 1 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 1 6 0 3 4 5 6 7 -1 -1 1 0 21 + 296 SM_AMIGA_5_ 3 -1 1 5 1 3 5 6 7 -1 -1 3 0 21 + 298 inst_AS_030_D0 3 -1 7 5 3 4 5 6 7 -1 -1 1 0 21 + 319 inst_CLK_000_NE 3 -1 0 4 1 2 3 5 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 + 374 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 + 325 SM_AMIGA_3_ 3 -1 2 3 2 3 5 -1 -1 5 0 21 + 294 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 + 321 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 361 SM_AMIGA_4_ 3 -1 3 3 2 3 5 -1 -1 3 0 21 + 329 SM_AMIGA_6_ 3 -1 5 3 0 1 5 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 2 0 21 + 326 inst_CLK_000_NE_D0 3 -1 5 3 2 3 6 -1 -1 1 0 21 + 316 inst_CLK_000_D0 3 -1 4 3 3 4 5 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 1 3 1 6 7 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 3 0 5 6 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 333 RST_DLY_3_ 3 -1 1 2 1 2 -1 -1 6 0 21 + 332 RST_DLY_2_ 3 -1 2 2 1 2 -1 -1 5 0 21 + 362 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 + 335 RST_DLY_5_ 3 -1 2 2 1 2 -1 -1 4 0 21 + 331 RST_DLY_1_ 3 -1 2 2 1 2 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 4 0 21 + 375 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 360 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 336 RST_DLY_6_ 3 -1 2 2 1 2 -1 -1 3 0 21 + 330 RST_DLY_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 337 RST_DLY_7_ 3 -1 2 2 1 2 -1 -1 2 0 21 + 334 RST_DLY_4_ 3 -1 1 2 1 2 -1 -1 2 1 21 + 328 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 + 327 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 + 309 inst_VPA_D 3 -1 6 2 2 3 -1 -1 1 0 21 + 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 + 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 3 1 3 -1 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 338 N_203_i 3 -1 2 1 5 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 4 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 3 1 4 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 6 1 3 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 0 1 6 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 6 1 0 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_4_ 3 -1 2 1 6 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_3_ 3 -1 1 1 2 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_1_ 3 -1 2 1 1 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_0_ 3 -1 5 1 2 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_8_ 3 -1 5 1 2 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_7_ 3 -1 3 1 5 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_6_ 3 -1 5 1 3 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_5_ 3 -1 6 1 5 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_3_ 3 -1 6 1 1 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_2_ 3 -1 6 1 6 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_0_ 3 -1 5 1 6 -1 -1 1 0 21 + 324 IPL_D0_2_ 3 -1 3 1 1 -1 -1 1 0 21 + 323 IPL_D0_1_ 3 -1 5 1 1 -1 -1 1 0 21 + 322 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 320 CLK_000_N_SYNC_11_ 3 -1 7 1 0 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 + 315 inst_CLK_000_D1 3 -1 4 1 5 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 1 1 1 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 2 1 2 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 3 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 3 67 -1 + 63 CLK_030 1 -1 -1 2 0 7 63 -1 + 55 IPL_1_ 1 -1 -1 2 1 5 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 59 A1 1 -1 -1 1 0 59 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 1 13 -1 + 10 CLK_000 1 -1 -1 1 4 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +146 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 + 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 377 6 2 0 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 368 6 1 6 68 -1 3 0 21 + 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 6 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 + 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 + 65 E 5 374 6 0 65 -1 5 0 21 + 80 DSACK1 5 373 7 0 80 -1 4 0 21 + 82 BGACK_030 5 370 7 0 82 -1 3 0 21 + 34 VMA 5 375 3 0 34 -1 3 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 369 3 0 28 -1 2 0 21 + 2 RESET 5 376 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 370 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 3 0 21 + 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 21 + 319 inst_CLK_000_NE 3 -1 5 5 1 2 3 4 5 -1 -1 1 0 21 + 317 inst_CLK_000_PE 3 -1 2 5 0 2 3 5 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 5 0 3 4 5 7 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 + 374 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 + 325 SM_AMIGA_3_ 3 -1 2 3 0 2 5 -1 -1 5 0 21 + 294 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 5 0 21 + 321 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 + 360 SM_AMIGA_1_ 3 -1 2 3 2 5 7 -1 -1 3 0 21 + 296 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 2 0 21 + 326 inst_CLK_000_NE_D0 3 -1 4 3 2 3 6 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 3 2 5 6 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 333 RST_DLY_3_ 3 -1 2 2 1 2 -1 -1 6 0 21 + 332 RST_DLY_2_ 3 -1 1 2 1 2 -1 -1 5 0 21 + 362 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 + 335 RST_DLY_5_ 3 -1 1 2 1 2 -1 -1 4 0 21 + 331 RST_DLY_1_ 3 -1 1 2 1 2 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 4 0 21 + 375 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 + 361 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 336 RST_DLY_6_ 3 -1 2 2 1 2 -1 -1 3 0 21 + 330 RST_DLY_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 + 329 SM_AMIGA_6_ 3 -1 5 2 5 6 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 6 2 3 6 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 337 RST_DLY_7_ 3 -1 2 2 1 2 -1 -1 2 0 21 + 334 RST_DLY_4_ 3 -1 2 2 1 2 -1 -1 2 1 21 + 328 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 327 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 + 316 inst_CLK_000_D0 3 -1 1 2 3 5 -1 -1 1 0 21 + 315 inst_CLK_000_D1 3 -1 3 2 3 5 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 1 2 2 3 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 3 2 2 3 -1 -1 1 0 21 + 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 + 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 352 pos_clk_un29_clk_000_ne_d0_i_n 3 -1 3 1 5 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 5 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 6 1 5 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 1 1 6 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 1 1 0 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_4_ 3 -1 1 1 1 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 347 CLK_000_N_SYNC_0_ 3 -1 5 1 6 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_5_ 3 -1 5 1 0 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_4_ 3 -1 3 1 5 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_3_ 3 -1 2 1 3 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_2_ 3 -1 1 1 2 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_1_ 3 -1 2 1 1 -1 -1 1 0 21 + 338 CLK_000_P_SYNC_0_ 3 -1 3 1 2 -1 -1 1 0 21 + 324 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 323 IPL_D0_1_ 3 -1 3 1 1 -1 -1 1 0 21 + 322 IPL_D0_0_ 3 -1 3 1 1 -1 -1 1 0 21 + 320 CLK_000_N_SYNC_11_ 3 -1 7 1 5 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 3 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 3 66 -1 + 59 A1 1 -1 -1 2 2 6 59 -1 + 55 IPL_1_ 1 -1 -1 2 1 3 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 35 VPA 1 -1 -1 1 3 35 -1 + 29 DTACK 1 -1 -1 1 1 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 5 13 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 5 A_29_ 1 -1 -1 1 4 5 -1 4 A_30_ 1 -1 -1 1 4 4 -1 3 A_31_ 1 -1 -1 1 4 3 -1 \ No newline at end of file diff --git a/Logic/68030_tk.plc b/Logic/68030_tk.plc index d02df1f..ec03198 100644 --- a/Logic/68030_tk.plc +++ b/Logic/68030_tk.plc @@ -8,29 +8,30 @@ ; Source file 68030_tk.tt4 ; FITTER-generated Placements. ; DEVICE mach447a -; DATE Thu Jul 09 18:49:07 2015 +; DATE Thu Sep 24 16:21:08 2015 -Pin 70 SIZE_0_ Comb ; S6=1 S9=1 Pair 263 -Pin 5 A_30_ -Pin 79 SIZE_1_ Comb ; S6=1 S9=1 Pair 287 -Pin 6 A_29_ Pin 15 A_28_ -Pin 4 A_31_ Pin 16 A_27_ +Pin 79 SIZE_1_ Comb ; S6=1 S9=1 Pair 287 Pin 17 A_26_ Pin 18 A_25_ +Pin 4 A_31_ Pin 19 A_24_ +Pin 85 A_23_ +Pin 84 A_22_ +Pin 94 A_21_ Pin 68 IPL_2_ -Pin 58 FC_1_ -Pin 82 AS_030 Comb ; S6=1 S9=1 Pair 281 -Pin 42 AS_000 Comb ; S6=1 S9=1 Pair 203 +Pin 93 A_20_ Pin 97 A_19_ +Pin 58 FC_1_ Pin 95 A_18_ -Pin 98 DS_030 Comb ; S6=1 S9=1 Pair 101 +Pin 82 AS_030 Comb ; S6=1 S9=1 Pair 281 Pin 59 A_17_ -Pin 32 UDS_000 Comb ; S6=1 S9=1 Pair 185 +Pin 42 AS_000 Comb ; S6=1 S9=1 Pair 203 Pin 96 A_16_ +Pin 98 DS_030 Comb ; S6=1 S9=1 Pair 101 +Pin 32 UDS_000 Comb ; S6=1 S9=1 Pair 185 Pin 31 LDS_000 Comb ; S6=1 S9=1 Pair 191 Pin 60 A1 Pin 14 nEXP_SPACE @@ -42,13 +43,13 @@ Pin 11 CLK_000 Pin 61 CLK_OSZI Pin 65 CLK_DIV_OUT Comb ; S6=1 S9=1 Pair 247 Pin 10 CLK_EXP Comb ; S6=1 S9=1 Pair 127 -Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 271 -Pin 91 FPU_SENSE Pin 56 IPL_1_ -Pin 30 DTACK +Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 271 Pin 67 IPL_0_ -Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 +Pin 91 FPU_SENSE Pin 57 FC_0_ +Pin 30 DTACK +Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 Pin 36 VPA Pin 86 RST Pin 33 AMIGA_ADDR_ENABLE Comb ; S6=1 S9=1 Pair 181 @@ -56,19 +57,21 @@ Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 199 Pin 20 AMIGA_BUS_ENABLE_LOW Comb ; S6=1 S9=1 Pair 149 Pin 34 AMIGA_BUS_ENABLE_HIGH Comb ; S6=1 S9=1 Pair 179 Pin 47 CIIN Comb ; S6=1 S9=1 Pair 215 -Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 128 +Pin 70 SIZE_0_ Comb ; S6=1 S9=1 Pair 263 +Pin 5 A_30_ +Pin 6 A_29_ +Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 131 Pin 80 RW_000 Reg ; S6=1 S9=1 Pair 269 Pin 69 A0 Reg ; S6=1 S9=1 Pair 257 Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 175 Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275 -Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 134 +Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 143 Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 137 Pin 81 DSACK1 Reg ; S6=1 S9=1 Pair 283 Pin 66 E Reg ; S6=1 S9=1 Pair 251 Pin 35 VMA Reg ; S6=1 S9=1 Pair 173 -Pin 3 RESET Reg ; S6=1 S9=1 Pair 146 +Pin 3 RESET Reg ; S6=1 S9=1 Pair 125 Pin 71 RW Reg ; S6=1 S9=1 Pair 245 -Node 263 RN_SIZE_0_ Comb ; S6=1 S9=1 Node 287 RN_SIZE_1_ Comb ; S6=1 S9=1 Node 281 RN_AS_030 Comb ; S6=1 S9=1 Node 203 RN_AS_000 Comb ; S6=1 S9=1 @@ -76,89 +79,91 @@ Node 101 RN_DS_030 Comb ; S6=1 S9=1 Node 185 RN_UDS_000 Comb ; S6=1 S9=1 Node 191 RN_LDS_000 Comb ; S6=1 S9=1 Node 197 RN_BERR Comb ; S6=1 S9=1 -Node 128 RN_IPL_030_2_ Reg ; S6=1 S9=1 +Node 263 RN_SIZE_0_ Comb ; S6=1 S9=1 +Node 131 RN_IPL_030_2_ Reg ; S6=1 S9=1 Node 269 RN_RW_000 Reg ; S6=1 S9=1 Node 257 RN_A0 Reg ; S6=1 S9=1 Node 175 RN_BG_000 Reg ; S6=1 S9=1 Node 275 RN_BGACK_030 Reg ; S6=1 S9=1 -Node 134 RN_IPL_030_1_ Reg ; S6=1 S9=1 +Node 143 RN_IPL_030_1_ Reg ; S6=1 S9=1 Node 137 RN_IPL_030_0_ Reg ; S6=1 S9=1 Node 283 RN_DSACK1 Reg ; S6=1 S9=1 Node 251 RN_E Reg ; S6=1 S9=1 Node 173 RN_VMA Reg ; S6=1 S9=1 -Node 146 RN_RESET Reg ; S6=1 S9=1 +Node 125 RN_RESET Reg ; S6=1 S9=1 Node 245 RN_RW Reg ; S6=1 S9=1 -Node 230 N_165 Comb ; S6=1 S9=1 -Node 182 cpu_est_0_ Reg ; S6=1 S9=1 -Node 193 cpu_est_1_ Reg ; S6=1 S9=1 -Node 151 inst_AS_000_INT Reg ; S6=1 S9=1 -Node 227 SM_AMIGA_5_ Reg ; S6=1 S9=1 -Node 266 inst_AMIGA_BUS_ENABLE_DMA_LOW Reg ; S6=1 S9=1 +Node 157 cpu_est_0_ Reg ; S6=1 S9=1 +Node 253 cpu_est_1_ Reg ; S6=1 S9=1 +Node 103 inst_AS_000_INT Reg ; S6=1 S9=1 +Node 239 SM_AMIGA_5_ Reg ; S6=1 S9=1 +Node 154 inst_AMIGA_BUS_ENABLE_DMA_LOW Reg ; S6=1 S9=1 Node 277 inst_AS_030_D0 Reg ; S6=1 S9=1 -Node 253 inst_nEXP_SPACE_D0reg Reg ; S6=1 S9=1 -Node 188 inst_DS_030_D0 Reg ; S6=1 S9=1 -Node 161 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 +Node 221 inst_nEXP_SPACE_D0reg Reg ; S6=1 S9=1 +Node 184 inst_DS_030_D0 Reg ; S6=1 S9=1 +Node 241 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 Node 289 inst_BGACK_030_INT_D Reg ; S6=1 S9=1 Node 113 inst_AS_000_DMA Reg ; S6=1 S9=1 -Node 121 inst_DS_000_DMA Reg ; S6=1 S9=1 -Node 116 CYCLE_DMA_0_ Reg ; S6=1 S9=1 -Node 110 CYCLE_DMA_1_ Reg ; S6=1 S9=1 +Node 109 inst_DS_000_DMA Reg ; S6=1 S9=1 +Node 104 CYCLE_DMA_0_ Reg ; S6=1 S9=1 +Node 121 CYCLE_DMA_1_ Reg ; S6=1 S9=1 Node 254 SIZE_DMA_0_ Reg ; S6=1 S9=1 Node 248 SIZE_DMA_1_ Reg ; S6=1 S9=1 -Node 241 inst_VPA_D Reg ; S6=1 S9=1 -Node 229 inst_UDS_000_INT Reg ; S6=1 S9=1 -Node 139 inst_LDS_000_INT Reg ; S6=1 S9=1 -Node 268 inst_CLK_OUT_PRE_D Reg ; S6=1 S9=1 -Node 196 inst_DTACK_D0 Reg ; S6=1 S9=1 -Node 115 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1 -Node 233 inst_CLK_000_D1 Reg ; S6=1 S9=1 -Node 265 inst_CLK_000_D0 Reg ; S6=1 S9=1 -Node 187 inst_CLK_000_PE Reg ; S6=1 S9=1 -Node 152 CLK_000_P_SYNC_9_ Reg ; S6=1 S9=1 -Node 259 inst_CLK_000_NE Reg ; S6=1 S9=1 +Node 193 inst_VPA_D Reg ; S6=1 S9=1 +Node 265 inst_UDS_000_INT Reg ; S6=1 S9=1 +Node 259 inst_LDS_000_INT Reg ; S6=1 S9=1 +Node 217 inst_CLK_OUT_PRE_D Reg ; S6=1 S9=1 +Node 134 inst_DTACK_D0 Reg ; S6=1 S9=1 +Node 211 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1 +Node 187 inst_CLK_000_D1 Reg ; S6=1 S9=1 +Node 128 inst_CLK_000_D0 Reg ; S6=1 S9=1 +Node 155 inst_CLK_000_PE Reg ; S6=1 S9=1 +Node 112 CLK_000_P_SYNC_9_ Reg ; S6=1 S9=1 +Node 227 inst_CLK_000_NE Reg ; S6=1 S9=1 Node 278 CLK_000_N_SYNC_11_ Reg ; S6=1 S9=1 -Node 176 cpu_est_2_ Reg ; S6=1 S9=1 -Node 136 IPL_D0_0_ Reg ; S6=1 S9=1 -Node 169 IPL_D0_1_ Reg ; S6=1 S9=1 -Node 145 IPL_D0_2_ Reg ; S6=1 S9=1 -Node 239 SM_AMIGA_3_ Reg ; S6=1 S9=1 -Node 148 inst_CLK_000_NE_D0 Reg ; S6=1 S9=1 +Node 167 cpu_est_2_ Reg ; S6=1 S9=1 +Node 178 IPL_D0_0_ Reg ; S6=1 S9=1 +Node 194 IPL_D0_1_ Reg ; S6=1 S9=1 +Node 262 IPL_D0_2_ Reg ; S6=1 S9=1 +Node 161 SM_AMIGA_3_ Reg ; S6=1 S9=1 +Node 209 inst_CLK_000_NE_D0 Reg ; S6=1 S9=1 Node 235 SM_AMIGA_0_ Reg ; S6=1 S9=1 Node 260 inst_AMIGA_BUS_ENABLE_DMA_HIGH Reg ; S6=1 S9=1 -Node 155 SM_AMIGA_6_ Reg ; S6=1 S9=1 -Node 140 RST_DLY_0_ Reg ; S6=1 S9=1 -Node 103 RST_DLY_1_ Reg ; S6=1 S9=1 +Node 229 SM_AMIGA_6_ Reg ; S6=1 S9=1 +Node 158 RST_DLY_0_ Reg ; S6=1 S9=1 +Node 145 RST_DLY_1_ Reg ; S6=1 S9=1 Node 133 RST_DLY_2_ Reg ; S6=1 S9=1 -Node 125 RST_DLY_3_ Reg ; S6=1 S9=1 -Node 143 RST_DLY_4_ Reg ; S6=1 S9=1 -Node 119 RST_DLY_5_ Reg ; S6=1 S9=1 -Node 142 RST_DLY_6_ Reg ; S6=1 S9=1 -Node 109 RST_DLY_7_ Reg ; S6=1 S9=1 -Node 190 CLK_000_P_SYNC_0_ Reg ; S6=1 S9=1 -Node 262 CLK_000_P_SYNC_1_ Reg ; S6=1 S9=1 -Node 130 CLK_000_P_SYNC_2_ Reg ; S6=1 S9=1 -Node 163 CLK_000_P_SYNC_3_ Reg ; S6=1 S9=1 -Node 131 CLK_000_P_SYNC_4_ Reg ; S6=1 S9=1 -Node 211 CLK_000_P_SYNC_5_ Reg ; S6=1 S9=1 -Node 205 CLK_000_P_SYNC_6_ Reg ; S6=1 S9=1 -Node 256 CLK_000_P_SYNC_7_ Reg ; S6=1 S9=1 -Node 157 CLK_000_P_SYNC_8_ Reg ; S6=1 S9=1 -Node 184 CLK_000_N_SYNC_0_ Reg ; S6=1 S9=1 -Node 124 CLK_000_N_SYNC_1_ Reg ; S6=1 S9=1 -Node 118 CLK_000_N_SYNC_2_ Reg ; S6=1 S9=1 -Node 112 CLK_000_N_SYNC_3_ Reg ; S6=1 S9=1 -Node 178 CLK_000_N_SYNC_4_ Reg ; S6=1 S9=1 -Node 106 CLK_000_N_SYNC_5_ Reg ; S6=1 S9=1 -Node 194 CLK_000_N_SYNC_6_ Reg ; S6=1 S9=1 -Node 209 CLK_000_N_SYNC_7_ Reg ; S6=1 S9=1 -Node 122 CLK_000_N_SYNC_8_ Reg ; S6=1 S9=1 -Node 250 CLK_000_N_SYNC_9_ Reg ; S6=1 S9=1 +Node 163 RST_DLY_3_ Reg ; S6=1 S9=1 +Node 170 RST_DLY_4_ Reg ; S6=1 S9=1 +Node 139 RST_DLY_5_ Reg ; S6=1 S9=1 +Node 152 RST_DLY_6_ Reg ; S6=1 S9=1 +Node 164 RST_DLY_7_ Reg ; S6=1 S9=1 +Node 188 CLK_000_P_SYNC_0_ Reg ; S6=1 S9=1 +Node 166 CLK_000_P_SYNC_1_ Reg ; S6=1 S9=1 +Node 142 CLK_000_P_SYNC_2_ Reg ; S6=1 S9=1 +Node 160 CLK_000_P_SYNC_3_ Reg ; S6=1 S9=1 +Node 182 CLK_000_P_SYNC_4_ Reg ; S6=1 S9=1 +Node 236 CLK_000_P_SYNC_5_ Reg ; S6=1 S9=1 +Node 106 CLK_000_P_SYNC_6_ Reg ; S6=1 S9=1 +Node 122 CLK_000_P_SYNC_7_ Reg ; S6=1 S9=1 +Node 116 CLK_000_P_SYNC_8_ Reg ; S6=1 S9=1 +Node 230 CLK_000_N_SYNC_0_ Reg ; S6=1 S9=1 +Node 256 CLK_000_N_SYNC_1_ Reg ; S6=1 S9=1 +Node 250 CLK_000_N_SYNC_2_ Reg ; S6=1 S9=1 +Node 136 CLK_000_N_SYNC_3_ Reg ; S6=1 S9=1 +Node 130 CLK_000_N_SYNC_4_ Reg ; S6=1 S9=1 +Node 176 pos_clk_un29_clk_000_ne_d0_i_n Comb ; S6=1 S9=1 +Node 146 CLK_000_N_SYNC_5_ Reg ; S6=1 S9=1 +Node 110 CLK_000_N_SYNC_6_ Reg ; S6=1 S9=1 +Node 140 CLK_000_N_SYNC_7_ Reg ; S6=1 S9=1 +Node 266 CLK_000_N_SYNC_8_ Reg ; S6=1 S9=1 +Node 224 CLK_000_N_SYNC_9_ Reg ; S6=1 S9=1 Node 272 CLK_000_N_SYNC_10_ Reg ; S6=1 S9=1 -Node 104 inst_CLK_030_H Reg ; S6=1 S9=1 -Node 223 SM_AMIGA_1_ Reg ; S6=1 S9=1 -Node 236 SM_AMIGA_4_ Reg ; S6=1 S9=1 -Node 224 SM_AMIGA_2_ Reg ; S6=1 S9=1 -Node 167 inst_DS_000_ENABLE Reg ; S6=1 S9=1 -Node 221 SM_AMIGA_i_7_ Reg ; S6=1 S9=1 +Node 115 inst_CLK_030_H Reg ; S6=1 S9=1 +Node 151 SM_AMIGA_1_ Reg ; S6=1 S9=1 +Node 223 SM_AMIGA_4_ Reg ; S6=1 S9=1 +Node 169 SM_AMIGA_2_ Reg ; S6=1 S9=1 +Node 119 inst_DS_000_ENABLE Reg ; S6=1 S9=1 +Node 233 SM_AMIGA_i_7_ Reg ; S6=1 S9=1 +Node 205 CIIN_0 Comb ; S6=1 S9=1 ; Unused Pins & Nodes ; -> None Found. diff --git a/Logic/68030_tk.prd b/Logic/68030_tk.prd index dbc77c7..df6a884 100644 --- a/Logic/68030_tk.prd +++ b/Logic/68030_tk.prd @@ -5,8 +5,8 @@ |--------------------------------------------| -Start: Thu Jul 09 18:49:07 2015 -End : Thu Jul 09 18:49:07 2015 $$$ Elapsed time: 00:00:00 +Start: Thu Sep 24 16:21:08 2015 +End : Thu Sep 24 16:21:08 2015 $$$ Elapsed time: 00:00:00 =========================================================================== Part [C:/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] @@ -21,33 +21,33 @@ Part [C:/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] | | +- Signals to Place | | +----- Logic Array Inputs | | | +- Placed | | | +- Array Inputs Used _|____|____|____|_______________|____|_____________|___|________________ - 0 | 16 | 16 | 16 => 100% | 8 | 6 => 75% | 33 | 30 => 90% - 1.| 16 | 16 | 16 => 100% | 8 | 8 => 100% | 33 | 29 => 87% - 2 | 16 | 9 | 9 => 100% | 8 | 7 => 87% | 33 | 28 => 84% - 3 | 16 | 16 | 16 => 100% | 8 | 8 => 100% | 33 | 28 => 84% - 4 | 16 | 7 | 7 => 100% | 8 | 4 => 50% | 33 | 27 => 81% - 5 | 16 | 11 | 11 => 100% | 8 | 5 => 62% | 33 | 28 => 84% - 6 | 16 | 16 | 16 => 100% | 8 | 7 => 87% | 33 | 27 => 81% - 7 | 16 | 10 | 10 => 100% | 8 | 6 => 75% | 33 | 31 => 93% + 0 | 16 | 14 | 14 => 100% | 8 | 8 => 100% | 33 | 27 => 81% + 1 | 16 | 15 | 15 => 100% | 8 | 8 => 100% | 33 | 28 => 84% + 2 | 16 | 15 | 15 => 100% | 8 | 7 => 87% | 33 | 31 => 93% + 3 | 16 | 14 | 14 => 100% | 8 | 8 => 100% | 33 | 29 => 87% + 4 | 16 | 8 | 8 => 100% | 8 | 4 => 50% | 33 | 31 => 93% + 5 | 16 | 11 | 11 => 100% | 8 | 5 => 62% | 33 | 30 => 90% + 6 | 16 | 15 | 15 => 100% | 8 | 7 => 87% | 33 | 30 => 90% + 7 | 16 | 10 | 10 => 100% | 8 | 8 => 100% | 33 | 31 => 93% ---|----|----|------------|-------|------------|-----|------------------ - | Avg number of array inputs in used blocks : 28.50 => 86% + | Avg number of array inputs in used blocks : 29.63 => 89% -* Input/Clock Signal count: 28 -> placed: 28 = 100% +* Input/Clock Signal count: 32 -> placed: 32 = 100% Resources Available Used ----------------------------------------------------------------- Input Pins : 2 2 => 100% - I/O Pins : 64 51 => 79% + I/O Pins : 64 55 => 85% Clock Only Pins : 0 0 => 0% Clock/Input Pins : 4 4 => 100% Logic Blocks : 8 8 => 100% - Macrocells : 128 101 => 78% - PT Clusters : 128 59 => 46% + Macrocells : 128 102 => 79% + PT Clusters : 128 60 => 46% - Single PT Clusters : 128 53 => 41% Input Registers : 0 * Routing Completion: 100% -* Attempts: Place [ 1250] Route [ 0] +* Attempts: Place [ 146] Route [ 0] =========================================================================== Signal Fanout Table =========================================================================== @@ -57,9 +57,9 @@ _|____|____|____|_______________|____|_____________|___|________________ | | | +- Signal-to-Pin Assignment | | | | Fanout to Logic Blocks Signal Name ___|__|__|____|____________________________________________________________ - 1| 6| IO| 69|=> .1..|.5..| A0 + 1| 6| IO| 69|=> ....|..6.| A0 |=> Paired w/: RN_A0 - 2| 5|INP| 60|=> ....|..6.| A1 + 2| 5|INP| 60|=> ..2.|..6.| A1 3| 3|OUT| 33|=> ....|....| AMIGA_ADDR_ENABLE 4| 4|OUT| 48|=> ....|....| AMIGA_BUS_DATA_DIR 5| 3|OUT| 34|=> ....|....| AMIGA_BUS_ENABLE_HIGH @@ -67,161 +67,166 @@ ___|__|__|____|____________________________________________________________ 7| 4| IO| 42|=> 0...|4..7| AS_000 8| 7| IO| 82|=> ....|4..7| AS_030 9| 0|OUT| 92|=> ....|....| AVEC - 10| 0|INP| 96|=> ..2.|4..7| A_16_ - 11| 5|INP| 59|=> ..2.|4..7| A_17_ - 12| 0|INP| 95|=> ..2.|4..7| A_18_ - 13| 0|INP| 97|=> ..2.|4..7| A_19_ - 14| 2|INP| 19|=> ....|4...| A_24_ - 15| 2|INP| 18|=> ....|4...| A_25_ - 16| 2|INP| 17|=> ....|4...| A_26_ - 17| 2|INP| 16|=> ....|4...| A_27_ - 18| 2|INP| 15|=> ....|4...| A_28_ - 19| 1|INP| 6|=> ....|4...| A_29_ - 20| 1|INP| 5|=> ....|4...| A_30_ - 21| 1|INP| 4|=> ....|4...| A_31_ - 22| 4| IO| 41|=> ..2.|.5.7| BERR - 23| 3|INP| 28|=> ....|4..7| BGACK_000 - 24| 7| IO| 83|=> ....|....| BGACK_030 + 10| 0|INP| 96|=> ....|45.7| A_16_ + 11| 5|INP| 59|=> ....|45.7| A_17_ + 12| 0|INP| 95|=> ....|45.7| A_18_ + 13| 0|INP| 97|=> ....|45.7| A_19_ + 14| 0|INP| 93|=> ....|4...| A_20_ + 15| 0|INP| 94|=> ....|4...| A_21_ + 16| 7|INP| 84|=> ....|4...| A_22_ + 17| 7|INP| 85|=> ....|4...| A_23_ + 18| 2|INP| 19|=> ....|4...| A_24_ + 19| 2|INP| 18|=> ....|4...| A_25_ + 20| 2|INP| 17|=> ....|4...| A_26_ + 21| 2|INP| 16|=> ....|4...| A_27_ + 22| 2|INP| 15|=> ....|4...| A_28_ + 23| 1|INP| 6|=> ....|4...| A_29_ + 24| 1|INP| 5|=> ....|4...| A_30_ + 25| 1|INP| 4|=> ....|4...| A_31_ + 26| 4| IO| 41|=> 0.2.|.5.7| BERR + 27| 3|INP| 28|=> ....|4..7| BGACK_000 + 28| 7| IO| 83|=> ....|....| BGACK_030 |=> Paired w/: RN_BGACK_030 - 25| 3| IO| 29|=> ....|....| BG_000 + 29| 3| IO| 29|=> ....|....| BG_000 |=> Paired w/: RN_BG_000 - 26| 2|INP| 21|=> ...3|....| BG_030 - 27| 4|OUT| 47|=> ....|....| CIIN - 28| +|INP| 11|=> ....|..6.| CLK_000 - 29| 3|NOD| . |=> 0...|....| CLK_000_N_SYNC_0_ - 30| 7|NOD| . |=> ....|...7| CLK_000_N_SYNC_10_ - 31| 7|NOD| . |=> ....|..6.| CLK_000_N_SYNC_11_ - 32| 0|NOD| . |=> 0...|....| CLK_000_N_SYNC_1_ - 33| 0|NOD| . |=> 0...|....| CLK_000_N_SYNC_2_ - 34| 0|NOD| . |=> ...3|....| CLK_000_N_SYNC_3_ - 35| 3|NOD| . |=> 0...|....| CLK_000_N_SYNC_4_ - 36| 0|NOD| . |=> ...3|....| CLK_000_N_SYNC_5_ - 37| 3|NOD| . |=> ....|4...| CLK_000_N_SYNC_6_ - 38| 4|NOD| . |=> 0...|....| CLK_000_N_SYNC_7_ - 39| 0|NOD| . |=> ....|..6.| CLK_000_N_SYNC_8_ - 40| 6|NOD| . |=> ....|...7| CLK_000_N_SYNC_9_ - 41| 3|NOD| . |=> ....|..6.| CLK_000_P_SYNC_0_ - 42| 6|NOD| . |=> .1..|....| CLK_000_P_SYNC_1_ - 43| 1|NOD| . |=> ..2.|....| CLK_000_P_SYNC_2_ - 44| 2|NOD| . |=> .1..|....| CLK_000_P_SYNC_3_ - 45| 1|NOD| . |=> ....|4...| CLK_000_P_SYNC_4_ - 46| 4|NOD| . |=> ....|4...| CLK_000_P_SYNC_5_ - 47| 4|NOD| . |=> ....|..6.| CLK_000_P_SYNC_6_ - 48| 6|NOD| . |=> ..2.|....| CLK_000_P_SYNC_7_ - 49| 2|NOD| . |=> ..2.|....| CLK_000_P_SYNC_8_ - 50| 2|NOD| . |=> ...3|....| CLK_000_P_SYNC_9_ - 51| +|INP| 64|=> 01..|...7| CLK_030 - 52| 6|OUT| 65|=> ....|....| CLK_DIV_OUT - 53| 1|OUT| 10|=> ....|....| CLK_EXP - 54| +|Cin| 61|=> ....|....| CLK_OSZI - 55| 0|NOD| . |=> 0...|....| CYCLE_DMA_0_ - 56| 0|NOD| . |=> 0...|....| CYCLE_DMA_1_ - 57| 7| IO| 81|=> ....|....| DSACK1 + 30| 2|INP| 21|=> ...3|....| BG_030 + 31| 4|OUT| 47|=> ....|....| CIIN + 32| 4|NOD| . |=> ....|4...| CIIN_0 + 33| +|INP| 11|=> .1..|....| CLK_000 + 34| 5|NOD| . |=> ....|..6.| CLK_000_N_SYNC_0_ + 35| 7|NOD| . |=> ....|...7| CLK_000_N_SYNC_10_ + 36| 7|NOD| . |=> ....|.5..| CLK_000_N_SYNC_11_ + 37| 6|NOD| . |=> ....|..6.| CLK_000_N_SYNC_1_ + 38| 6|NOD| . |=> .1..|....| CLK_000_N_SYNC_2_ + 39| 1|NOD| . |=> .1..|....| CLK_000_N_SYNC_3_ + 40| 1|NOD| . |=> .1..|....| CLK_000_N_SYNC_4_ + 41| 1|NOD| . |=> 0...|....| CLK_000_N_SYNC_5_ + 42| 0|NOD| . |=> .1..|....| CLK_000_N_SYNC_6_ + 43| 1|NOD| . |=> ....|..6.| CLK_000_N_SYNC_7_ + 44| 6|NOD| . |=> ....|.5..| CLK_000_N_SYNC_8_ + 45| 5|NOD| . |=> ....|...7| CLK_000_N_SYNC_9_ + 46| 3|NOD| . |=> ..2.|....| CLK_000_P_SYNC_0_ + 47| 2|NOD| . |=> .1..|....| CLK_000_P_SYNC_1_ + 48| 1|NOD| . |=> ..2.|....| CLK_000_P_SYNC_2_ + 49| 2|NOD| . |=> ...3|....| CLK_000_P_SYNC_3_ + 50| 3|NOD| . |=> ....|.5..| CLK_000_P_SYNC_4_ + 51| 5|NOD| . |=> 0...|....| CLK_000_P_SYNC_5_ + 52| 0|NOD| . |=> 0...|....| CLK_000_P_SYNC_6_ + 53| 0|NOD| . |=> 0...|....| CLK_000_P_SYNC_7_ + 54| 0|NOD| . |=> 0...|....| CLK_000_P_SYNC_8_ + 55| 0|NOD| . |=> ..2.|....| CLK_000_P_SYNC_9_ + 56| +|INP| 64|=> 01..|...7| CLK_030 + 57| 6|OUT| 65|=> ....|....| CLK_DIV_OUT + 58| 1|OUT| 10|=> ....|....| CLK_EXP + 59| +|Cin| 61|=> ....|....| CLK_OSZI + 60| 0|NOD| . |=> 0...|....| CYCLE_DMA_0_ + 61| 0|NOD| . |=> 0...|....| CYCLE_DMA_1_ + 62| 7| IO| 81|=> ....|....| DSACK1 |=> Paired w/: RN_DSACK1 - 58| 0| IO| 98|=> ...3|....| DS_030 - 59| 3|INP| 30|=> ...3|....| DTACK - 60| 6| IO| 66|=> ....|....| E + 63| 0| IO| 98|=> ...3|....| DS_030 + 64| 3|INP| 30|=> .1..|....| DTACK + 65| 6| IO| 66|=> ....|....| E |=> Paired w/: RN_E - 61| 5|INP| 57|=> ..2.|4..7| FC_0_ - 62| 5|INP| 58|=> ..2.|4..7| FC_1_ - 63| 7|OUT| 78|=> ....|....| FPU_CS - 64| 0|INP| 91|=> ....|4..7| FPU_SENSE - 65| 1| IO| 8|=> ....|....| IPL_030_0_ + 66| 5|INP| 57|=> ....|45.7| FC_0_ + 67| 5|INP| 58|=> ....|45.7| FC_1_ + 68| 7|OUT| 78|=> ....|....| FPU_CS + 69| 0|INP| 91|=> ....|4..7| FPU_SENSE + 70| 1| IO| 8|=> ....|....| IPL_030_0_ |=> Paired w/: RN_IPL_030_0_ - 66| 1| IO| 7|=> ....|....| IPL_030_1_ + 71| 1| IO| 7|=> ....|....| IPL_030_1_ |=> Paired w/: RN_IPL_030_1_ - 67| 1| IO| 9|=> ....|....| IPL_030_2_ + 72| 1| IO| 9|=> ....|....| IPL_030_2_ |=> Paired w/: RN_IPL_030_2_ - 68| 6|INP| 67|=> .1..|....| IPL_0_ - 69| 5|INP| 56|=> .12.|....| IPL_1_ - 70| 6|INP| 68|=> .1..|....| IPL_2_ - 71| 1|NOD| . |=> .1..|....| IPL_D0_0_ - 72| 2|NOD| . |=> .1..|....| IPL_D0_1_ - 73| 1|NOD| . |=> .1..|....| IPL_D0_2_ - 74| 3| IO| 31|=> 0...|..6.| LDS_000 - 75| 5|NOD| . |=> ....|.5..| N_165 - 76| 1| IO| 3|=> ....|....| RESET + 73| 6|INP| 67|=> .1.3|....| IPL_0_ + 74| 5|INP| 56|=> .1.3|....| IPL_1_ + 75| 6|INP| 68|=> .1..|..6.| IPL_2_ + 76| 3|NOD| . |=> .1..|....| IPL_D0_0_ + 77| 3|NOD| . |=> .1..|....| IPL_D0_1_ + 78| 6|NOD| . |=> .1..|....| IPL_D0_2_ + 79| 3| IO| 31|=> 0...|..6.| LDS_000 + 80| 1| IO| 3|=> ....|....| RESET |=> Paired w/: RN_RESET - 77| 6|NOD| . |=> ....|..6.| RN_A0 + 81| 6|NOD| . |=> ....|..6.| RN_A0 |=> Paired w/: A0 - 78| 7|NOD| . |=> 0.23|4.67| RN_BGACK_030 + 82| 7|NOD| . |=> 0.23|4567| RN_BGACK_030 |=> Paired w/: BGACK_030 - 79| 3|NOD| . |=> ...3|....| RN_BG_000 + 83| 3|NOD| . |=> ...3|....| RN_BG_000 |=> Paired w/: BG_000 - 80| 7|NOD| . |=> ....|...7| RN_DSACK1 + 84| 7|NOD| . |=> ....|...7| RN_DSACK1 |=> Paired w/: DSACK1 - 81| 6|NOD| . |=> ...3|.56.| RN_E + 85| 6|NOD| . |=> ..23|..6.| RN_E |=> Paired w/: E - 82| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ + 86| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ |=> Paired w/: IPL_030_0_ - 83| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ + 87| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ |=> Paired w/: IPL_030_1_ - 84| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ + 88| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ |=> Paired w/: IPL_030_2_ - 85| 1|NOD| . |=> 01.3|4.67| RN_RESET + 89| 1|NOD| . |=> 01.3|4.67| RN_RESET |=> Paired w/: RESET - 86| 6|NOD| . |=> ....|..6.| RN_RW + 90| 6|NOD| . |=> ....|..6.| RN_RW |=> Paired w/: RW - 87| 7|NOD| . |=> ....|...7| RN_RW_000 + 91| 7|NOD| . |=> ....|...7| RN_RW_000 |=> Paired w/: RW_000 - 88| 3|NOD| . |=> ...3|.5..| RN_VMA + 92| 3|NOD| . |=> ..23|....| RN_VMA |=> Paired w/: VMA - 89| +|INP| 86|=> 0123|.567| RST - 90| 1|NOD| . |=> 01..|....| RST_DLY_0_ - 91| 0|NOD| . |=> 01..|....| RST_DLY_1_ - 92| 1|NOD| . |=> 01..|....| RST_DLY_2_ - 93| 1|NOD| . |=> 01..|....| RST_DLY_3_ - 94| 1|NOD| . |=> 01..|....| RST_DLY_4_ - 95| 0|NOD| . |=> 01..|....| RST_DLY_5_ - 96| 1|NOD| . |=> 01..|....| RST_DLY_6_ - 97| 0|NOD| . |=> 01..|....| RST_DLY_7_ - 98| 6| IO| 71|=> ..2.|...7| RW + 93| +|INP| 86|=> 0123|.567| RST + 94| 2|NOD| . |=> .12.|....| RST_DLY_0_ + 95| 1|NOD| . |=> .12.|....| RST_DLY_1_ + 96| 1|NOD| . |=> .12.|....| RST_DLY_2_ + 97| 2|NOD| . |=> .12.|....| RST_DLY_3_ + 98| 2|NOD| . |=> .12.|....| RST_DLY_4_ + 99| 1|NOD| . |=> .12.|....| RST_DLY_5_ + 100| 2|NOD| . |=> .12.|....| RST_DLY_6_ + 101| 2|NOD| . |=> .12.|....| RST_DLY_7_ + 102| 6| IO| 71|=> 0...|...7| RW |=> Paired w/: RN_RW - 99| 7| IO| 80|=> 0...|4.6.| RW_000 + 103| 7| IO| 80|=> 0...|4.6.| RW_000 |=> Paired w/: RN_RW_000 - 100| 6| IO| 70|=> .1..|....| SIZE_0_ - 101| 7| IO| 79|=> .1..|....| SIZE_1_ - 102| 6|NOD| . |=> ....|..67| SIZE_DMA_0_ - 103| 6|NOD| . |=> ....|..67| SIZE_DMA_1_ - 104| 5|NOD| . |=> ....|.5.7| SM_AMIGA_0_ - 105| 5|NOD| . |=> ....|.5.7| SM_AMIGA_1_ - 106| 5|NOD| . |=> ....|.5..| SM_AMIGA_2_ - 107| 5|NOD| . |=> ..2.|.5..| SM_AMIGA_3_ - 108| 5|NOD| . |=> ....|.5..| SM_AMIGA_4_ - 109| 5|NOD| . |=> ..2.|.5.7| SM_AMIGA_5_ - 110| 2|NOD| . |=> .12.|.5..| SM_AMIGA_6_ - 111| 5|NOD| . |=> ..23|...7| SM_AMIGA_i_7_ - 112| 3| IO| 32|=> 0...|..6.| UDS_000 - 113| 3| IO| 35|=> ....|....| VMA + 104| 6| IO| 70|=> ....|..6.| SIZE_0_ + 105| 7| IO| 79|=> ....|..6.| SIZE_1_ + 106| 6|NOD| . |=> ....|..67| SIZE_DMA_0_ + 107| 6|NOD| . |=> ....|..67| SIZE_DMA_1_ + 108| 5|NOD| . |=> ....|.5.7| SM_AMIGA_0_ + 109| 2|NOD| . |=> ..2.|.5.7| SM_AMIGA_1_ + 110| 2|NOD| . |=> ..2.|.5..| SM_AMIGA_2_ + 111| 2|NOD| . |=> 0.2.|.5..| SM_AMIGA_3_ + 112| 5|NOD| . |=> ..2.|.5..| SM_AMIGA_4_ + 113| 5|NOD| . |=> 0...|.5.7| SM_AMIGA_5_ + 114| 5|NOD| . |=> ....|.56.| SM_AMIGA_6_ + 115| 5|NOD| . |=> ...3|.5.7| SM_AMIGA_i_7_ + 116| 3| IO| 32|=> 0...|..6.| UDS_000 + 117| 3| IO| 35|=> ....|....| VMA |=> Paired w/: RN_VMA - 114| +|INP| 36|=> ....|.5..| VPA - 115| 3|NOD| . |=> ...3|.56.| cpu_est_0_ - 116| 3|NOD| . |=> ...3|.56.| cpu_est_1_ - 117| 3|NOD| . |=> ...3|.56.| cpu_est_2_ - 118| 6|NOD| . |=> ...3|..6.| inst_AMIGA_BUS_ENABLE_DMA_HIGH - 119| 6|NOD| . |=> ..2.|..6.| inst_AMIGA_BUS_ENABLE_DMA_LOW - 120| 0|NOD| . |=> 0...|...7| inst_AS_000_DMA - 121| 2|NOD| . |=> ..2.|4...| inst_AS_000_INT - 122| 2|NOD| . |=> ..2.|.5..| inst_AS_030_000_SYNC - 123| 7|NOD| . |=> ..23|4..7| inst_AS_030_D0 - 124| 7|NOD| . |=> ..2.|..6.| inst_BGACK_030_INT_D - 125| 6|NOD| . |=> ..23|.5..| inst_CLK_000_D0 - 126| 5|NOD| . |=> ..23|.5..| inst_CLK_000_D1 - 127| 6|NOD| . |=> 01.3|.5..| inst_CLK_000_NE - 128| 1|NOD| . |=> ...3|.56.| inst_CLK_000_NE_D0 - 129| 3|NOD| . |=> 0.23|.5.7| inst_CLK_000_PE - 130| 0|NOD| . |=> 0...|....| inst_CLK_030_H - 131| 0|NOD| . |=> 0...|..6.| inst_CLK_OUT_PRE_50 - 132| 6|NOD| . |=> ....|...7| inst_CLK_OUT_PRE_D - 133| 0|NOD| . |=> 0...|....| inst_DS_000_DMA - 134| 2|NOD| . |=> ..23|....| inst_DS_000_ENABLE - 135| 3|NOD| . |=> .1..|.5..| inst_DS_030_D0 - 136| 3|NOD| . |=> ....|.5..| inst_DTACK_D0 - 137| 1|NOD| . |=> .1.3|....| inst_LDS_000_INT - 138| 5|NOD| . |=> ...3|.5..| inst_UDS_000_INT - 139| 5|NOD| . |=> ...3|.5..| inst_VPA_D - 140| 6|NOD| . |=> 0.23|4567| inst_nEXP_SPACE_D0reg - 141| +|INP| 14|=> ....|..6.| nEXP_SPACE + 118| +|INP| 36|=> ...3|....| VPA + 119| 2|NOD| . |=> ..23|..6.| cpu_est_0_ + 120| 6|NOD| . |=> ..23|..6.| cpu_est_1_ + 121| 2|NOD| . |=> ..23|..6.| cpu_est_2_ + 122| 6|NOD| . |=> ...3|..6.| inst_AMIGA_BUS_ENABLE_DMA_HIGH + 123| 2|NOD| . |=> ..2.|....| inst_AMIGA_BUS_ENABLE_DMA_LOW + 124| 0|NOD| . |=> 0...|...7| inst_AS_000_DMA + 125| 0|NOD| . |=> 0...|4...| inst_AS_000_INT + 126| 5|NOD| . |=> ....|.5..| inst_AS_030_000_SYNC + 127| 7|NOD| . |=> 0..3|45.7| inst_AS_030_D0 + 128| 7|NOD| . |=> ..2.|.56.| inst_BGACK_030_INT_D + 129| 1|NOD| . |=> ...3|.5..| inst_CLK_000_D0 + 130| 3|NOD| . |=> ...3|.5..| inst_CLK_000_D1 + 131| 5|NOD| . |=> .123|45..| inst_CLK_000_NE + 132| 4|NOD| . |=> ..23|..6.| inst_CLK_000_NE_D0 + 133| 2|NOD| . |=> 0.23|.5.7| inst_CLK_000_PE + 134| 0|NOD| . |=> 0...|....| inst_CLK_030_H + 135| 4|NOD| . |=> ....|4...| inst_CLK_OUT_PRE_50 + 136| 4|NOD| . |=> ....|...7| inst_CLK_OUT_PRE_D + 137| 0|NOD| . |=> 0...|....| inst_DS_000_DMA + 138| 0|NOD| . |=> 0..3|....| inst_DS_000_ENABLE + 139| 3|NOD| . |=> ....|..6.| inst_DS_030_D0 + 140| 1|NOD| . |=> ..23|....| inst_DTACK_D0 + 141| 6|NOD| . |=> ...3|..6.| inst_LDS_000_INT + 142| 6|NOD| . |=> ...3|..6.| inst_UDS_000_INT + 143| 3|NOD| . |=> ..23|....| inst_VPA_D + 144| 5|NOD| . |=> 0..3|4567| inst_nEXP_SPACE_D0reg + 145| +|INP| 14|=> ....|.5..| nEXP_SPACE + 146| 3|NOD| . |=> ....|.5..| pos_clk_un29_clk_000_ne_d0_i_n --------------------------------------------------------------------------- =========================================================================== < C:/ispLever/ispcpld/dat/mach4a/mach447a Device Pin Assignments > @@ -313,8 +318,8 @@ ____|_____|_________|______________________________________________________ 81 | I_O | 7_04|*| DSACK1 82 | I_O | 7_03|*| AS_030 83 | I_O | 7_02|*| BGACK_030 - 84 | I_O | 7_01| | - - 85 | I_O | 7_00| | - + 84 | I_O | 7_01|*| A_22_ + 85 | I_O | 7_00|*| A_23_ 86 | Inp | |*| RST 87 | Vcc | | | (pwr/test) 88 | GND | | | (pwr/test) @@ -322,8 +327,8 @@ ____|_____|_________|______________________________________________________ 90 | Vcc | | | (pwr/test) 91 | I_O | 0_00|*| FPU_SENSE 92 | I_O | 0_01|*| AVEC - 93 | I_O | 0_02| | - - 94 | I_O | 0_03| | - + 93 | I_O | 0_02|*| A_20_ + 94 | I_O | 0_03|*| A_21_ 95 | I_O | 0_04|*| A_18_ 96 | I_O | 0_05|*| A_16_ 97 | I_O | 0_06|*| A_19_ @@ -342,21 +347,21 @@ ____|_____|_________|______________________________________________________ | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| DS_030| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| RST_DLY_1_|NOD| | S | 4 | 4 to [ 1]| 1 XOR free - 2|inst_CLK_030_H|NOD| | S | 8 | 4 to [ 2]| 1 XOR to [ 2] as logic PT - 3|CLK_000_N_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig - 4| AVEC|OUT| | S | 1 | 4 to [ 2]| 1 XOR to [ 4] for 1 PT sig - 5| RST_DLY_7_|NOD| | S | 2 | 4 to [ 5]| 1 XOR free - 6| CYCLE_DMA_1_|NOD| | S | 3 | 4 to [ 6]| 1 XOR free - 7|CLK_000_N_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig + 1|inst_AS_000_INT|NOD| | S | 2 | 4 to [ 1]| 1 XOR free + 2| CYCLE_DMA_0_|NOD| | S | 2 | 4 to [ 2]| 1 XOR free + 3|CLK_000_P_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig + 4| AVEC|OUT| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig + 5|inst_DS_000_DMA|NOD| | S | 9 | 4 to [ 5]| 1 XOR to [ 5] as logic PT + 6|CLK_000_N_SYNC_6_|NOD| | S | 1 | 4 to [ 5]| 1 XOR to [ 6] for 1 PT sig + 7|CLK_000_P_SYNC_9_|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [ 7] for 1 PT sig 8|inst_AS_000_DMA|NOD| | S | 7 | 4 to [ 8]| 1 XOR to [ 8] as logic PT - 9|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [ 9] for 1 PT sig -10| CYCLE_DMA_0_|NOD| | S | 2 | 4 to [10]| 1 XOR free -11|CLK_000_N_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig -12| RST_DLY_5_|NOD| | S | 4 | 4 to [12]| 1 XOR free -13|inst_DS_000_DMA|NOD| | S | 9 | 4 to [13]| 1 XOR to [13] as logic PT -14|CLK_000_N_SYNC_8_|NOD| | S | 1 | 4 to [13]| 1 XOR to [14] for 1 PT sig -15|CLK_000_N_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig + 9|inst_CLK_030_H|NOD| | S | 8 | 4 to [ 9]| 1 XOR to [ 9] as logic PT +10|CLK_000_P_SYNC_8_|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [10] for 1 PT sig +11| | ? | | S | | 4 free | 1 XOR free +12|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [12]| 1 XOR free +13| CYCLE_DMA_1_|NOD| | S | 3 | 4 to [13]| 1 XOR free +14|CLK_000_P_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig +15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== < Block [ 0] > Maximum PT Capacity @@ -369,21 +374,21 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ 0| DS_030| IO| | S | 1 |=> can support up to [ 5] logic PT(s) - 1| RST_DLY_1_|NOD| | S | 4 |=> can support up to [ 13] logic PT(s) - 2|inst_CLK_030_H|NOD| | S | 8 |=> can support up to [ 13] logic PT(s) - 3|CLK_000_N_SYNC_5_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 4| AVEC|OUT| | S | 1 |=> can support up to [ 5] logic PT(s) - 5| RST_DLY_7_|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) - 6| CYCLE_DMA_1_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) - 7|CLK_000_N_SYNC_3_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 8|inst_AS_000_DMA|NOD| | S | 7 |=> can support up to [ 13] logic PT(s) - 9|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) -10| CYCLE_DMA_0_|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) -11|CLK_000_N_SYNC_2_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) -12| RST_DLY_5_|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) -13|inst_DS_000_DMA|NOD| | S | 9 |=> can support up to [ 13] logic PT(s) -14|CLK_000_N_SYNC_8_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) -15|CLK_000_N_SYNC_1_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 1|inst_AS_000_INT|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) + 2| CYCLE_DMA_0_|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) + 3|CLK_000_P_SYNC_6_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 4| AVEC|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) + 5|inst_DS_000_DMA|NOD| | S | 9 |=> can support up to [ 13] logic PT(s) + 6|CLK_000_N_SYNC_6_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) + 7|CLK_000_P_SYNC_9_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) + 8|inst_AS_000_DMA|NOD| | S | 7 |=> can support up to [ 9] logic PT(s) + 9|inst_CLK_030_H|NOD| | S | 8 |=> can support up to [ 14] logic PT(s) +10|CLK_000_P_SYNC_8_|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) +11| | ? | | S | |=> can support up to [ 5] logic PT(s) +12|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) +13| CYCLE_DMA_1_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) +14|CLK_000_P_SYNC_7_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +15| | ? | | S | |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 0] > Node-Pin Assignments @@ -394,21 +399,21 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0| DS_030| IO| | => | 5 6 ( 7) 0 | 96 97 ( 98) 91 - 1| RST_DLY_1_|NOD| | => | 5 6 7 0 | 96 97 98 91 - 2|inst_CLK_030_H|NOD| | => | 6 7 0 1 | 97 98 91 92 - 3|CLK_000_N_SYNC_5_|NOD| | => | 6 7 0 1 | 97 98 91 92 + 1|inst_AS_000_INT|NOD| | => | 5 6 7 0 | 96 97 98 91 + 2| CYCLE_DMA_0_|NOD| | => | 6 7 0 1 | 97 98 91 92 + 3|CLK_000_P_SYNC_6_|NOD| | => | 6 7 0 1 | 97 98 91 92 4| AVEC|OUT| | => | 7 0 ( 1) 2 | 98 91 ( 92) 93 - 5| RST_DLY_7_|NOD| | => | 7 0 1 2 | 98 91 92 93 - 6| CYCLE_DMA_1_|NOD| | => | 0 1 2 3 | 91 92 93 94 - 7|CLK_000_N_SYNC_3_|NOD| | => | 0 1 2 3 | 91 92 93 94 + 5|inst_DS_000_DMA|NOD| | => | 7 0 1 2 | 98 91 92 93 + 6|CLK_000_N_SYNC_6_|NOD| | => | 0 1 2 3 | 91 92 93 94 + 7|CLK_000_P_SYNC_9_|NOD| | => | 0 1 2 3 | 91 92 93 94 8|inst_AS_000_DMA|NOD| | => | 1 2 3 4 | 92 93 94 95 - 9|inst_CLK_OUT_PRE_50|NOD| | => | 1 2 3 4 | 92 93 94 95 -10| CYCLE_DMA_0_|NOD| | => | 2 3 4 5 | 93 94 95 96 -11|CLK_000_N_SYNC_2_|NOD| | => | 2 3 4 5 | 93 94 95 96 -12| RST_DLY_5_|NOD| | => | 3 4 5 6 | 94 95 96 97 -13|inst_DS_000_DMA|NOD| | => | 3 4 5 6 | 94 95 96 97 -14|CLK_000_N_SYNC_8_|NOD| | => | 4 5 6 7 | 95 96 97 98 -15|CLK_000_N_SYNC_1_|NOD| | => | 4 5 6 7 | 95 96 97 98 + 9|inst_CLK_030_H|NOD| | => | 1 2 3 4 | 92 93 94 95 +10|CLK_000_P_SYNC_8_|NOD| | => | 2 3 4 5 | 93 94 95 96 +11| | | | => | 2 3 4 5 | 93 94 95 96 +12|inst_DS_000_ENABLE|NOD| | => | 3 4 5 6 | 94 95 96 97 +13| CYCLE_DMA_1_|NOD| | => | 3 4 5 6 | 94 95 96 97 +14|CLK_000_P_SYNC_7_|NOD| | => | 4 5 6 7 | 95 96 97 98 +15| | | | => | 4 5 6 7 | 95 96 97 98 --------------------------------------------------------------------------- =========================================================================== < Block [ 0] > IO-to-Node Pin Mapping @@ -421,8 +426,8 @@ _|_________________|__|_____|____________________|________________________ _|_________________|__|___|_____|___________________________________________ 0| FPU_SENSE|INP|*| 91| => | 0 1 2 3 4 5 6 7 1| AVEC|OUT|*| 92| => | 2 3 ( 4) 5 6 7 8 9 - 2| | | | 93| => | 4 5 6 7 8 9 10 11 - 3| | | | 94| => | 6 7 8 9 10 11 12 13 + 2| A_20_|INP|*| 93| => | 4 5 6 7 8 9 10 11 + 3| A_21_|INP|*| 94| => | 6 7 8 9 10 11 12 13 4| A_18_|INP|*| 95| => | 8 9 10 11 12 13 14 15 5| A_16_|INP|*| 96| => | 10 11 12 13 14 15 0 1 6| A_19_|INP|*| 97| => | 12 13 14 15 0 1 2 3 @@ -439,8 +444,8 @@ _|_________________|__|___|_____|___________________________________________ _|_________________|__|___|_____|__________________________________________ 0| FPU_SENSE|INP|*| 91| => | Input macrocell [ -] 1| AVEC|OUT|*| 92| => | Input macrocell [ -] - 2| | | | 93| => | Input macrocell [ -] - 3| | | | 94| => | Input macrocell [ -] + 2| A_20_|INP|*| 93| => | Input macrocell [ -] + 3| A_21_|INP|*| 94| => | Input macrocell [ -] 4| A_18_|INP|*| 95| => | Input macrocell [ -] 5| A_16_|INP|*| 96| => | Input macrocell [ -] 6| A_19_|INP|*| 97| => | Input macrocell [ -] @@ -458,42 +463,42 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 91|INP FPU_SENSE|*|*] [RegIn 0 |102| -| | ] [MCell 0 |101| IO DS_030| | ] - [MCell 1 |103|NOD RST_DLY_1_| |*] + [MCell 1 |103|NOD inst_AS_000_INT| |*] 1 [IOpin 1 | 92|OUT AVEC|*| ] [RegIn 1 |105| -| | ] - [MCell 2 |104|NOD inst_CLK_030_H| |*] - [MCell 3 |106|NOD CLK_000_N_SYNC_5_| |*] + [MCell 2 |104|NOD CYCLE_DMA_0_| |*] + [MCell 3 |106|NOD CLK_000_P_SYNC_6_| |*] - 2 [IOpin 2 | 93| -| | ] + 2 [IOpin 2 | 93|INP A_20_|*|*] [RegIn 2 |108| -| | ] [MCell 4 |107|OUT AVEC| | ] - [MCell 5 |109|NOD RST_DLY_7_| |*] + [MCell 5 |109|NOD inst_DS_000_DMA| |*] - 3 [IOpin 3 | 94| -| | ] + 3 [IOpin 3 | 94|INP A_21_|*|*] [RegIn 3 |111| -| | ] - [MCell 6 |110|NOD CYCLE_DMA_1_| |*] - [MCell 7 |112|NOD CLK_000_N_SYNC_3_| |*] + [MCell 6 |110|NOD CLK_000_N_SYNC_6_| |*] + [MCell 7 |112|NOD CLK_000_P_SYNC_9_| |*] 4 [IOpin 4 | 95|INP A_18_|*|*] [RegIn 4 |114| -| | ] [MCell 8 |113|NOD inst_AS_000_DMA| |*] - [MCell 9 |115|NOD inst_CLK_OUT_PRE_50| |*] + [MCell 9 |115|NOD inst_CLK_030_H| |*] 5 [IOpin 5 | 96|INP A_16_|*|*] [RegIn 5 |117| -| | ] - [MCell 10 |116|NOD CYCLE_DMA_0_| |*] - [MCell 11 |118|NOD CLK_000_N_SYNC_2_| |*] + [MCell 10 |116|NOD CLK_000_P_SYNC_8_| |*] + [MCell 11 |118| -| | ] 6 [IOpin 6 | 97|INP A_19_|*|*] [RegIn 6 |120| -| | ] - [MCell 12 |119|NOD RST_DLY_5_| |*] - [MCell 13 |121|NOD inst_DS_000_DMA| |*] + [MCell 12 |119|NOD inst_DS_000_ENABLE| |*] + [MCell 13 |121|NOD CYCLE_DMA_1_| |*] 7 [IOpin 7 | 98| IO DS_030|*|*] [RegIn 7 |123| -| | ] - [MCell 14 |122|NOD CLK_000_N_SYNC_8_| |*] - [MCell 15 |124|NOD CLK_000_N_SYNC_1_| |*] + [MCell 14 |122|NOD CLK_000_P_SYNC_7_| |*] + [MCell 15 |124| -| | ] --------------------------------------------------------------------------- =========================================================================== < Block [ 0] > Logic Array Fan-in @@ -501,39 +506,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| Mcel 1 12 ( 143)| RST_DLY_4_ -Mux02| Mcel 1 10 ( 140)| RST_DLY_0_ -Mux03| Mcel 0 8 ( 113)| inst_AS_000_DMA +Mux00| Mcel 0 13 ( 121)| CYCLE_DMA_1_ +Mux01| Mcel 5 12 ( 239)| SM_AMIGA_5_ +Mux02| Mcel 5 10 ( 236)| CLK_000_P_SYNC_5_ +Mux03| Mcel 0 14 ( 122)| CLK_000_P_SYNC_7_ Mux04| Input Pin ( 64)| CLK_030 -Mux05| ... | ... -Mux06| IOPin 7 5 ( 80)| RW_000 -Mux07| Mcel 0 11 ( 118)| CLK_000_N_SYNC_2_ -Mux08| Mcel 4 8 ( 209)| CLK_000_N_SYNC_7_ -Mux09| Mcel 0 1 ( 103)| RST_DLY_1_ -Mux10| Mcel 0 6 ( 110)| CYCLE_DMA_1_ -Mux11| ... | ... -Mux12| Mcel 3 9 ( 187)| inst_CLK_000_PE -Mux13| Mcel 0 15 ( 124)| CLK_000_N_SYNC_1_ -Mux14| Mcel 0 10 ( 116)| CYCLE_DMA_0_ -Mux15| Mcel 0 12 ( 119)| RST_DLY_5_ +Mux05| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg +Mux06| Mcel 2 4 ( 155)| inst_CLK_000_PE +Mux07| Mcel 2 8 ( 161)| SM_AMIGA_3_ +Mux08| IOPin 3 3 ( 32)| UDS_000 +Mux09| Mcel 1 14 ( 146)| CLK_000_N_SYNC_5_ +Mux10| ... | ... +Mux11| IOPin 6 6 ( 71)| RW +Mux12| Mcel 0 1 ( 103)| inst_AS_000_INT +Mux13| Mcel 7 5 ( 277)| inst_AS_030_D0 +Mux14| Mcel 0 10 ( 116)| CLK_000_P_SYNC_8_ +Mux15| Mcel 0 12 ( 119)| inst_DS_000_ENABLE Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| Mcel 1 11 ( 142)| RST_DLY_6_ -Mux18| Mcel 0 5 ( 109)| RST_DLY_7_ -Mux19| Mcel 0 9 ( 115)| inst_CLK_OUT_PRE_50 -Mux20| IOPin 3 3 ( 32)| UDS_000 -Mux21| Mcel 3 7 ( 184)| CLK_000_N_SYNC_0_ -Mux22| Mcel 3 3 ( 178)| CLK_000_N_SYNC_4_ -Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux17| IOPin 4 0 ( 41)| BERR +Mux18| Mcel 0 5 ( 109)| inst_DS_000_DMA +Mux19| Mcel 0 9 ( 115)| inst_CLK_030_H +Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux21| Input Pin ( 86)| RST +Mux22| Mcel 0 2 ( 104)| CYCLE_DMA_0_ +Mux23| ... | ... Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| Mcel 0 2 ( 104)| inst_CLK_030_H -Mux26| Mcel 1 0 ( 125)| RST_DLY_3_ -Mux27| Mcel 6 9 ( 259)| inst_CLK_000_NE -Mux28| Mcel 0 13 ( 121)| inst_DS_000_DMA -Mux29| Mcel 1 14 ( 146)| RN_RESET -Mux30| ... | ... -Mux31| Mcel 1 5 ( 133)| RST_DLY_2_ -Mux32| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg +Mux25| Mcel 0 3 ( 106)| CLK_000_P_SYNC_6_ +Mux26| Mcel 1 0 ( 125)| RN_RESET +Mux27| ... | ... +Mux28| IOPin 7 5 ( 80)| RW_000 +Mux29| ... | ... +Mux30| Mcel 0 8 ( 113)| inst_AS_000_DMA +Mux31| ... | ... +Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Macrocell (MCell) Cluster Assignments @@ -545,22 +550,22 @@ Mux32| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg | Sig Type-+ | | | | | | | XOR to Mcell Assignment | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| RST_DLY_3_|NOD| | S | 6 | 4 to [ 0]| 1 XOR to [ 0] as logic PT - 1| CLK_EXP|OUT| | S | 1 | 4 to [ 0]| 1 XOR to [ 1] for 1 PT sig - 2| IPL_030_2_| IO| | S |10 | 4 to [ 2]| 1 XOR to [ 2] as logic PT - 3|CLK_000_P_SYNC_2_|NOD| | S | 1 | 4 to [ 2]| 1 XOR to [ 3] for 1 PT sig - 4|CLK_000_P_SYNC_4_|NOD| | S | 1 | 4 to [ 2]| 1 XOR to [ 4] for 1 PT sig + 0| RESET| IO| | S | 2 | 4 to [ 0]| 1 XOR free + 1| CLK_EXP|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig + 2|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 3|CLK_000_N_SYNC_4_|NOD| | S | 1 | 4 to [ 4]| 1 XOR to [ 3] for 1 PT sig + 4| IPL_030_2_| IO| | S |10 | 4 to [ 4]| 1 XOR to [ 4] as logic PT 5| RST_DLY_2_|NOD| | S | 5 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6| IPL_030_1_| IO| | S |10 | 4 to [ 6]| 1 XOR to [ 6] as logic PT - 7| IPL_D0_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig - 8| IPL_030_0_| IO| | S |10 | 4 to [ 6]| 1 XOR to [ 6] as logic PT - 9|inst_LDS_000_INT|NOD| | S | 4 | 4 to [ 8]| 1 XOR to [ 8] as logic PT -10| RST_DLY_0_|NOD| | S | 3 | 4 to [ 8]| 1 XOR to [ 8] as logic PT -11| RST_DLY_6_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free -12| RST_DLY_4_|NOD| | S | 2 :+: 1| 4 to [10]| 1 XOR to [12] -13| IPL_D0_2_|NOD| | S | 1 | 4 to [11]| 1 XOR to [13] for 1 PT sig -14| RESET| IO| | S | 2 | 4 to [12]| 1 XOR free -15|inst_CLK_000_NE_D0|NOD| | S | 1 | 4 to [14]| 1 XOR to [15] for 1 PT sig + 6| inst_DTACK_D0|NOD| | S | 1 | 4 to [ 4]| 1 XOR to [ 6] for 1 PT sig + 7|CLK_000_N_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig + 8| IPL_030_0_| IO| | S |10 | 4 to [ 8]| 1 XOR to [ 8] as logic PT + 9| RST_DLY_5_|NOD| | S | 4 | 4 to [ 8]| 1 XOR to [ 8] as logic PT +10|CLK_000_N_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig +11|CLK_000_P_SYNC_2_|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [11] for 1 PT sig +12| IPL_030_1_| IO| | S |10 | 4 to [12]| 1 XOR to [12] as logic PT +13| RST_DLY_1_|NOD| | S | 4 | 4 to [12]| 1 XOR to [12] as logic PT +14|CLK_000_N_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig +15| | ? | | S | | 4 to [13]| 1 XOR free --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Maximum PT Capacity @@ -572,22 +577,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| RST_DLY_3_|NOD| | S | 6 |=> can support up to [ 9] logic PT(s) - 1| CLK_EXP|OUT| | S | 1 |=> can support up to [ 1] logic PT(s) - 2| IPL_030_2_| IO| | S |10 |=> can support up to [ 13] logic PT(s) - 3|CLK_000_P_SYNC_2_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) - 4|CLK_000_P_SYNC_4_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) + 0| RESET| IO| | S | 2 |=> can support up to [ 13] logic PT(s) + 1| CLK_EXP|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) + 2|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 3|CLK_000_N_SYNC_4_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 4| IPL_030_2_| IO| | S |10 |=> can support up to [ 13] logic PT(s) 5| RST_DLY_2_|NOD| | S | 5 |=> can support up to [ 9] logic PT(s) - 6| IPL_030_1_| IO| | S |10 |=> can support up to [ 14] logic PT(s) - 7| IPL_D0_0_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 8| IPL_030_0_| IO| | S |10 |=> can support up to [ 14] logic PT(s) - 9|inst_LDS_000_INT|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) -10| RST_DLY_0_|NOD| | S | 3 |=> can support up to [ 4] logic PT(s) -11| RST_DLY_6_|NOD| | S | 3 |=> can support up to [ 4] logic PT(s) -12| RST_DLY_4_|NOD| | S | 2 :+: 1|=> can support up to [ 5] logic PT(s) -13| IPL_D0_2_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) -14| RESET| IO| | S | 2 |=> can support up to [ 4] logic PT(s) -15|inst_CLK_000_NE_D0|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) + 6| inst_DTACK_D0|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 7|CLK_000_N_SYNC_3_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 8| IPL_030_0_| IO| | S |10 |=> can support up to [ 18] logic PT(s) + 9| RST_DLY_5_|NOD| | S | 4 |=> can support up to [ 8] logic PT(s) +10|CLK_000_N_SYNC_7_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +11|CLK_000_P_SYNC_2_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +12| IPL_030_1_| IO| | S |10 |=> can support up to [ 14] logic PT(s) +13| RST_DLY_1_|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) +14|CLK_000_N_SYNC_5_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +15| | ? | | S | |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Node-Pin Assignments @@ -597,22 +602,22 @@ _|_________________|__|__|___|_____|_______________________________________ | Sig Type---+ | to | Block [ 1] IO Pin | Device Pin | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ - 0| RST_DLY_3_|NOD| | => | 5 6 7 0 | 5 4 3 10 + 0| RESET| IO| | => | 5 6 ( 7) 0 | 5 4 ( 3) 10 1| CLK_EXP|OUT| | => | 5 6 7 ( 0)| 5 4 3 ( 10) - 2| IPL_030_2_| IO| | => | 6 7 0 ( 1)| 4 3 10 ( 9) - 3|CLK_000_P_SYNC_2_|NOD| | => | 6 7 0 1 | 4 3 10 9 - 4|CLK_000_P_SYNC_4_|NOD| | => | 7 0 1 2 | 3 10 9 8 + 2|inst_CLK_000_D0|NOD| | => | 6 7 0 1 | 4 3 10 9 + 3|CLK_000_N_SYNC_4_|NOD| | => | 6 7 0 1 | 4 3 10 9 + 4| IPL_030_2_| IO| | => | 7 0 ( 1) 2 | 3 10 ( 9) 8 5| RST_DLY_2_|NOD| | => | 7 0 1 2 | 3 10 9 8 - 6| IPL_030_1_| IO| | => | 0 1 2 ( 3)| 10 9 8 ( 7) - 7| IPL_D0_0_|NOD| | => | 0 1 2 3 | 10 9 8 7 + 6| inst_DTACK_D0|NOD| | => | 0 1 2 3 | 10 9 8 7 + 7|CLK_000_N_SYNC_3_|NOD| | => | 0 1 2 3 | 10 9 8 7 8| IPL_030_0_| IO| | => | 1 ( 2) 3 4 | 9 ( 8) 7 6 - 9|inst_LDS_000_INT|NOD| | => | 1 2 3 4 | 9 8 7 6 -10| RST_DLY_0_|NOD| | => | 2 3 4 5 | 8 7 6 5 -11| RST_DLY_6_|NOD| | => | 2 3 4 5 | 8 7 6 5 -12| RST_DLY_4_|NOD| | => | 3 4 5 6 | 7 6 5 4 -13| IPL_D0_2_|NOD| | => | 3 4 5 6 | 7 6 5 4 -14| RESET| IO| | => | 4 5 6 ( 7)| 6 5 4 ( 3) -15|inst_CLK_000_NE_D0|NOD| | => | 4 5 6 7 | 6 5 4 3 + 9| RST_DLY_5_|NOD| | => | 1 2 3 4 | 9 8 7 6 +10|CLK_000_N_SYNC_7_|NOD| | => | 2 3 4 5 | 8 7 6 5 +11|CLK_000_P_SYNC_2_|NOD| | => | 2 3 4 5 | 8 7 6 5 +12| IPL_030_1_| IO| | => |( 3) 4 5 6 |( 7) 6 5 4 +13| RST_DLY_1_|NOD| | => | 3 4 5 6 | 7 6 5 4 +14|CLK_000_N_SYNC_5_|NOD| | => | 4 5 6 7 | 6 5 4 3 +15| | | | => | 4 5 6 7 | 6 5 4 3 --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > IO-to-Node Pin Mapping @@ -624,13 +629,13 @@ _|_________________|__|_____|____________________|________________________ | Signal Name | | | | Node Destinations Via Output Matrix _|_________________|__|___|_____|___________________________________________ 0| CLK_EXP|OUT|*| 10| => | 0 ( 1) 2 3 4 5 6 7 - 1| IPL_030_2_| IO|*| 9| => | ( 2) 3 4 5 6 7 8 9 + 1| IPL_030_2_| IO|*| 9| => | 2 3 ( 4) 5 6 7 8 9 2| IPL_030_0_| IO|*| 8| => | 4 5 6 7 ( 8) 9 10 11 - 3| IPL_030_1_| IO|*| 7| => | ( 6) 7 8 9 10 11 12 13 + 3| IPL_030_1_| IO|*| 7| => | 6 7 8 9 10 11 (12) 13 4| A_29_|INP|*| 6| => | 8 9 10 11 12 13 14 15 5| A_30_|INP|*| 5| => | 10 11 12 13 14 15 0 1 6| A_31_|INP|*| 4| => | 12 13 14 15 0 1 2 3 - 7| RESET| IO|*| 3| => | (14) 15 0 1 2 3 4 5 + 7| RESET| IO|*| 3| => | 14 15 ( 0) 1 2 3 4 5 --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > IO/Node and IO/Input Macrocell Pairing Table @@ -665,43 +670,43 @@ IMX No. | +---- Block IO Pin or Macrocell Number ---|-------|----|---|---|----------|------|-|------------------------------ 0 [IOpin 0 | 10|OUT CLK_EXP|*| ] [RegIn 0 |126| -| | ] - [MCell 0 |125|NOD RST_DLY_3_| |*] + [MCell 0 |125|NOD RN_RESET| |*] paired w/[ RESET] [MCell 1 |127|OUT CLK_EXP| | ] 1 [IOpin 1 | 9| IO IPL_030_2_|*| ] paired w/[ RN_IPL_030_2_] [RegIn 1 |129| -| | ] - [MCell 2 |128|NOD RN_IPL_030_2_| |*] paired w/[ IPL_030_2_] - [MCell 3 |130|NOD CLK_000_P_SYNC_2_| |*] + [MCell 2 |128|NOD inst_CLK_000_D0| |*] + [MCell 3 |130|NOD CLK_000_N_SYNC_4_| |*] 2 [IOpin 2 | 8| IO IPL_030_0_|*| ] paired w/[ RN_IPL_030_0_] [RegIn 2 |132| -| | ] - [MCell 4 |131|NOD CLK_000_P_SYNC_4_| |*] + [MCell 4 |131|NOD RN_IPL_030_2_| |*] paired w/[ IPL_030_2_] [MCell 5 |133|NOD RST_DLY_2_| |*] 3 [IOpin 3 | 7| IO IPL_030_1_|*| ] paired w/[ RN_IPL_030_1_] [RegIn 3 |135| -| | ] - [MCell 6 |134|NOD RN_IPL_030_1_| |*] paired w/[ IPL_030_1_] - [MCell 7 |136|NOD IPL_D0_0_| |*] + [MCell 6 |134|NOD inst_DTACK_D0| |*] + [MCell 7 |136|NOD CLK_000_N_SYNC_3_| |*] 4 [IOpin 4 | 6|INP A_29_|*|*] [RegIn 4 |138| -| | ] [MCell 8 |137|NOD RN_IPL_030_0_| |*] paired w/[ IPL_030_0_] - [MCell 9 |139|NOD inst_LDS_000_INT| |*] + [MCell 9 |139|NOD RST_DLY_5_| |*] 5 [IOpin 5 | 5|INP A_30_|*|*] [RegIn 5 |141| -| | ] - [MCell 10 |140|NOD RST_DLY_0_| |*] - [MCell 11 |142|NOD RST_DLY_6_| |*] + [MCell 10 |140|NOD CLK_000_N_SYNC_7_| |*] + [MCell 11 |142|NOD CLK_000_P_SYNC_2_| |*] 6 [IOpin 6 | 4|INP A_31_|*|*] [RegIn 6 |144| -| | ] - [MCell 12 |143|NOD RST_DLY_4_| |*] - [MCell 13 |145|NOD IPL_D0_2_| |*] + [MCell 12 |143|NOD RN_IPL_030_1_| |*] paired w/[ IPL_030_1_] + [MCell 13 |145|NOD RST_DLY_1_| |*] 7 [IOpin 7 | 3| IO RESET|*| ] paired w/[ RN_RESET] [RegIn 7 |147| -| | ] - [MCell 14 |146|NOD RN_RESET| |*] paired w/[ RESET] - [MCell 15 |148|NOD inst_CLK_000_NE_D0| |*] + [MCell 14 |146|NOD CLK_000_N_SYNC_5_| |*] + [MCell 15 |148| -| | ] --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Logic Array Fan-in @@ -709,39 +714,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| IOPin 6 4 ( 69)| A0 -Mux01| Mcel 1 12 ( 143)| RST_DLY_4_ -Mux02| Mcel 3 10 ( 188)| inst_DS_030_D0 -Mux03| Mcel 2 9 ( 163)| CLK_000_P_SYNC_3_ -Mux04| Input Pin ( 64)| CLK_030 -Mux05| ... | ... -Mux06| Mcel 1 9 ( 139)| inst_LDS_000_INT -Mux07| Mcel 1 11 ( 142)| RST_DLY_6_ +Mux00| Mcel 1 0 ( 125)| RN_RESET +Mux01| Mcel 1 7 ( 136)| CLK_000_N_SYNC_3_ +Mux02| Mcel 2 2 ( 152)| RST_DLY_6_ +Mux03| Input Pin ( 11)| CLK_000 +Mux04| IOPin 6 3 ( 68)| IPL_2_ +Mux05| Mcel 6 3 ( 250)| CLK_000_N_SYNC_2_ +Mux06| Mcel 1 9 ( 139)| RST_DLY_5_ +Mux07| Mcel 2 14 ( 170)| RST_DLY_4_ Mux08| Mcel 1 8 ( 137)| RN_IPL_030_0_ -Mux09| Mcel 0 1 ( 103)| RST_DLY_1_ -Mux10| Mcel 1 13 ( 145)| IPL_D0_2_ -Mux11| Mcel 1 6 ( 134)| RN_IPL_030_1_ -Mux12| Mcel 1 10 ( 140)| RST_DLY_0_ -Mux13| Mcel 6 11 ( 262)| CLK_000_P_SYNC_1_ -Mux14| Mcel 2 4 ( 155)| SM_AMIGA_6_ -Mux15| Mcel 0 12 ( 119)| RST_DLY_5_ +Mux09| Mcel 2 6 ( 158)| RST_DLY_0_ +Mux10| Mcel 5 4 ( 227)| inst_CLK_000_NE +Mux11| ... | ... +Mux12| Mcel 1 12 ( 143)| RN_IPL_030_1_ +Mux13| Mcel 3 3 ( 178)| IPL_D0_0_ +Mux14| IOPin 3 5 ( 30)| DTACK +Mux15| Mcel 0 6 ( 110)| CLK_000_N_SYNC_6_ Mux16| IOPin 6 2 ( 67)| IPL_0_ -Mux17| IOPin 6 5 ( 70)| SIZE_0_ -Mux18| Mcel 1 2 ( 128)| RN_IPL_030_2_ -Mux19| ... | ... -Mux20| IOPin 7 6 ( 79)| SIZE_1_ +Mux17| Mcel 3 14 ( 194)| IPL_D0_1_ +Mux18| Mcel 2 10 ( 164)| RST_DLY_7_ +Mux19| Mcel 1 3 ( 130)| CLK_000_N_SYNC_4_ +Mux20| Input Pin ( 64)| CLK_030 Mux21| IOPin 5 4 ( 56)| IPL_1_ -Mux22| IOPin 6 3 ( 68)| IPL_2_ -Mux23| ... | ... +Mux22| ... | ... +Mux23| Mcel 2 9 ( 163)| RST_DLY_3_ Mux24| Input Pin ( 86)| RST Mux25| ... | ... -Mux26| Mcel 1 0 ( 125)| RST_DLY_3_ -Mux27| Mcel 6 9 ( 259)| inst_CLK_000_NE -Mux28| Mcel 0 5 ( 109)| RST_DLY_7_ -Mux29| Mcel 1 14 ( 146)| RN_RESET -Mux30| Mcel 2 13 ( 169)| IPL_D0_1_ +Mux26| Mcel 6 11 ( 262)| IPL_D0_2_ +Mux27| Mcel 1 4 ( 131)| RN_IPL_030_2_ +Mux28| Mcel 1 13 ( 145)| RST_DLY_1_ +Mux29| Mcel 2 11 ( 166)| CLK_000_P_SYNC_1_ +Mux30| ... | ... Mux31| Mcel 1 5 ( 133)| RST_DLY_2_ -Mux32| Mcel 1 7 ( 136)| IPL_D0_0_ +Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== < Block [ 2] > Macrocell (MCell) Cluster Assignments @@ -754,20 +759,20 @@ Mux32| Mcel 1 7 ( 136)| IPL_D0_0_ | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ 0|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1|inst_AS_000_INT|NOD| | S | 2 | 4 to [ 1]| 1 XOR free - 2|CLK_000_P_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3| | ? | | S | | 4 free | 1 XOR free - 4| SM_AMIGA_6_|NOD| | S | 3 | 4 to [ 4]| 1 XOR free - 5|CLK_000_P_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6| | ? | | S | | 4 free | 1 XOR free - 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [ 8]| 1 XOR to [ 8] as logic PT - 9|CLK_000_P_SYNC_3_|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [ 9] for 1 PT sig -10| | ? | | S | | 4 free | 1 XOR free -11| | ? | | S | | 4 free | 1 XOR free -12|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [12]| 1 XOR free -13| IPL_D0_1_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14| | ? | | S | | 4 free | 1 XOR free + 1| SM_AMIGA_1_|NOD| | S | 3 | 4 to [ 1]| 1 XOR free + 2| RST_DLY_6_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free + 3|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 | 4 to [ 3]| 1 XOR free + 4|inst_CLK_000_PE|NOD| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig + 5| cpu_est_0_|NOD| | S | 2 | 4 to [ 5]| 1 XOR free + 6| RST_DLY_0_|NOD| | S | 3 | 4 to [ 6]| 1 XOR free + 7|CLK_000_P_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig + 8| SM_AMIGA_3_|NOD| | S | 5 | 4 to [ 8]| 1 XOR to [ 8] as logic PT + 9| RST_DLY_3_|NOD| | S | 6 | 4 to [ 9]| 1 XOR to [ 9] as logic PT +10| RST_DLY_7_|NOD| | S | 2 | 4 to [10]| 1 XOR free +11|CLK_000_P_SYNC_1_|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [11] for 1 PT sig +12| cpu_est_2_|NOD| | S | 4 | 4 to [12]| 1 XOR free +13| SM_AMIGA_2_|NOD| | S | 4 | 4 to [13]| 1 XOR free +14| RST_DLY_4_|NOD| | S | 2 :+: 1| 4 to [14]| 1 XOR to [14] 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -780,22 +785,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) - 1|inst_AS_000_INT|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) - 2|CLK_000_P_SYNC_9_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 3| | ? | | S | |=> can support up to [ 13] logic PT(s) - 4| SM_AMIGA_6_|NOD| | S | 3 |=> can support up to [ 19] logic PT(s) - 5|CLK_000_P_SYNC_8_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) - 6| | ? | | S | |=> can support up to [ 14] logic PT(s) - 7| | ? | | S | |=> can support up to [ 10] logic PT(s) - 8|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 19] logic PT(s) - 9|CLK_000_P_SYNC_3_|NOD| | S | 1 |=> can support up to [ 11] logic PT(s) -10| | ? | | S | |=> can support up to [ 10] logic PT(s) -11| | ? | | S | |=> can support up to [ 14] logic PT(s) -12|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 19] logic PT(s) -13| IPL_D0_1_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) + 0|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 |=> can support up to [ 5] logic PT(s) + 1| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) + 2| RST_DLY_6_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) + 3|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) + 4|inst_CLK_000_PE|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 5| cpu_est_0_|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) + 6| RST_DLY_0_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) + 7|CLK_000_P_SYNC_3_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 8| SM_AMIGA_3_|NOD| | S | 5 |=> can support up to [ 9] logic PT(s) + 9| RST_DLY_3_|NOD| | S | 6 |=> can support up to [ 9] logic PT(s) +10| RST_DLY_7_|NOD| | S | 2 |=> can support up to [ 5] logic PT(s) +11|CLK_000_P_SYNC_1_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) +12| cpu_est_2_|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) +13| SM_AMIGA_2_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) +14| RST_DLY_4_|NOD| | S | 2 :+: 1|=> can support up to [ 9] logic PT(s) +15| | ? | | S | |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 2] > Node-Pin Assignments @@ -806,20 +811,20 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0|AMIGA_BUS_ENABLE_LOW|OUT| | => |( 5) 6 7 0 |( 20) 21 22 15 - 1|inst_AS_000_INT|NOD| | => | 5 6 7 0 | 20 21 22 15 - 2|CLK_000_P_SYNC_9_|NOD| | => | 6 7 0 1 | 21 22 15 16 - 3| | | | => | 6 7 0 1 | 21 22 15 16 - 4| SM_AMIGA_6_|NOD| | => | 7 0 1 2 | 22 15 16 17 - 5|CLK_000_P_SYNC_8_|NOD| | => | 7 0 1 2 | 22 15 16 17 - 6| | | | => | 0 1 2 3 | 15 16 17 18 - 7| | | | => | 0 1 2 3 | 15 16 17 18 - 8|inst_AS_030_000_SYNC|NOD| | => | 1 2 3 4 | 16 17 18 19 - 9|CLK_000_P_SYNC_3_|NOD| | => | 1 2 3 4 | 16 17 18 19 -10| | | | => | 2 3 4 5 | 17 18 19 20 -11| | | | => | 2 3 4 5 | 17 18 19 20 -12|inst_DS_000_ENABLE|NOD| | => | 3 4 5 6 | 18 19 20 21 -13| IPL_D0_1_|NOD| | => | 3 4 5 6 | 18 19 20 21 -14| | | | => | 4 5 6 7 | 19 20 21 22 + 1| SM_AMIGA_1_|NOD| | => | 5 6 7 0 | 20 21 22 15 + 2| RST_DLY_6_|NOD| | => | 6 7 0 1 | 21 22 15 16 + 3|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | => | 6 7 0 1 | 21 22 15 16 + 4|inst_CLK_000_PE|NOD| | => | 7 0 1 2 | 22 15 16 17 + 5| cpu_est_0_|NOD| | => | 7 0 1 2 | 22 15 16 17 + 6| RST_DLY_0_|NOD| | => | 0 1 2 3 | 15 16 17 18 + 7|CLK_000_P_SYNC_3_|NOD| | => | 0 1 2 3 | 15 16 17 18 + 8| SM_AMIGA_3_|NOD| | => | 1 2 3 4 | 16 17 18 19 + 9| RST_DLY_3_|NOD| | => | 1 2 3 4 | 16 17 18 19 +10| RST_DLY_7_|NOD| | => | 2 3 4 5 | 17 18 19 20 +11|CLK_000_P_SYNC_1_|NOD| | => | 2 3 4 5 | 17 18 19 20 +12| cpu_est_2_|NOD| | => | 3 4 5 6 | 18 19 20 21 +13| SM_AMIGA_2_|NOD| | => | 3 4 5 6 | 18 19 20 21 +14| RST_DLY_4_|NOD| | => | 4 5 6 7 | 19 20 21 22 15| | | | => | 4 5 6 7 | 19 20 21 22 --------------------------------------------------------------------------- =========================================================================== @@ -870,41 +875,41 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 15|INP A_28_|*|*] [RegIn 0 |150| -| | ] [MCell 0 |149|OUT AMIGA_BUS_ENABLE_LOW| | ] - [MCell 1 |151|NOD inst_AS_000_INT| |*] + [MCell 1 |151|NOD SM_AMIGA_1_| |*] 1 [IOpin 1 | 16|INP A_27_|*|*] [RegIn 1 |153| -| | ] - [MCell 2 |152|NOD CLK_000_P_SYNC_9_| |*] - [MCell 3 |154| -| | ] + [MCell 2 |152|NOD RST_DLY_6_| |*] + [MCell 3 |154|NOD inst_AMIGA_BUS_ENABLE_DMA_LOW| |*] 2 [IOpin 2 | 17|INP A_26_|*|*] [RegIn 2 |156| -| | ] - [MCell 4 |155|NOD SM_AMIGA_6_| |*] - [MCell 5 |157|NOD CLK_000_P_SYNC_8_| |*] + [MCell 4 |155|NOD inst_CLK_000_PE| |*] + [MCell 5 |157|NOD cpu_est_0_| |*] 3 [IOpin 3 | 18|INP A_25_|*|*] [RegIn 3 |159| -| | ] - [MCell 6 |158| -| | ] - [MCell 7 |160| -| | ] + [MCell 6 |158|NOD RST_DLY_0_| |*] + [MCell 7 |160|NOD CLK_000_P_SYNC_3_| |*] 4 [IOpin 4 | 19|INP A_24_|*|*] [RegIn 4 |162| -| | ] - [MCell 8 |161|NOD inst_AS_030_000_SYNC| |*] - [MCell 9 |163|NOD CLK_000_P_SYNC_3_| |*] + [MCell 8 |161|NOD SM_AMIGA_3_| |*] + [MCell 9 |163|NOD RST_DLY_3_| |*] 5 [IOpin 5 | 20|OUT AMIGA_BUS_ENABLE_LOW|*| ] [RegIn 5 |165| -| | ] - [MCell 10 |164| -| | ] - [MCell 11 |166| -| | ] + [MCell 10 |164|NOD RST_DLY_7_| |*] + [MCell 11 |166|NOD CLK_000_P_SYNC_1_| |*] 6 [IOpin 6 | 21|INP BG_030|*|*] [RegIn 6 |168| -| | ] - [MCell 12 |167|NOD inst_DS_000_ENABLE| |*] - [MCell 13 |169|NOD IPL_D0_1_| |*] + [MCell 12 |167|NOD cpu_est_2_| |*] + [MCell 13 |169|NOD SM_AMIGA_2_| |*] 7 [IOpin 7 | 22| -| | ] [RegIn 7 |171| -| | ] - [MCell 14 |170| -| | ] + [MCell 14 |170|NOD RST_DLY_4_| |*] [MCell 15 |172| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -913,39 +918,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 5 8 ( 233)| inst_CLK_000_D1 -Mux03| IOPin 5 4 ( 56)| IPL_1_ -Mux04| Mcel 2 12 ( 167)| inst_DS_000_ENABLE -Mux05| Mcel 1 3 ( 130)| CLK_000_P_SYNC_2_ -Mux06| IOPin 0 5 ( 96)| A_16_ -Mux07| Mcel 3 9 ( 187)| inst_CLK_000_PE -Mux08| IOPin 5 1 ( 59)| A_17_ -Mux09| Mcel 6 13 ( 265)| inst_CLK_000_D0 -Mux10| Mcel 6 14 ( 266)| inst_AMIGA_BUS_ENABLE_DMA_LOW -Mux11| IOPin 6 6 ( 71)| RW -Mux12| IOPin 5 2 ( 58)| FC_1_ -Mux13| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux14| Mcel 5 4 ( 227)| SM_AMIGA_5_ -Mux15| Mcel 2 5 ( 157)| CLK_000_P_SYNC_8_ -Mux16| Mcel 2 8 ( 161)| inst_AS_030_000_SYNC -Mux17| Mcel 5 12 ( 239)| SM_AMIGA_3_ -Mux18| ... | ... +Mux00| Mcel 1 5 ( 133)| RST_DLY_2_ +Mux01| Mcel 2 1 ( 151)| SM_AMIGA_1_ +Mux02| Mcel 3 10 ( 188)| CLK_000_P_SYNC_0_ +Mux03| IOPin 5 0 ( 60)| A1 +Mux04| Mcel 2 12 ( 167)| cpu_est_2_ +Mux05| ... | ... +Mux06| Mcel 1 9 ( 139)| RST_DLY_5_ +Mux07| Mcel 2 5 ( 157)| cpu_est_0_ +Mux08| Mcel 4 8 ( 209)| inst_CLK_000_NE_D0 +Mux09| Mcel 2 6 ( 158)| RST_DLY_0_ +Mux10| Mcel 5 4 ( 227)| inst_CLK_000_NE +Mux11| Mcel 6 4 ( 251)| RN_E +Mux12| Mcel 2 3 ( 154)| inst_AMIGA_BUS_ENABLE_DMA_LOW +Mux13| Mcel 2 9 ( 163)| RST_DLY_3_ +Mux14| Mcel 2 4 ( 155)| inst_CLK_000_PE +Mux15| Mcel 5 1 ( 223)| SM_AMIGA_4_ +Mux16| Mcel 2 8 ( 161)| SM_AMIGA_3_ +Mux17| Mcel 1 11 ( 142)| CLK_000_P_SYNC_2_ +Mux18| Mcel 2 10 ( 164)| RST_DLY_7_ Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| ... | ... -Mux22| Mcel 2 1 ( 151)| inst_AS_000_INT -Mux23| ... | ... -Mux24| IOPin 5 3 ( 57)| FC_0_ -Mux25| Mcel 5 0 ( 221)| SM_AMIGA_i_7_ -Mux26| Mcel 6 7 ( 256)| CLK_000_P_SYNC_7_ -Mux27| IOPin 0 6 ( 97)| A_19_ -Mux28| ... | ... -Mux29| Mcel 2 4 ( 155)| SM_AMIGA_6_ -Mux30| ... | ... -Mux31| IOPin 0 4 ( 95)| A_18_ -Mux32| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg +Mux21| Mcel 1 13 ( 145)| RST_DLY_1_ +Mux22| Mcel 6 5 ( 253)| cpu_est_1_ +Mux23| Mcel 1 6 ( 134)| inst_DTACK_D0 +Mux24| Input Pin ( 86)| RST +Mux25| IOPin 4 0 ( 41)| BERR +Mux26| Mcel 3 0 ( 173)| RN_VMA +Mux27| ... | ... +Mux28| Mcel 0 7 ( 112)| CLK_000_P_SYNC_9_ +Mux29| Mcel 3 13 ( 193)| inst_VPA_D +Mux30| Mcel 2 13 ( 169)| SM_AMIGA_2_ +Mux31| Mcel 2 14 ( 170)| RST_DLY_4_ +Mux32| Mcel 2 2 ( 152)| RST_DLY_6_ --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Macrocell (MCell) Cluster Assignments @@ -959,20 +964,20 @@ Mux32| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| VMA| IO| | S | 3 | 4 to [ 0]| 1 XOR free 1| BG_000| IO| | S | 2 | 4 to [ 1]| 1 XOR free - 2| cpu_est_2_|NOD| | S | 4 | 4 to [ 2]| 1 XOR free - 3|CLK_000_N_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig + 2|pos_clk_un29_clk_000_ne_d0_i_n|NOD| | S | 2 | 4 to [ 2]| 1 XOR free + 3| IPL_D0_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 | 4 to [ 4]| 1 XOR free 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6| cpu_est_0_|NOD| | S | 2 | 4 to [ 6]| 1 XOR free - 7|CLK_000_N_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig + 6|CLK_000_P_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 7|inst_DS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig 8| UDS_000| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9|inst_CLK_000_PE|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10|inst_DS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11|CLK_000_P_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig + 9|inst_CLK_000_D1|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig +10|CLK_000_P_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig +11| | ? | | S | | 4 free | 1 XOR free 12| LDS_000| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| cpu_est_1_|NOD| | S | 5 | 4 to [13]| 1 XOR to [13] as logic PT -14|CLK_000_N_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig -15| inst_DTACK_D0|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig +13| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +14| IPL_D0_1_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig +15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Maximum PT Capacity @@ -986,20 +991,20 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ _|_________________|__|__|___|_____|_______________________________________ 0| VMA| IO| | S | 3 |=> can support up to [ 5] logic PT(s) 1| BG_000| IO| | S | 2 |=> can support up to [ 9] logic PT(s) - 2| cpu_est_2_|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) - 3|CLK_000_N_SYNC_4_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 |=> can support up to [ 13] logic PT(s) - 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) - 6| cpu_est_0_|NOD| | S | 2 |=> can support up to [ 17] logic PT(s) - 7|CLK_000_N_SYNC_0_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) + 2|pos_clk_un29_clk_000_ne_d0_i_n|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) + 3| IPL_D0_0_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 |=> can support up to [ 17] logic PT(s) + 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) + 6|CLK_000_P_SYNC_4_|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) + 7|inst_DS_030_D0|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) 8| UDS_000| IO| | S | 1 |=> can support up to [ 17] logic PT(s) - 9|inst_CLK_000_PE|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) -10|inst_DS_030_D0|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) -11|CLK_000_P_SYNC_0_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) -12| LDS_000| IO| | S | 1 |=> can support up to [ 13] logic PT(s) -13| cpu_est_1_|NOD| | S | 5 |=> can support up to [ 17] logic PT(s) -14|CLK_000_N_SYNC_6_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) -15| inst_DTACK_D0|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 9|inst_CLK_000_D1|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) +10|CLK_000_P_SYNC_0_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) +11| | ? | | S | |=> can support up to [ 17] logic PT(s) +12| LDS_000| IO| | S | 1 |=> can support up to [ 18] logic PT(s) +13| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) +14| IPL_D0_1_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) +15| | ? | | S | |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Node-Pin Assignments @@ -1011,20 +1016,20 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| VMA| IO| | => | 5 6 7 ( 0)| 30 29 28 ( 35) 1| BG_000| IO| | => | 5 ( 6) 7 0 | 30 ( 29) 28 35 - 2| cpu_est_2_|NOD| | => | 6 7 0 1 | 29 28 35 34 - 3|CLK_000_N_SYNC_4_|NOD| | => | 6 7 0 1 | 29 28 35 34 + 2|pos_clk_un29_clk_000_ne_d0_i_n|NOD| | => | 6 7 0 1 | 29 28 35 34 + 3| IPL_D0_0_|NOD| | => | 6 7 0 1 | 29 28 35 34 4|AMIGA_BUS_ENABLE_HIGH|OUT| | => | 7 0 ( 1) 2 | 28 35 ( 34) 33 5|AMIGA_ADDR_ENABLE|OUT| | => | 7 0 1 ( 2)| 28 35 34 ( 33) - 6| cpu_est_0_|NOD| | => | 0 1 2 3 | 35 34 33 32 - 7|CLK_000_N_SYNC_0_|NOD| | => | 0 1 2 3 | 35 34 33 32 + 6|CLK_000_P_SYNC_4_|NOD| | => | 0 1 2 3 | 35 34 33 32 + 7|inst_DS_030_D0|NOD| | => | 0 1 2 3 | 35 34 33 32 8| UDS_000| IO| | => | 1 2 ( 3) 4 | 34 33 ( 32) 31 - 9|inst_CLK_000_PE|NOD| | => | 1 2 3 4 | 34 33 32 31 -10|inst_DS_030_D0|NOD| | => | 2 3 4 5 | 33 32 31 30 -11|CLK_000_P_SYNC_0_|NOD| | => | 2 3 4 5 | 33 32 31 30 + 9|inst_CLK_000_D1|NOD| | => | 1 2 3 4 | 34 33 32 31 +10|CLK_000_P_SYNC_0_|NOD| | => | 2 3 4 5 | 33 32 31 30 +11| | | | => | 2 3 4 5 | 33 32 31 30 12| LDS_000| IO| | => | 3 ( 4) 5 6 | 32 ( 31) 30 29 -13| cpu_est_1_|NOD| | => | 3 4 5 6 | 32 31 30 29 -14|CLK_000_N_SYNC_6_|NOD| | => | 4 5 6 7 | 31 30 29 28 -15| inst_DTACK_D0|NOD| | => | 4 5 6 7 | 31 30 29 28 +13| inst_VPA_D|NOD| | => | 3 4 5 6 | 32 31 30 29 +14| IPL_D0_1_|NOD| | => | 4 5 6 7 | 31 30 29 28 +15| | | | => | 4 5 6 7 | 31 30 29 28 --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > IO-to-Node Pin Mapping @@ -1080,8 +1085,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 34|OUT AMIGA_BUS_ENABLE_HIGH|*| ] [RegIn 1 |177| -| | ] - [MCell 2 |176|NOD cpu_est_2_| |*] - [MCell 3 |178|NOD CLK_000_N_SYNC_4_| |*] + [MCell 2 |176|NOD pos_clk_un29_clk_000_ne_d0_i_n| |*] + [MCell 3 |178|NOD IPL_D0_0_| |*] 2 [IOpin 2 | 33|OUT AMIGA_ADDR_ENABLE|*| ] [RegIn 2 |180| -| | ] @@ -1090,28 +1095,28 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 32| IO UDS_000|*|*] [RegIn 3 |183| -| | ] - [MCell 6 |182|NOD cpu_est_0_| |*] - [MCell 7 |184|NOD CLK_000_N_SYNC_0_| |*] + [MCell 6 |182|NOD CLK_000_P_SYNC_4_| |*] + [MCell 7 |184|NOD inst_DS_030_D0| |*] 4 [IOpin 4 | 31| IO LDS_000|*|*] [RegIn 4 |186| -| | ] [MCell 8 |185| IO UDS_000| | ] - [MCell 9 |187|NOD inst_CLK_000_PE| |*] + [MCell 9 |187|NOD inst_CLK_000_D1| |*] 5 [IOpin 5 | 30|INP DTACK|*|*] [RegIn 5 |189| -| | ] - [MCell 10 |188|NOD inst_DS_030_D0| |*] - [MCell 11 |190|NOD CLK_000_P_SYNC_0_| |*] + [MCell 10 |188|NOD CLK_000_P_SYNC_0_| |*] + [MCell 11 |190| -| | ] 6 [IOpin 6 | 29| IO BG_000|*| ] paired w/[ RN_BG_000] [RegIn 6 |192| -| | ] [MCell 12 |191| IO LDS_000| | ] - [MCell 13 |193|NOD cpu_est_1_| |*] + [MCell 13 |193|NOD inst_VPA_D| |*] 7 [IOpin 7 | 28|INP BGACK_000|*|*] [RegIn 7 |195| -| | ] - [MCell 14 |194|NOD CLK_000_N_SYNC_6_| |*] - [MCell 15 |196|NOD inst_DTACK_D0| |*] + [MCell 14 |194|NOD IPL_D0_1_| |*] + [MCell 15 |196| -| | ] --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Logic Array Fan-in @@ -1119,37 +1124,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| Mcel 0 7 ( 112)| CLK_000_N_SYNC_3_ -Mux02| Mcel 2 2 ( 152)| CLK_000_P_SYNC_9_ -Mux03| Mcel 3 2 ( 176)| cpu_est_2_ -Mux04| Mcel 2 12 ( 167)| inst_DS_000_ENABLE +Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux01| Mcel 3 13 ( 193)| inst_VPA_D +Mux02| Mcel 6 4 ( 251)| RN_E +Mux03| Mcel 6 5 ( 253)| cpu_est_1_ +Mux04| Mcel 7 5 ( 277)| inst_AS_030_D0 Mux05| IOPin 0 7 ( 98)| DS_030 -Mux06| Mcel 0 3 ( 106)| CLK_000_N_SYNC_5_ -Mux07| Mcel 3 9 ( 187)| inst_CLK_000_PE -Mux08| Mcel 1 15 ( 148)| inst_CLK_000_NE_D0 -Mux09| IOPin 3 5 ( 30)| DTACK -Mux10| Mcel 6 9 ( 259)| inst_CLK_000_NE -Mux11| Mcel 6 4 ( 251)| RN_E -Mux12| Mcel 6 13 ( 265)| inst_CLK_000_D0 -Mux13| Mcel 7 5 ( 277)| inst_AS_030_D0 +Mux06| Mcel 2 4 ( 155)| inst_CLK_000_PE +Mux07| Mcel 3 9 ( 187)| inst_CLK_000_D1 +Mux08| Mcel 4 8 ( 209)| inst_CLK_000_NE_D0 +Mux09| Mcel 0 12 ( 119)| inst_DS_000_ENABLE +Mux10| Mcel 1 2 ( 128)| inst_CLK_000_D0 +Mux11| Mcel 1 6 ( 134)| inst_DTACK_D0 +Mux12| Mcel 6 13 ( 265)| inst_UDS_000_INT +Mux13| Input Pin ( 36)| VPA Mux14| IOPin 2 6 ( 21)| BG_030 -Mux15| Mcel 5 13 ( 241)| inst_VPA_D -Mux16| Mcel 1 9 ( 139)| inst_LDS_000_INT +Mux15| Mcel 2 7 ( 160)| CLK_000_P_SYNC_3_ +Mux16| IOPin 6 2 ( 67)| IPL_0_ Mux17| Mcel 3 1 ( 175)| RN_BG_000 Mux18| Mcel 3 0 ( 173)| RN_VMA Mux19| ... | ... -Mux20| Mcel 5 8 ( 233)| inst_CLK_000_D1 -Mux21| Mcel 3 13 ( 193)| cpu_est_1_ -Mux22| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg -Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux24| ... | ... -Mux25| Mcel 5 0 ( 221)| SM_AMIGA_i_7_ -Mux26| ... | ... -Mux27| Mcel 5 5 ( 229)| inst_UDS_000_INT -Mux28| Mcel 6 10 ( 260)| inst_AMIGA_BUS_ENABLE_DMA_HIGH -Mux29| Mcel 1 14 ( 146)| RN_RESET -Mux30| Mcel 3 6 ( 182)| cpu_est_0_ +Mux20| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ +Mux21| IOPin 5 4 ( 56)| IPL_1_ +Mux22| Mcel 6 10 ( 260)| inst_AMIGA_BUS_ENABLE_DMA_HIGH +Mux23| Mcel 2 12 ( 167)| cpu_est_2_ +Mux24| Input Pin ( 86)| RST +Mux25| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg +Mux26| Mcel 1 0 ( 125)| RN_RESET +Mux27| Mcel 6 9 ( 259)| inst_LDS_000_INT +Mux28| Mcel 2 5 ( 157)| cpu_est_0_ +Mux29| Mcel 5 4 ( 227)| inst_CLK_000_NE +Mux30| ... | ... Mux31| ... | ... Mux32| ... | ... --------------------------------------------------------------------------- @@ -1168,15 +1173,15 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 2| | ? | | S | | 4 free | 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free 4| AS_000| IO| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5|CLK_000_P_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig + 5| CIIN_0|NOD| | S | 2 | 4 to [ 5]| 1 XOR free 6| | ? | | S | | 4 free | 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free - 8|CLK_000_N_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9|CLK_000_P_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig + 8|inst_CLK_000_NE_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig + 9|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| CIIN|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| | ? | | S | | 4 free | 1 XOR free +13|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1193,18 +1198,18 @@ _|_________________|__|__|___|_____|_______________________________________ 0| BERR| IO| | S | 1 |=> can support up to [ 10] logic PT(s) 1|AMIGA_BUS_DATA_DIR|OUT| | S | 2 |=> can support up to [ 19] logic PT(s) 2| | ? | | S | |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 18] logic PT(s) - 4| AS_000| IO| | S | 1 |=> can support up to [ 19] logic PT(s) - 5|CLK_000_P_SYNC_6_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) - 6| | ? | | S | |=> can support up to [ 18] logic PT(s) + 3| | ? | | S | |=> can support up to [ 14] logic PT(s) + 4| AS_000| IO| | S | 1 |=> can support up to [ 15] logic PT(s) + 5| CIIN_0|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) + 6| | ? | | S | |=> can support up to [ 14] logic PT(s) 7| | ? | | S | |=> can support up to [ 18] logic PT(s) - 8|CLK_000_N_SYNC_7_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) - 9|CLK_000_P_SYNC_5_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) + 8|inst_CLK_000_NE_D0|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) + 9|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) 10| | ? | | S | |=> can support up to [ 18] logic PT(s) -11| | ? | | S | |=> can support up to [ 19] logic PT(s) -12| CIIN|OUT| | S | 1 |=> can support up to [ 20] logic PT(s) -13| | ? | | S | |=> can support up to [ 19] logic PT(s) -14| | ? | | S | |=> can support up to [ 15] logic PT(s) +11| | ? | | S | |=> can support up to [ 18] logic PT(s) +12| CIIN|OUT| | S | 1 |=> can support up to [ 19] logic PT(s) +13|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) +14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- =========================================================================== @@ -1220,15 +1225,15 @@ _|_________________|__|_____|____________________|________________________ 2| | | | => | 6 7 0 1 | 47 48 41 42 3| | | | => | 6 7 0 1 | 47 48 41 42 4| AS_000| IO| | => | 7 0 ( 1) 2 | 48 41 ( 42) 43 - 5|CLK_000_P_SYNC_6_|NOD| | => | 7 0 1 2 | 48 41 42 43 + 5| CIIN_0|NOD| | => | 7 0 1 2 | 48 41 42 43 6| | | | => | 0 1 2 3 | 41 42 43 44 7| | | | => | 0 1 2 3 | 41 42 43 44 - 8|CLK_000_N_SYNC_7_|NOD| | => | 1 2 3 4 | 42 43 44 45 - 9|CLK_000_P_SYNC_5_|NOD| | => | 1 2 3 4 | 42 43 44 45 + 8|inst_CLK_000_NE_D0|NOD| | => | 1 2 3 4 | 42 43 44 45 + 9|inst_CLK_OUT_PRE_50|NOD| | => | 1 2 3 4 | 42 43 44 45 10| | | | => | 2 3 4 5 | 43 44 45 46 11| | | | => | 2 3 4 5 | 43 44 45 46 12| CIIN|OUT| | => | 3 4 5 ( 6)| 44 45 46 ( 47) -13| | | | => | 3 4 5 6 | 44 45 46 47 +13|inst_CLK_OUT_PRE_D|NOD| | => | 3 4 5 6 | 44 45 46 47 14| | | | => | 4 5 6 7 | 45 46 47 48 15| | | | => | 4 5 6 7 | 45 46 47 48 --------------------------------------------------------------------------- @@ -1290,7 +1295,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 2 [IOpin 2 | 43| -| | ] [RegIn 2 |204| -| | ] [MCell 4 |203| IO AS_000| | ] - [MCell 5 |205|NOD CLK_000_P_SYNC_6_| |*] + [MCell 5 |205|NOD CIIN_0| |*] 3 [IOpin 3 | 44| -| | ] [RegIn 3 |207| -| | ] @@ -1299,8 +1304,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 4 [IOpin 4 | 45| -| | ] [RegIn 4 |210| -| | ] - [MCell 8 |209|NOD CLK_000_N_SYNC_7_| |*] - [MCell 9 |211|NOD CLK_000_P_SYNC_5_| |*] + [MCell 8 |209|NOD inst_CLK_000_NE_D0| |*] + [MCell 9 |211|NOD inst_CLK_OUT_PRE_50| |*] 5 [IOpin 5 | 46| -| | ] [RegIn 5 |213| -| | ] @@ -1310,7 +1315,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 47|OUT CIIN|*| ] [RegIn 6 |216| -| | ] [MCell 12 |215|OUT CIIN| | ] - [MCell 13 |217| -| | ] + [MCell 13 |217|NOD inst_CLK_OUT_PRE_D| |*] 7 [IOpin 7 | 48|OUT AMIGA_BUS_DATA_DIR|*| ] [RegIn 7 |219| -| | ] @@ -1323,39 +1328,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Mcel 1 4 ( 131)| CLK_000_P_SYNC_4_ -Mux01| IOPin 1 6 ( 4)| A_31_ -Mux02| Mcel 4 9 ( 211)| CLK_000_P_SYNC_5_ -Mux03| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg +Mux00| Mcel 1 0 ( 125)| RN_RESET +Mux01| IOPin 5 2 ( 58)| FC_1_ +Mux02| Mcel 4 9 ( 211)| inst_CLK_OUT_PRE_50 +Mux03| Mcel 4 5 ( 205)| CIIN_0 Mux04| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux05| IOPin 2 4 ( 19)| A_24_ -Mux06| IOPin 0 5 ( 96)| A_16_ +Mux05| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg +Mux06| IOPin 5 3 ( 57)| FC_0_ Mux07| IOPin 2 0 ( 15)| A_28_ Mux08| IOPin 5 1 ( 59)| A_17_ -Mux09| IOPin 2 2 ( 17)| A_26_ -Mux10| Mcel 3 14 ( 194)| CLK_000_N_SYNC_6_ +Mux09| IOPin 7 3 ( 82)| AS_030 +Mux10| Mcel 5 4 ( 227)| inst_CLK_000_NE Mux11| IOPin 0 0 ( 91)| FPU_SENSE -Mux12| IOPin 2 3 ( 18)| A_25_ +Mux12| Mcel 0 1 ( 103)| inst_AS_000_INT Mux13| IOPin 1 4 ( 6)| A_29_ -Mux14| ... | ... -Mux15| ... | ... +Mux14| IOPin 2 4 ( 19)| A_24_ +Mux15| IOPin 0 3 ( 94)| A_21_ Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| IOPin 5 3 ( 57)| FC_0_ -Mux18| IOPin 3 7 ( 28)| BGACK_000 +Mux17| IOPin 2 2 ( 17)| A_26_ +Mux18| IOPin 7 0 ( 85)| A_23_ Mux19| IOPin 1 5 ( 5)| A_30_ -Mux20| IOPin 5 2 ( 58)| FC_1_ +Mux20| IOPin 7 1 ( 84)| A_22_ Mux21| IOPin 2 1 ( 16)| A_27_ -Mux22| Mcel 2 1 ( 151)| inst_AS_000_INT +Mux22| IOPin 2 3 ( 18)| A_25_ Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 Mux24| ... | ... -Mux25| ... | ... -Mux26| ... | ... +Mux25| IOPin 1 6 ( 4)| A_31_ +Mux26| IOPin 0 5 ( 96)| A_16_ Mux27| IOPin 0 6 ( 97)| A_19_ Mux28| IOPin 7 5 ( 80)| RW_000 -Mux29| Mcel 1 14 ( 146)| RN_RESET +Mux29| IOPin 0 2 ( 93)| A_20_ Mux30| ... | ... Mux31| IOPin 0 4 ( 95)| A_18_ -Mux32| IOPin 7 3 ( 82)| AS_030 +Mux32| IOPin 3 7 ( 28)| BGACK_000 --------------------------------------------------------------------------- =========================================================================== < Block [ 5] > Macrocell (MCell) Cluster Assignments @@ -1367,21 +1372,21 @@ Mux32| IOPin 7 3 ( 82)| AS_030 | Sig Type-+ | | | | | | | XOR to Mcell Assignment | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| SM_AMIGA_i_7_|NOD| | S |14 | 4 to [ 0]| 1 XOR to [ 0] as logic PT - 1| SM_AMIGA_1_|NOD| | S | 3 | 4 to [ 0]| 1 XOR to [ 0] as logic PT - 2| SM_AMIGA_2_|NOD| | S | 4 | 4 to [ 0]| 1 XOR free - 3| | ? | | S | | 4 to [ 1]| 1 XOR free - 4| SM_AMIGA_5_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free - 5|inst_UDS_000_INT|NOD| | S | 3 | 4 to [ 5]| 1 XOR free - 6| N_165|NOD| | S | 4 | 4 to [ 4]| 1 XOR free - 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_CLK_000_D1|NOD| | S | 1 | 4 to [ 6]| 1 XOR to [ 8] for 1 PT sig + 0|inst_nEXP_SPACE_D0reg|NOD| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig + 1| SM_AMIGA_4_|NOD| | S | 3 | 4 to [ 1]| 1 XOR free + 2|CLK_000_N_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 3| | ? | | S | | 4 free | 1 XOR free + 4|inst_CLK_000_NE|NOD| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig + 5| SM_AMIGA_6_|NOD| | S | 3 | 4 to [ 5]| 1 XOR free + 6|CLK_000_N_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 7| | ? | | S | | 4 to [ 8]| 1 XOR free + 8| SM_AMIGA_i_7_|NOD| | S |13 | 4 to [ 8]| 1 XOR to [ 8] as logic PT 9| SM_AMIGA_0_|NOD| | S | 2 | 4 to [ 9]| 1 XOR free -10| SM_AMIGA_4_|NOD| | S | 3 | 4 to [10]| 1 XOR free +10|CLK_000_P_SYNC_5_|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [10] for 1 PT sig 11| | ? | | S | | 4 free | 1 XOR free -12| SM_AMIGA_3_|NOD| | S | 5 | 4 to [12]| 1 XOR to [12] as logic PT -13| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14| | ? | | S | | 4 free | 1 XOR free +12| SM_AMIGA_5_|NOD| | S | 3 | 4 to [12]| 1 XOR free +13|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [13]| 1 XOR to [13] as logic PT +14| | ? | | S | | 4 to [13]| 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -1394,22 +1399,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| SM_AMIGA_i_7_|NOD| | S |14 |=> can support up to [ 15] logic PT(s) - 1| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 5] logic PT(s) - 2| SM_AMIGA_2_|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) - 3| | ? | | S | |=> can support up to [ 1] logic PT(s) - 4| SM_AMIGA_5_|NOD| | S | 3 |=> can support up to [ 5] logic PT(s) - 5|inst_UDS_000_INT|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) - 6| N_165|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) + 0|inst_nEXP_SPACE_D0reg|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 1| SM_AMIGA_4_|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) + 2|CLK_000_N_SYNC_9_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 3| | ? | | S | |=> can support up to [ 13] logic PT(s) + 4|inst_CLK_000_NE|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 5| SM_AMIGA_6_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) + 6|CLK_000_N_SYNC_0_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) 7| | ? | | S | |=> can support up to [ 5] logic PT(s) - 8|inst_CLK_000_D1|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) + 8| SM_AMIGA_i_7_|NOD| | S |13 |=> can support up to [ 14] logic PT(s) 9| SM_AMIGA_0_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) -10| SM_AMIGA_4_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) -11| | ? | | S | |=> can support up to [ 9] logic PT(s) -12| SM_AMIGA_3_|NOD| | S | 5 |=> can support up to [ 19] logic PT(s) -13| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) +10|CLK_000_P_SYNC_5_|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) +11| | ? | | S | |=> can support up to [ 5] logic PT(s) +12| SM_AMIGA_5_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) +13|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 15] logic PT(s) +14| | ? | | S | |=> can support up to [ 6] logic PT(s) +15| | ? | | S | |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 5] > Node-Pin Assignments @@ -1419,20 +1424,20 @@ _|_________________|__|__|___|_____|_______________________________________ | Sig Type---+ | to | Block [ 5] IO Pin | Device Pin | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ - 0| SM_AMIGA_i_7_|NOD| | => | 5 6 7 0 | 55 54 53 60 - 1| SM_AMIGA_1_|NOD| | => | 5 6 7 0 | 55 54 53 60 - 2| SM_AMIGA_2_|NOD| | => | 6 7 0 1 | 54 53 60 59 + 0|inst_nEXP_SPACE_D0reg|NOD| | => | 5 6 7 0 | 55 54 53 60 + 1| SM_AMIGA_4_|NOD| | => | 5 6 7 0 | 55 54 53 60 + 2|CLK_000_N_SYNC_9_|NOD| | => | 6 7 0 1 | 54 53 60 59 3| | | | => | 6 7 0 1 | 54 53 60 59 - 4| SM_AMIGA_5_|NOD| | => | 7 0 1 2 | 53 60 59 58 - 5|inst_UDS_000_INT|NOD| | => | 7 0 1 2 | 53 60 59 58 - 6| N_165|NOD| | => | 0 1 2 3 | 60 59 58 57 + 4|inst_CLK_000_NE|NOD| | => | 7 0 1 2 | 53 60 59 58 + 5| SM_AMIGA_6_|NOD| | => | 7 0 1 2 | 53 60 59 58 + 6|CLK_000_N_SYNC_0_|NOD| | => | 0 1 2 3 | 60 59 58 57 7| | | | => | 0 1 2 3 | 60 59 58 57 - 8|inst_CLK_000_D1|NOD| | => | 1 2 3 4 | 59 58 57 56 + 8| SM_AMIGA_i_7_|NOD| | => | 1 2 3 4 | 59 58 57 56 9| SM_AMIGA_0_|NOD| | => | 1 2 3 4 | 59 58 57 56 -10| SM_AMIGA_4_|NOD| | => | 2 3 4 5 | 58 57 56 55 +10|CLK_000_P_SYNC_5_|NOD| | => | 2 3 4 5 | 58 57 56 55 11| | | | => | 2 3 4 5 | 58 57 56 55 -12| SM_AMIGA_3_|NOD| | => | 3 4 5 6 | 57 56 55 54 -13| inst_VPA_D|NOD| | => | 3 4 5 6 | 57 56 55 54 +12| SM_AMIGA_5_|NOD| | => | 3 4 5 6 | 57 56 55 54 +13|inst_AS_030_000_SYNC|NOD| | => | 3 4 5 6 | 57 56 55 54 14| | | | => | 4 5 6 7 | 56 55 54 53 15| | | | => | 4 5 6 7 | 56 55 54 53 --------------------------------------------------------------------------- @@ -1483,38 +1488,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number ---|-------|----|---|---|----------|------|-|------------------------------ 0 [IOpin 0 | 60|INP A1|*|*] [RegIn 0 |222| -| | ] - [MCell 0 |221|NOD SM_AMIGA_i_7_| |*] - [MCell 1 |223|NOD SM_AMIGA_1_| |*] + [MCell 0 |221|NOD inst_nEXP_SPACE_D0reg| |*] + [MCell 1 |223|NOD SM_AMIGA_4_| |*] 1 [IOpin 1 | 59|INP A_17_|*|*] [RegIn 1 |225| -| | ] - [MCell 2 |224|NOD SM_AMIGA_2_| |*] + [MCell 2 |224|NOD CLK_000_N_SYNC_9_| |*] [MCell 3 |226| -| | ] 2 [IOpin 2 | 58|INP FC_1_|*|*] [RegIn 2 |228| -| | ] - [MCell 4 |227|NOD SM_AMIGA_5_| |*] - [MCell 5 |229|NOD inst_UDS_000_INT| |*] + [MCell 4 |227|NOD inst_CLK_000_NE| |*] + [MCell 5 |229|NOD SM_AMIGA_6_| |*] 3 [IOpin 3 | 57|INP FC_0_|*|*] [RegIn 3 |231| -| | ] - [MCell 6 |230|NOD N_165| |*] + [MCell 6 |230|NOD CLK_000_N_SYNC_0_| |*] [MCell 7 |232| -| | ] 4 [IOpin 4 | 56|INP IPL_1_|*|*] [RegIn 4 |234| -| | ] - [MCell 8 |233|NOD inst_CLK_000_D1| |*] + [MCell 8 |233|NOD SM_AMIGA_i_7_| |*] [MCell 9 |235|NOD SM_AMIGA_0_| |*] 5 [IOpin 5 | 55| -| | ] [RegIn 5 |237| -| | ] - [MCell 10 |236|NOD SM_AMIGA_4_| |*] + [MCell 10 |236|NOD CLK_000_P_SYNC_5_| |*] [MCell 11 |238| -| | ] 6 [IOpin 6 | 54| -| | ] [RegIn 6 |240| -| | ] - [MCell 12 |239|NOD SM_AMIGA_3_| |*] - [MCell 13 |241|NOD inst_VPA_D| |*] + [MCell 12 |239|NOD SM_AMIGA_5_| |*] + [MCell 13 |241|NOD inst_AS_030_000_SYNC| |*] 7 [IOpin 7 | 53| -| | ] [RegIn 7 |243| -| | ] @@ -1527,39 +1532,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST +Mux00| Mcel 2 13 ( 169)| SM_AMIGA_2_ Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 6 4 ( 251)| RN_E -Mux03| Mcel 3 2 ( 176)| cpu_est_2_ -Mux04| Mcel 3 6 ( 182)| cpu_est_0_ -Mux05| ... | ... -Mux06| Mcel 5 13 ( 241)| inst_VPA_D -Mux07| Mcel 2 8 ( 161)| inst_AS_030_000_SYNC -Mux08| Mcel 1 15 ( 148)| inst_CLK_000_NE_D0 -Mux09| Mcel 5 5 ( 229)| inst_UDS_000_INT -Mux10| Mcel 5 1 ( 223)| SM_AMIGA_1_ -Mux11| Mcel 5 6 ( 230)| N_165 -Mux12| Mcel 6 13 ( 265)| inst_CLK_000_D0 -Mux13| Input Pin ( 36)| VPA -Mux14| Mcel 2 4 ( 155)| SM_AMIGA_6_ -Mux15| IOPin 6 4 ( 69)| A0 -Mux16| ... | ... -Mux17| Mcel 5 12 ( 239)| SM_AMIGA_3_ -Mux18| Mcel 5 9 ( 235)| SM_AMIGA_0_ -Mux19| Mcel 5 10 ( 236)| SM_AMIGA_4_ -Mux20| Mcel 3 10 ( 188)| inst_DS_030_D0 -Mux21| Mcel 3 13 ( 193)| cpu_est_1_ -Mux22| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg +Mux02| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ +Mux03| Mcel 3 2 ( 176)| pos_clk_un29_clk_000_ne_d0_i_n +Mux04| IOPin 0 4 ( 95)| A_18_ +Mux05| Input Pin ( 14)| nEXP_SPACE +Mux06| IOPin 5 3 ( 57)| FC_0_ +Mux07| Mcel 2 8 ( 161)| SM_AMIGA_3_ +Mux08| IOPin 5 1 ( 59)| A_17_ +Mux09| Mcel 5 5 ( 229)| SM_AMIGA_6_ +Mux10| Mcel 6 14 ( 266)| CLK_000_N_SYNC_8_ +Mux11| IOPin 0 5 ( 96)| A_16_ +Mux12| IOPin 5 2 ( 58)| FC_1_ +Mux13| Mcel 7 5 ( 277)| inst_AS_030_D0 +Mux14| Mcel 5 4 ( 227)| inst_CLK_000_NE +Mux15| Mcel 5 13 ( 241)| inst_AS_030_000_SYNC +Mux16| Mcel 3 6 ( 182)| CLK_000_P_SYNC_4_ +Mux17| Mcel 5 12 ( 239)| SM_AMIGA_5_ +Mux18| Mcel 1 2 ( 128)| inst_CLK_000_D0 +Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D +Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux21| Mcel 7 6 ( 278)| CLK_000_N_SYNC_11_ +Mux22| Mcel 2 1 ( 151)| SM_AMIGA_1_ Mux23| ... | ... -Mux24| ... | ... -Mux25| Mcel 3 9 ( 187)| inst_CLK_000_PE -Mux26| Mcel 3 0 ( 173)| RN_VMA -Mux27| Mcel 6 9 ( 259)| inst_CLK_000_NE -Mux28| Mcel 5 2 ( 224)| SM_AMIGA_2_ -Mux29| Mcel 5 4 ( 227)| SM_AMIGA_5_ -Mux30| ... | ... -Mux31| Mcel 3 15 ( 196)| inst_DTACK_D0 -Mux32| Mcel 5 8 ( 233)| inst_CLK_000_D1 +Mux24| Input Pin ( 86)| RST +Mux25| Mcel 3 9 ( 187)| inst_CLK_000_D1 +Mux26| ... | ... +Mux27| IOPin 0 6 ( 97)| A_19_ +Mux28| ... | ... +Mux29| Mcel 2 4 ( 155)| inst_CLK_000_PE +Mux30| Mcel 5 1 ( 223)| SM_AMIGA_4_ +Mux31| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg +Mux32| Mcel 5 9 ( 235)| SM_AMIGA_0_ --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Macrocell (MCell) Cluster Assignments @@ -1574,19 +1579,19 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| RW| IO| | S | 2 | 4 to [ 0]| 1 XOR free 1| CLK_DIV_OUT|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig 2| SIZE_DMA_1_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free - 3|CLK_000_N_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig + 3|CLK_000_N_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig 4| E| IO| | S | 5 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 5|inst_nEXP_SPACE_D0reg|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig + 5| cpu_est_1_|NOD| | S | 5 | 4 to [ 5]| 1 XOR to [ 5] as logic PT 6| SIZE_DMA_0_|NOD| | S | 3 | 4 to [ 6]| 1 XOR free - 7|CLK_000_P_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig + 7|CLK_000_N_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig 8| A0| IO| | S | 3 | 4 to [ 8]| 1 XOR free - 9|inst_CLK_000_NE|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig + 9|inst_LDS_000_INT|NOD| | S | 4 | 4 to [ 9]| 1 XOR free 10|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 | 4 to [10]| 1 XOR free -11|CLK_000_P_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig +11| IPL_D0_2_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig 12| SIZE_0_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 | 4 to [14]| 1 XOR free -15|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig +13|inst_UDS_000_INT|NOD| | S | 3 | 4 to [13]| 1 XOR free +14|CLK_000_N_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig +15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Maximum PT Capacity @@ -1601,19 +1606,19 @@ _|_________________|__|__|___|_____|_______________________________________ 0| RW| IO| | S | 2 |=> can support up to [ 9] logic PT(s) 1| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) 2| SIZE_DMA_1_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) - 3|CLK_000_N_SYNC_9_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 4| E| IO| | S | 5 |=> can support up to [ 13] logic PT(s) - 5|inst_nEXP_SPACE_D0reg|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 6| SIZE_DMA_0_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) - 7|CLK_000_P_SYNC_7_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 8| A0| IO| | S | 3 |=> can support up to [ 13] logic PT(s) - 9|inst_CLK_000_NE|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) -10|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 |=> can support up to [ 17] logic PT(s) -11|CLK_000_P_SYNC_1_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) + 3|CLK_000_N_SYNC_2_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 4| E| IO| | S | 5 |=> can support up to [ 9] logic PT(s) + 5| cpu_est_1_|NOD| | S | 5 |=> can support up to [ 9] logic PT(s) + 6| SIZE_DMA_0_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) + 7|CLK_000_N_SYNC_1_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 8| A0| IO| | S | 3 |=> can support up to [ 9] logic PT(s) + 9|inst_LDS_000_INT|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) +10|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) +11| IPL_D0_2_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) 12| SIZE_0_| IO| | S | 1 |=> can support up to [ 13] logic PT(s) -13|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) -14|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) -15|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +13|inst_UDS_000_INT|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) +14|CLK_000_N_SYNC_8_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +15| | ? | | S | |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Node-Pin Assignments @@ -1626,19 +1631,19 @@ _|_________________|__|_____|____________________|________________________ 0| RW| IO| | => | 5 ( 6) 7 0 | 70 ( 71) 72 65 1| CLK_DIV_OUT|OUT| | => | 5 6 7 ( 0)| 70 71 72 ( 65) 2| SIZE_DMA_1_|NOD| | => | 6 7 0 1 | 71 72 65 66 - 3|CLK_000_N_SYNC_9_|NOD| | => | 6 7 0 1 | 71 72 65 66 + 3|CLK_000_N_SYNC_2_|NOD| | => | 6 7 0 1 | 71 72 65 66 4| E| IO| | => | 7 0 ( 1) 2 | 72 65 ( 66) 67 - 5|inst_nEXP_SPACE_D0reg|NOD| | => | 7 0 1 2 | 72 65 66 67 + 5| cpu_est_1_|NOD| | => | 7 0 1 2 | 72 65 66 67 6| SIZE_DMA_0_|NOD| | => | 0 1 2 3 | 65 66 67 68 - 7|CLK_000_P_SYNC_7_|NOD| | => | 0 1 2 3 | 65 66 67 68 + 7|CLK_000_N_SYNC_1_|NOD| | => | 0 1 2 3 | 65 66 67 68 8| A0| IO| | => | 1 2 3 ( 4)| 66 67 68 ( 69) - 9|inst_CLK_000_NE|NOD| | => | 1 2 3 4 | 66 67 68 69 + 9|inst_LDS_000_INT|NOD| | => | 1 2 3 4 | 66 67 68 69 10|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | => | 2 3 4 5 | 67 68 69 70 -11|CLK_000_P_SYNC_1_|NOD| | => | 2 3 4 5 | 67 68 69 70 +11| IPL_D0_2_|NOD| | => | 2 3 4 5 | 67 68 69 70 12| SIZE_0_| IO| | => | 3 4 ( 5) 6 | 68 69 ( 70) 71 -13|inst_CLK_000_D0|NOD| | => | 3 4 5 6 | 68 69 70 71 -14|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | => | 4 5 6 7 | 69 70 71 72 -15|inst_CLK_OUT_PRE_D|NOD| | => | 4 5 6 7 | 69 70 71 72 +13|inst_UDS_000_INT|NOD| | => | 3 4 5 6 | 68 69 70 71 +14|CLK_000_N_SYNC_8_|NOD| | => | 4 5 6 7 | 69 70 71 72 +15| | | | => | 4 5 6 7 | 69 70 71 72 --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > IO-to-Node Pin Mapping @@ -1696,37 +1701,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 66| IO E|*| ] paired w/[ RN_E] [RegIn 1 |249| -| | ] [MCell 2 |248|NOD SIZE_DMA_1_| |*] - [MCell 3 |250|NOD CLK_000_N_SYNC_9_| |*] + [MCell 3 |250|NOD CLK_000_N_SYNC_2_| |*] 2 [IOpin 2 | 67|INP IPL_0_|*|*] [RegIn 2 |252| -| | ] [MCell 4 |251|NOD RN_E| |*] paired w/[ E] - [MCell 5 |253|NOD inst_nEXP_SPACE_D0reg| |*] + [MCell 5 |253|NOD cpu_est_1_| |*] 3 [IOpin 3 | 68|INP IPL_2_|*|*] [RegIn 3 |255| -| | ] [MCell 6 |254|NOD SIZE_DMA_0_| |*] - [MCell 7 |256|NOD CLK_000_P_SYNC_7_| |*] + [MCell 7 |256|NOD CLK_000_N_SYNC_1_| |*] 4 [IOpin 4 | 69| IO A0|*|*] paired w/[ RN_A0] [RegIn 4 |258| -| | ] [MCell 8 |257|NOD RN_A0| |*] paired w/[ A0] - [MCell 9 |259|NOD inst_CLK_000_NE| |*] + [MCell 9 |259|NOD inst_LDS_000_INT| |*] 5 [IOpin 5 | 70| IO SIZE_0_|*|*] [RegIn 5 |261| -| | ] [MCell 10 |260|NOD inst_AMIGA_BUS_ENABLE_DMA_HIGH| |*] - [MCell 11 |262|NOD CLK_000_P_SYNC_1_| |*] + [MCell 11 |262|NOD IPL_D0_2_| |*] 6 [IOpin 6 | 71| IO RW|*|*] paired w/[ RN_RW] [RegIn 6 |264| -| | ] [MCell 12 |263| IO SIZE_0_| | ] - [MCell 13 |265|NOD inst_CLK_000_D0| |*] + [MCell 13 |265|NOD inst_UDS_000_INT| |*] 7 [IOpin 7 | 72| -| | ] [RegIn 7 |267| -| | ] - [MCell 14 |266|NOD inst_AMIGA_BUS_ENABLE_DMA_LOW| |*] - [MCell 15 |268|NOD inst_CLK_OUT_PRE_D| |*] + [MCell 14 |266|NOD CLK_000_N_SYNC_8_| |*] + [MCell 15 |268| -| | ] --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Logic Array Fan-in @@ -1735,38 +1740,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux01| Mcel 3 13 ( 193)| cpu_est_1_ -Mux02| Mcel 6 4 ( 251)| RN_E -Mux03| Mcel 3 11 ( 190)| CLK_000_P_SYNC_0_ -Mux04| Mcel 3 6 ( 182)| cpu_est_0_ -Mux05| Mcel 6 6 ( 254)| SIZE_DMA_0_ +Mux01| Mcel 5 6 ( 230)| CLK_000_N_SYNC_0_ +Mux02| Mcel 1 10 ( 140)| CLK_000_N_SYNC_7_ +Mux03| IOPin 5 0 ( 60)| A1 +Mux04| Mcel 6 2 ( 248)| SIZE_DMA_1_ +Mux05| Mcel 6 10 ( 260)| inst_AMIGA_BUS_ENABLE_DMA_HIGH Mux06| IOPin 7 5 ( 80)| RW_000 -Mux07| Mcel 7 6 ( 278)| CLK_000_N_SYNC_11_ -Mux08| Mcel 1 15 ( 148)| inst_CLK_000_NE_D0 -Mux09| Mcel 1 14 ( 146)| RN_RESET -Mux10| Mcel 6 14 ( 266)| inst_AMIGA_BUS_ENABLE_DMA_LOW -Mux11| IOPin 5 0 ( 60)| A1 -Mux12| IOPin 3 3 ( 32)| UDS_000 -Mux13| Mcel 6 8 ( 257)| RN_A0 -Mux14| Input Pin ( 11)| CLK_000 -Mux15| Input Pin ( 14)| nEXP_SPACE -Mux16| Mcel 3 2 ( 176)| cpu_est_2_ +Mux07| Mcel 2 5 ( 157)| cpu_est_0_ +Mux08| IOPin 3 3 ( 32)| UDS_000 +Mux09| Mcel 5 5 ( 229)| SM_AMIGA_6_ +Mux10| Mcel 6 8 ( 257)| RN_A0 +Mux11| Mcel 6 4 ( 251)| RN_E +Mux12| Mcel 6 7 ( 256)| CLK_000_N_SYNC_1_ +Mux13| Mcel 3 7 ( 184)| inst_DS_030_D0 +Mux14| IOPin 6 5 ( 70)| SIZE_0_ +Mux15| Mcel 2 12 ( 167)| cpu_est_2_ +Mux16| Mcel 4 8 ( 209)| inst_CLK_000_NE_D0 Mux17| Mcel 6 0 ( 245)| RN_RW -Mux18| ... | ... -Mux19| Mcel 0 9 ( 115)| inst_CLK_OUT_PRE_50 -Mux20| Mcel 0 14 ( 122)| CLK_000_N_SYNC_8_ +Mux18| IOPin 6 4 ( 69)| A0 +Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D +Mux20| IOPin 7 6 ( 79)| SIZE_1_ Mux21| Input Pin ( 86)| RST -Mux22| Mcel 6 10 ( 260)| inst_AMIGA_BUS_ENABLE_DMA_HIGH -Mux23| Mcel 6 2 ( 248)| SIZE_DMA_1_ +Mux22| Mcel 6 5 ( 253)| cpu_est_1_ +Mux23| Mcel 6 6 ( 254)| SIZE_DMA_0_ Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| ... | ... -Mux26| Mcel 4 5 ( 205)| CLK_000_P_SYNC_6_ -Mux27| ... | ... -Mux28| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D +Mux25| Mcel 6 13 ( 265)| inst_UDS_000_INT +Mux26| Mcel 1 0 ( 125)| RN_RESET +Mux27| Mcel 6 9 ( 259)| inst_LDS_000_INT +Mux28| ... | ... Mux29| ... | ... -Mux30| ... | ... -Mux31| ... | ... -Mux32| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg +Mux30| IOPin 6 3 ( 68)| IPL_2_ +Mux31| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg +Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== < Block [ 7] > Macrocell (MCell) Cluster Assignments @@ -1782,7 +1787,7 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 1| FPU_CS|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig 2|CLK_000_N_SYNC_10_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 free | 1 XOR free - 4| BGACK_030| IO| | S | 2 | 4 to [ 4]| 1 XOR free + 4| BGACK_030| IO| | S | 3 | 4 to [ 4]| 1 XOR free 5|inst_AS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig 6|CLK_000_N_SYNC_11_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig 7| | ? | | S | | 4 free | 1 XOR free @@ -1809,7 +1814,7 @@ _|_________________|__|__|___|_____|_______________________________________ 1| FPU_CS|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) 2|CLK_000_N_SYNC_10_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) 3| | ? | | S | |=> can support up to [ 13] logic PT(s) - 4| BGACK_030| IO| | S | 2 |=> can support up to [ 18] logic PT(s) + 4| BGACK_030| IO| | S | 3 |=> can support up to [ 18] logic PT(s) 5|inst_AS_030_D0|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) 6|CLK_000_N_SYNC_11_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) 7| | ? | | S | |=> can support up to [ 13] logic PT(s) @@ -1856,8 +1861,8 @@ _|_________________|__|_____|____________________|________________________ | Sig Type--+ | | | | Signal Name | | | | Node Destinations Via Output Matrix _|_________________|__|___|_____|___________________________________________ - 0| | | | 85| => | 0 1 2 3 4 5 6 7 - 1| | | | 84| => | 2 3 4 5 6 7 8 9 + 0| A_23_|INP|*| 85| => | 0 1 2 3 4 5 6 7 + 1| A_22_|INP|*| 84| => | 2 3 4 5 6 7 8 9 2| BGACK_030| IO|*| 83| => | ( 4) 5 6 7 8 9 10 11 3| AS_030| IO|*| 82| => | 6 7 ( 8) 9 10 11 12 13 4| DSACK1| IO|*| 81| => | 8 ( 9) 10 11 12 13 14 15 @@ -1874,8 +1879,8 @@ _|_________________|__|___|_____|___________________________________________ | Sig Type--+ | | | | Signal Name | | | | Input Macrocell and Node Pairs _|_________________|__|___|_____|__________________________________________ - 0| | | | 85| => | Input macrocell [ -] - 1| | | | 84| => | Input macrocell [ -] + 0| A_23_|INP|*| 85| => | Input macrocell [ -] + 1| A_22_|INP|*| 84| => | Input macrocell [ -] 2| BGACK_030| IO|*| 83| => | Input macrocell [ -] | | | | | | IO paired w/ node [ RN_BGACK_030] 3| AS_030| IO|*| 82| => | Input macrocell [ -] @@ -1895,12 +1900,12 @@ IMX No. | +---- Block IO Pin or Macrocell Number | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell | | | | Sig Type | | +- Feedback Required (*) ---|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 85| -| | ] + 0 [IOpin 0 | 85|INP A_23_|*|*] [RegIn 0 |270| -| | ] [MCell 0 |269|NOD RN_RW_000| |*] paired w/[ RW_000] [MCell 1 |271|OUT FPU_CS| | ] - 1 [IOpin 1 | 84| -| | ] + 1 [IOpin 1 | 84|INP A_22_|*|*] [RegIn 1 |273| -| | ] [MCell 2 |272|NOD CLK_000_N_SYNC_10_| |*] [MCell 3 |274| -| | ] @@ -1943,35 +1948,35 @@ IMX No. | +---- Block IO Pin or Macrocell Number --|--|--------------------|--------------------------------------------------- Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 6 15 ( 268)| inst_CLK_OUT_PRE_D +Mux02| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ Mux03| Mcel 0 8 ( 113)| inst_AS_000_DMA -Mux04| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux05| Mcel 5 0 ( 221)| SM_AMIGA_i_7_ -Mux06| IOPin 0 6 ( 97)| A_19_ -Mux07| Mcel 3 9 ( 187)| inst_CLK_000_PE -Mux08| IOPin 0 0 ( 91)| FPU_SENSE -Mux09| Mcel 1 14 ( 146)| RN_RESET -Mux10| Mcel 5 4 ( 227)| SM_AMIGA_5_ -Mux11| IOPin 0 5 ( 96)| A_16_ +Mux04| IOPin 0 4 ( 95)| A_18_ +Mux05| Mcel 7 9 ( 283)| RN_DSACK1 +Mux06| IOPin 0 5 ( 96)| A_16_ +Mux07| ... | ... +Mux08| IOPin 5 1 ( 59)| A_17_ +Mux09| IOPin 7 3 ( 82)| AS_030 +Mux10| ... | ... +Mux11| IOPin 6 6 ( 71)| RW Mux12| IOPin 5 2 ( 58)| FC_1_ -Mux13| IOPin 5 1 ( 59)| A_17_ -Mux14| Mcel 7 2 ( 272)| CLK_000_N_SYNC_10_ -Mux15| Mcel 5 1 ( 223)| SM_AMIGA_1_ +Mux13| Mcel 7 5 ( 277)| inst_AS_030_D0 +Mux14| Mcel 2 4 ( 155)| inst_CLK_000_PE +Mux15| Mcel 5 2 ( 224)| CLK_000_N_SYNC_9_ Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| IOPin 5 3 ( 57)| FC_0_ +Mux17| Mcel 5 12 ( 239)| SM_AMIGA_5_ Mux18| IOPin 3 7 ( 28)| BGACK_000 -Mux19| IOPin 7 3 ( 82)| AS_030 +Mux19| IOPin 0 0 ( 91)| FPU_SENSE Mux20| Input Pin ( 64)| CLK_030 Mux21| Input Pin ( 86)| RST -Mux22| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg -Mux23| Mcel 6 2 ( 248)| SIZE_DMA_1_ -Mux24| Mcel 6 3 ( 250)| CLK_000_N_SYNC_9_ -Mux25| IOPin 6 6 ( 71)| RW -Mux26| ... | ... -Mux27| Mcel 7 9 ( 283)| RN_DSACK1 -Mux28| ... | ... +Mux22| Mcel 2 1 ( 151)| SM_AMIGA_1_ +Mux23| Mcel 4 13 ( 217)| inst_CLK_OUT_PRE_D +Mux24| IOPin 5 3 ( 57)| FC_0_ +Mux25| Mcel 5 0 ( 221)| inst_nEXP_SPACE_D0reg +Mux26| Mcel 1 0 ( 125)| RN_RESET +Mux27| IOPin 0 6 ( 97)| A_19_ +Mux28| Mcel 7 2 ( 272)| CLK_000_N_SYNC_10_ Mux29| Mcel 6 6 ( 254)| SIZE_DMA_0_ Mux30| Mcel 7 0 ( 269)| RN_RW_000 -Mux31| IOPin 0 4 ( 95)| A_18_ +Mux31| Mcel 6 2 ( 248)| SIZE_DMA_1_ Mux32| Mcel 5 9 ( 235)| SM_AMIGA_0_ --------------------------------------------------------------------------- \ No newline at end of file diff --git a/Logic/68030_tk.rpt b/Logic/68030_tk.rpt index 3e80148..face428 100644 --- a/Logic/68030_tk.rpt +++ b/Logic/68030_tk.rpt @@ -12,7 +12,7 @@ Project_Summary Project Name : 68030_tk Project Path : C:\Users\Matze\Documents\GitHub\68030tk\Logic -Project Fitted on : Thu Jul 09 18:49:07 2015 +Project Fitted on : Thu Sep 24 16:21:08 2015 Device : M4A5-128/64 Package : 100TQFP @@ -37,7 +37,7 @@ Fitter 00:00:00 Design_Summary ~~~~~~~~~~~~~~ - Total Input Pins : 28 + Total Input Pins : 32 Total Output Pins : 18 Total Bidir I/O Pins : 11 Total Flip-Flops : 83 @@ -53,14 +53,14 @@ Device_Resource_Summary Dedicated Pins Input-Only Pins 2 2 0 --> 100% Clock/Input Pins 4 4 0 --> 100% -I/O Pins 64 51 13 --> 79% -Logic Macrocells 128 101 27 --> 78% +I/O Pins 64 55 9 --> 85% +Logic Macrocells 128 102 26 --> 79% Input Registers 64 0 64 --> 0% Unusable Macrocells .. 0 .. -CSM Outputs/Total Block Inputs 264 228 36 --> 86% +CSM Outputs/Total Block Inputs 264 237 27 --> 89% Logical Product Terms 640 253 387 --> 39% -Product Term Clusters 128 58 70 --> 45% +Product Term Clusters 128 59 69 --> 46%  Blocks_Resource_Summary @@ -71,14 +71,14 @@ Blocks_Resource_Summary --------------------------------------------------------------------------------- Maximum 33 8 8 -- -- 16 80 16 - --------------------------------------------------------------------------------- -Block A 30 6 0 16 0 0 47 5 Lo -Block B 29 8 0 16 0 0 62 1 Lo -Block C 28 7 0 9 0 7 20 11 Lo -Block D 28 8 0 16 0 0 28 10 Lo -Block E 27 4 0 7 0 9 8 15 Lo -Block F 28 5 0 11 0 5 43 5 Lo -Block G 27 7 0 16 0 0 29 9 Lo -Block H 31 6 0 10 0 6 16 13 Lo +Block A 27 8 0 14 0 2 41 6 Lo +Block B 28 8 0 15 0 1 53 5 Lo +Block C 31 7 0 15 0 1 41 4 Lo +Block D 29 8 0 14 0 2 19 12 Lo +Block E 31 4 0 8 0 8 10 14 Lo +Block F 30 5 0 11 0 5 36 7 Lo +Block G 30 7 0 15 0 1 36 7 Lo +Block H 31 8 0 10 0 6 17 13 Lo --------------------------------------------------------------------------------- Four rightmost columns above reflect last status of the placement process. @@ -250,8 +250,8 @@ Pin No| Type |Pad |Pin | Signal name 81 | I_O | H4 | * |DSACK1 82 | I_O | H3 | * |AS_030 83 | I_O | H2 | * |BGACK_030 -84 | I_O | H1 | | -85 | I_O | H0 | | +84 | I_O | H1 | * |A_22_ +85 | I_O | H0 | * |A_23_ 86 | Inp | | * |RST 87 | Vcc | | | 88 | GND | | | @@ -259,8 +259,8 @@ Pin No| Type |Pad |Pin | Signal name 90 | Vcc | | | 91 | I_O | A0 | * |FPU_SENSE 92 | I_O | A1 | * |AVEC -93 | I_O | A2 | | -94 | I_O | A3 | | +93 | I_O | A2 | * |A_20_ +94 | I_O | A3 | * |A_21_ 95 | I_O | A4 | * |A_18_ 96 | I_O | A5 | * |A_16_ 97 | I_O | A6 | * |A_19_ @@ -287,11 +287,15 @@ Input_Signal_List Pin r e O Input Pin Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - 60 F . I/O ------G- Low Slow A1 - 96 A . I/O --C-E--H Low Slow A_16_ - 59 F . I/O --C-E--H Low Slow A_17_ - 95 A . I/O --C-E--H Low Slow A_18_ - 97 A . I/O --C-E--H Low Slow A_19_ + 60 F . I/O --C---G- Low Slow A1 + 96 A . I/O ----EF-H Low Slow A_16_ + 59 F . I/O ----EF-H Low Slow A_17_ + 95 A . I/O ----EF-H Low Slow A_18_ + 97 A . I/O ----EF-H Low Slow A_19_ + 93 A . I/O ----E--- Low Slow A_20_ + 94 A . I/O ----E--- Low Slow A_21_ + 84 H . I/O ----E--- Low Slow A_22_ + 85 H . I/O ----E--- Low Slow A_23_ 19 C . I/O ----E--- Low Slow A_24_ 18 C . I/O ----E--- Low Slow A_25_ 17 C . I/O ----E--- Low Slow A_26_ @@ -302,16 +306,16 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 4 B . I/O ----E--- Low Slow A_31_ 28 D . I/O ----E--H Low Slow BGACK_000 21 C . I/O ---D---- Low Slow BG_030 - 30 D . I/O ---D---- Low Slow DTACK - 57 F . I/O --C-E--H Low Slow FC_0_ - 58 F . I/O --C-E--H Low Slow FC_1_ + 30 D . I/O -B------ Low Slow DTACK + 57 F . I/O ----EF-H Low Slow FC_0_ + 58 F . I/O ----EF-H Low Slow FC_1_ 91 A . I/O ----E--H Low Slow FPU_SENSE - 67 G . I/O -B------ Low Slow IPL_0_ - 56 F . I/O -BC----- Low Slow IPL_1_ - 68 G . I/O -B------ Low Slow IPL_2_ - 11 . . Ck/I ------G- - Slow CLK_000 - 14 . . Ck/I ------G- - Slow nEXP_SPACE - 36 . . Ded -----F-- - Slow VPA + 67 G . I/O -B-D---- Low Slow IPL_0_ + 56 F . I/O -B-D---- Low Slow IPL_1_ + 68 G . I/O -B----G- Low Slow IPL_2_ + 11 . . Ck/I -B------ - Slow CLK_000 + 14 . . Ck/I -----F-- - Slow nEXP_SPACE + 36 . . Ded ---D---- - Slow VPA 61 . . Ck/I ABCDEFGH - Slow CLK_OSZI 64 . . Ck/I AB-----H - Slow CLK_030 86 . . Ded ABCD-FGH - Slow RST @@ -335,7 +339,7 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 34 D 2 COM -------- Low Fast AMIGA_BUS_ENABLE_HIGH 20 C 1 COM -------- Low Fast AMIGA_BUS_ENABLE_LOW 92 A 1 COM -------- Low Slow AVEC - 83 H 2 DFF * * -------- Low Slow BGACK_030 + 83 H 3 DFF * * -------- Low Slow BGACK_030 29 D 2 DFF * * -------- Low Slow BG_000 47 E 1 COM -------- Low Slow CIIN 65 G 1 COM -------- Low Fast CLK_DIV_OUT @@ -363,16 +367,16 @@ Bidir_Signal_List Pin r e O Bidir Pin Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - 69 G 3 DFF * * -B---F-- Low Slow A0 + 69 G 3 DFF * * ------G- Low Slow A0 42 E 1 COM A---E--H Low Slow AS_000 82 H 1 COM ----E--H Low Slow AS_030 - 41 E 1 COM --C--F-H Low Slow BERR + 41 E 1 COM A-C--F-H Low Slow BERR 98 A 1 COM ---D---- Low Slow DS_030 31 D 1 COM A-----G- Low Slow LDS_000 - 71 G 2 DFF * * --C----H Low Slow RW + 71 G 2 DFF * * A------H Low Slow RW 80 H 3 DFF * * A---E-G- Low Slow RW_000 - 70 G 1 COM -B------ Low Slow SIZE_0_ - 79 H 1 COM -B------ Low Slow SIZE_1_ + 70 G 1 COM ------G- Low Slow SIZE_0_ + 79 H 1 COM ------G- Low Slow SIZE_1_ 32 D 1 COM A-----G- Low Slow UDS_000 ---------------------------------------------------------------------- @@ -389,90 +393,91 @@ Buried_Signal_List Pin r e O Node #Mc Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - D7 D 1 DFF * * A------- Low Slow CLK_000_N_SYNC_0_ + E5 E 2 COM ----E--- Low Slow CIIN_0 + F6 F 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_0_ H2 H 1 DFF * * -------H Low Slow CLK_000_N_SYNC_10_ - H6 H 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_11_ - A15 A 1 DFF * * A------- Low Slow CLK_000_N_SYNC_1_ - A11 A 1 DFF * * A------- Low Slow CLK_000_N_SYNC_2_ - A7 A 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_3_ - D3 D 1 DFF * * A------- Low Slow CLK_000_N_SYNC_4_ - A3 A 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_5_ - D14 D 1 DFF * * ----E--- Low Slow CLK_000_N_SYNC_6_ - E8 E 1 DFF * * A------- Low Slow CLK_000_N_SYNC_7_ - A14 A 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_8_ - G3 G 1 DFF * * -------H Low Slow CLK_000_N_SYNC_9_ - D11 D 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_0_ - G11 G 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_1_ - B3 B 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_2_ - C9 C 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_3_ - B4 B 1 DFF * * ----E--- Low Slow CLK_000_P_SYNC_4_ - E9 E 1 DFF * * ----E--- Low Slow CLK_000_P_SYNC_5_ - E5 E 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_6_ - G7 G 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_7_ - C5 C 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_8_ - C2 C 1 DFF * * ---D---- Low Slow CLK_000_P_SYNC_9_ - A10 A 2 DFF * * A------- Low Slow CYCLE_DMA_0_ - A6 A 3 DFF * * A------- Low Slow CYCLE_DMA_1_ - B7 B 1 DFF * * -B------ Low Slow IPL_D0_0_ - C13 C 1 DFF * * -B------ Low Slow IPL_D0_1_ - B13 B 1 DFF * * -B------ Low Slow IPL_D0_2_ - F6 F 4 COM -----F-- Low Slow N_165 + H6 H 1 DFF * * -----F-- Low Slow CLK_000_N_SYNC_11_ + G7 G 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_1_ + G3 G 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_2_ + B7 B 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_3_ + B3 B 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_4_ + B14 B 1 DFF * * A------- Low Slow CLK_000_N_SYNC_5_ + A6 A 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_6_ + B10 B 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_7_ + G14 G 1 DFF * * -----F-- Low Slow CLK_000_N_SYNC_8_ + F2 F 1 DFF * * -------H Low Slow CLK_000_N_SYNC_9_ + D10 D 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_0_ + C11 C 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_1_ + B11 B 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_2_ + C7 C 1 DFF * * ---D---- Low Slow CLK_000_P_SYNC_3_ + D6 D 1 DFF * * -----F-- Low Slow CLK_000_P_SYNC_4_ + F10 F 1 DFF * * A------- Low Slow CLK_000_P_SYNC_5_ + A3 A 1 DFF * * A------- Low Slow CLK_000_P_SYNC_6_ + A14 A 1 DFF * * A------- Low Slow CLK_000_P_SYNC_7_ + A10 A 1 DFF * * A------- Low Slow CLK_000_P_SYNC_8_ + A7 A 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_9_ + A2 A 2 DFF * * A------- Low Slow CYCLE_DMA_0_ + A13 A 3 DFF * * A------- Low Slow CYCLE_DMA_1_ + D3 D 1 DFF * * -B------ Low Slow IPL_D0_0_ + D14 D 1 DFF * * -B------ Low Slow IPL_D0_1_ + G11 G 1 DFF * * -B------ Low Slow IPL_D0_2_ G8 G 3 DFF * * ------G- Low - RN_A0 --> A0 - H4 H 2 DFF * * A-CDE-GH Low - RN_BGACK_030 --> BGACK_030 + H4 H 3 DFF * * A-CDEFGH Low - RN_BGACK_030 --> BGACK_030 D1 D 2 DFF * * ---D---- Low - RN_BG_000 --> BG_000 H9 H 4 DFF * * -------H Low - RN_DSACK1 --> DSACK1 - G4 G 5 DFF * * ---D-FG- Low - RN_E --> E + G4 G 5 DFF * * --CD--G- Low - RN_E --> E B8 B 10 DFF * * -B------ Low - RN_IPL_030_0_ --> IPL_030_0_ - B6 B 10 DFF * * -B------ Low - RN_IPL_030_1_ --> IPL_030_1_ - B2 B 10 DFF * * -B------ Low - RN_IPL_030_2_ --> IPL_030_2_ - B14 B 2 DFF * * AB-DE-GH Low - RN_RESET --> RESET + B12 B 10 DFF * * -B------ Low - RN_IPL_030_1_ --> IPL_030_1_ + B4 B 10 DFF * * -B------ Low - RN_IPL_030_2_ --> IPL_030_2_ + B0 B 2 DFF * * AB-DE-GH Low - RN_RESET --> RESET G0 G 2 DFF * * ------G- Low - RN_RW --> RW H0 H 3 DFF * * -------H Low - RN_RW_000 --> RW_000 - D0 D 3 TFF * * ---D-F-- Low - RN_VMA --> VMA - B10 B 3 DFF * * AB------ Low Slow RST_DLY_0_ - A1 A 4 DFF * * AB------ Low Slow RST_DLY_1_ - B5 B 5 DFF * * AB------ Low Slow RST_DLY_2_ - B0 B 6 DFF * * AB------ Low Slow RST_DLY_3_ - B12 B 2 TFF * * AB------ Low Slow RST_DLY_4_ - A12 A 4 TFF * * AB------ Low Slow RST_DLY_5_ - B11 B 3 TFF * * AB------ Low Slow RST_DLY_6_ - A5 A 2 DFF * * AB------ Low Slow RST_DLY_7_ + D0 D 3 TFF * * --CD---- Low - RN_VMA --> VMA + C6 C 3 DFF * * -BC----- Low Slow RST_DLY_0_ + B13 B 4 DFF * * -BC----- Low Slow RST_DLY_1_ + B5 B 5 DFF * * -BC----- Low Slow RST_DLY_2_ + C9 C 6 DFF * * -BC----- Low Slow RST_DLY_3_ + C14 C 2 TFF * * -BC----- Low Slow RST_DLY_4_ + B9 B 4 TFF * * -BC----- Low Slow RST_DLY_5_ + C2 C 3 TFF * * -BC----- Low Slow RST_DLY_6_ + C10 C 2 DFF * * -BC----- Low Slow RST_DLY_7_ G6 G 3 DFF * * ------GH Low Slow SIZE_DMA_0_ G2 G 3 DFF * * ------GH Low Slow SIZE_DMA_1_ F9 F 2 DFF * * -----F-H Low Slow SM_AMIGA_0_ - F1 F 3 DFF * * -----F-H Low Slow SM_AMIGA_1_ - F2 F 4 DFF * * -----F-- Low Slow SM_AMIGA_2_ - F12 F 5 TFF * * --C--F-- Low Slow SM_AMIGA_3_ - F10 F 3 DFF * * -----F-- Low Slow SM_AMIGA_4_ - F4 F 3 DFF * * --C--F-H Low Slow SM_AMIGA_5_ - C4 C 3 DFF * * -BC--F-- Low Slow SM_AMIGA_6_ - F0 F 14 DFF * * --CD---H Low Slow SM_AMIGA_i_7_ - D6 D 2 DFF * * ---D-FG- Low Slow cpu_est_0_ - D13 D 5 DFF * * ---D-FG- Low Slow cpu_est_1_ - D2 D 4 DFF * * ---D-FG- Low Slow cpu_est_2_ + C1 C 3 DFF * * --C--F-H Low Slow SM_AMIGA_1_ + C13 C 4 DFF * * --C--F-- Low Slow SM_AMIGA_2_ + C8 C 5 TFF * * A-C--F-- Low Slow SM_AMIGA_3_ + F1 F 3 DFF * * --C--F-- Low Slow SM_AMIGA_4_ + F12 F 3 DFF * * A----F-H Low Slow SM_AMIGA_5_ + F5 F 3 DFF * * -----FG- Low Slow SM_AMIGA_6_ + F8 F 13 DFF * * ---D-F-H Low Slow SM_AMIGA_i_7_ + C5 C 2 DFF * * --CD--G- Low Slow cpu_est_0_ + G5 G 5 DFF * * --CD--G- Low Slow cpu_est_1_ + C12 C 4 DFF * * --CD--G- Low Slow cpu_est_2_ G10 G 2 DFF * * ---D--G- Low Slow inst_AMIGA_BUS_ENABLE_DMA_HIGH - G14 G 2 DFF * * --C---G- Low Slow inst_AMIGA_BUS_ENABLE_DMA_LOW + C3 C 2 DFF * * --C----- Low Slow inst_AMIGA_BUS_ENABLE_DMA_LOW A8 A 7 DFF * * A------H Low Slow inst_AS_000_DMA - C1 C 2 DFF * * --C-E--- Low Slow inst_AS_000_INT - C8 C 7 DFF * * --C--F-- Low Slow inst_AS_030_000_SYNC - H5 H 1 DFF * * --CDE--H Low Slow inst_AS_030_D0 - H13 H 1 DFF * * --C---G- Low Slow inst_BGACK_030_INT_D - G13 G 1 DFF * * --CD-F-- Low Slow inst_CLK_000_D0 - F8 F 1 DFF * * --CD-F-- Low Slow inst_CLK_000_D1 - G9 G 1 DFF * * AB-D-F-- Low Slow inst_CLK_000_NE - B15 B 1 DFF * * ---D-FG- Low Slow inst_CLK_000_NE_D0 - D9 D 1 DFF * * A-CD-F-H Low Slow inst_CLK_000_PE - A2 A 8 DFF * * A------- Low Slow inst_CLK_030_H - A9 A 1 DFF * * A-----G- Low Slow inst_CLK_OUT_PRE_50 - G15 G 1 DFF * * -------H Low Slow inst_CLK_OUT_PRE_D - A13 A 9 DFF * * A------- Low Slow inst_DS_000_DMA - C12 C 3 DFF * * --CD---- Low Slow inst_DS_000_ENABLE - D10 D 1 DFF * * -B---F-- Low Slow inst_DS_030_D0 - D15 D 1 DFF * * -----F-- Low Slow inst_DTACK_D0 - B9 B 4 DFF * * -B-D---- Low Slow inst_LDS_000_INT - F5 F 3 DFF * * ---D-F-- Low Slow inst_UDS_000_INT - F13 F 1 DFF * * ---D-F-- Low Slow inst_VPA_D - G5 G 1 DFF * * A-CDEFGH Low Slow inst_nEXP_SPACE_D0reg + A1 A 2 DFF * * A---E--- Low Slow inst_AS_000_INT + F13 F 7 DFF * * -----F-- Low Slow inst_AS_030_000_SYNC + H5 H 1 DFF * * A--DEF-H Low Slow inst_AS_030_D0 + H13 H 1 DFF * * --C--FG- Low Slow inst_BGACK_030_INT_D + B2 B 1 DFF * * ---D-F-- Low Slow inst_CLK_000_D0 + D9 D 1 DFF * * ---D-F-- Low Slow inst_CLK_000_D1 + F4 F 1 DFF * * -BCDEF-- Low Slow inst_CLK_000_NE + E8 E 1 DFF * * --CD--G- Low Slow inst_CLK_000_NE_D0 + C4 C 1 DFF * * A-CD-F-H Low Slow inst_CLK_000_PE + A9 A 8 DFF * * A------- Low Slow inst_CLK_030_H + E9 E 1 DFF * * ----E--- Low Slow inst_CLK_OUT_PRE_50 + E13 E 1 DFF * * -------H Low Slow inst_CLK_OUT_PRE_D + A5 A 9 DFF * * A------- Low Slow inst_DS_000_DMA + A12 A 3 DFF * * A--D---- Low Slow inst_DS_000_ENABLE + D7 D 1 DFF * * ------G- Low Slow inst_DS_030_D0 + B6 B 1 DFF * * --CD---- Low Slow inst_DTACK_D0 + G9 G 4 DFF * * ---D--G- Low Slow inst_LDS_000_INT + G13 G 3 DFF * * ---D--G- Low Slow inst_UDS_000_INT + D13 D 1 DFF * * --CD---- Low Slow inst_VPA_D + F0 F 1 DFF * * A--DEFGH Low Slow inst_nEXP_SPACE_D0reg + D2 D 2 COM -----F-- Low Slow pos_clk_un29_clk_000_ne_d0_i_n ---------------------------------------------------------------------- Power : Hi = High @@ -487,119 +492,122 @@ Signals_Fanout_List ~~~~~~~~~~~~~~~~~~~ Signal Source : Fanout List ----------------------------------------------------------------------------- - SIZE_0_{ H}:inst_LDS_000_INT{ B} - A_30_{ C}: CIIN{ E} - SIZE_1_{ I}:inst_LDS_000_INT{ B} - A_29_{ C}: CIIN{ E} - A_28_{ D}: CIIN{ E} - A_31_{ C}: CIIN{ E} - A_27_{ D}: CIIN{ E} - A_26_{ D}: CIIN{ E} - A_25_{ D}: CIIN{ E} - A_24_{ D}: CIIN{ E} + A_28_{ D}: CIIN{ E} CIIN_0{ E} + A_27_{ D}: CIIN{ E} CIIN_0{ E} + SIZE_1_{ I}:inst_LDS_000_INT{ G} + A_26_{ D}: CIIN{ E} CIIN_0{ E} + A_25_{ D}: CIIN{ E} CIIN_0{ E} + A_31_{ C}: CIIN{ E} CIIN_0{ E} + A_24_{ D}: CIIN{ E} CIIN_0{ E} + A_23_{ I}: CIIN{ E} CIIN_0{ E} + A_22_{ I}: CIIN{ E} CIIN_0{ E} + A_21_{ B}: CIIN{ E} CIIN_0{ E} IPL_2_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_2_{ B} - FC_1_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + : IPL_D0_2_{ G} + A_20_{ B}: CIIN{ E} CIIN_0{ E} + A_19_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} + FC_1_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} + A_18_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} AS_030{ I}: AS_000{ E} BERR{ E} FPU_CS{ H} : inst_AS_030_D0{ H} + A_17_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} AS_000{ F}: AS_030{ H} DS_030{ A}AMIGA_BUS_DATA_DIR{ E} - :inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_0_{ A} - : CYCLE_DMA_1_{ A} inst_CLK_030_H{ A} - A_19_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} - A_18_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + : BGACK_030{ H}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} + : CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} inst_CLK_030_H{ A} + A_16_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} DS_030{ B}: UDS_000{ D} LDS_000{ D} inst_DS_030_D0{ D} - A_17_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} UDS_000{ E}: A0{ G}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} inst_CLK_030_H{ A} - A_16_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} LDS_000{ E}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} SIZE_DMA_0_{ G} : SIZE_DMA_1_{ G} inst_CLK_030_H{ A} - A1{ G}:inst_AMIGA_BUS_ENABLE_DMA_LOW{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} - nEXP_SPACE{. }:inst_nEXP_SPACE_D0reg{ G} - BERR{ F}: DSACK1{ H}inst_AS_000_INT{ C} SM_AMIGA_5_{ F} - :inst_AS_030_000_SYNC{ C} SM_AMIGA_3_{ F} SM_AMIGA_0_{ F} - : SM_AMIGA_6_{ C} SM_AMIGA_1_{ F} SM_AMIGA_4_{ F} - : SM_AMIGA_2_{ F}inst_DS_000_ENABLE{ C} SM_AMIGA_i_7_{ F} + A1{ G}:inst_AMIGA_BUS_ENABLE_DMA_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} + nEXP_SPACE{. }:inst_nEXP_SPACE_D0reg{ F} + BERR{ F}: DSACK1{ H}inst_AS_000_INT{ A} SM_AMIGA_5_{ F} + :inst_AS_030_000_SYNC{ F} SM_AMIGA_3_{ C} SM_AMIGA_0_{ F} + : SM_AMIGA_6_{ F} SM_AMIGA_1_{ C} SM_AMIGA_4_{ F} + : SM_AMIGA_2_{ C}inst_DS_000_ENABLE{ A} SM_AMIGA_i_7_{ F} BG_030{ D}: BG_000{ D} BGACK_000{ E}: BERR{ E} FPU_CS{ H} BGACK_030{ H} CLK_030{. }: CLK_EXP{ B} DSACK1{ H}inst_AS_000_DMA{ A} :inst_DS_000_DMA{ A} inst_CLK_030_H{ A} - CLK_000{. }:inst_CLK_000_D0{ G} - FPU_SENSE{ B}: BERR{ E} FPU_CS{ H} + CLK_000{. }:inst_CLK_000_D0{ B} IPL_1_{ G}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_1_{ C} - DTACK{ E}: inst_DTACK_D0{ D} + : IPL_D0_1_{ D} IPL_0_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_0_{ B} - FC_0_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} - VPA{. }: inst_VPA_D{ F} + : IPL_D0_0_{ D} + FPU_SENSE{ B}: BERR{ E} FPU_CS{ H} + FC_0_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} + DTACK{ E}: inst_DTACK_D0{ B} + VPA{. }: inst_VPA_D{ D} RST{. }: IPL_030_2_{ B} RW_000{ H} A0{ G} : BG_000{ D} BGACK_030{ H} IPL_030_1_{ B} : IPL_030_0_{ B} DSACK1{ H} VMA{ D} - : RESET{ B} RW{ G}inst_AS_000_INT{ C} - : SM_AMIGA_5_{ F}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} inst_AS_030_D0{ H} - :inst_nEXP_SPACE_D0reg{ G} inst_DS_030_D0{ D}inst_AS_030_000_SYNC{ C} + : RESET{ B} RW{ G}inst_AS_000_INT{ A} + : SM_AMIGA_5_{ F}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} inst_AS_030_D0{ H} + :inst_nEXP_SPACE_D0reg{ F} inst_DS_030_D0{ D}inst_AS_030_000_SYNC{ F} :inst_BGACK_030_INT_D{ H}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} : CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} SIZE_DMA_0_{ G} - : SIZE_DMA_1_{ G} inst_VPA_D{ F}inst_UDS_000_INT{ F} - :inst_LDS_000_INT{ B} inst_DTACK_D0{ D} IPL_D0_0_{ B} - : IPL_D0_1_{ C} IPL_D0_2_{ B} SM_AMIGA_3_{ F} - : SM_AMIGA_0_{ F}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} SM_AMIGA_6_{ C} - : RST_DLY_0_{ B} RST_DLY_1_{ A} RST_DLY_2_{ B} - : RST_DLY_3_{ B} RST_DLY_4_{ B} RST_DLY_5_{ A} - : RST_DLY_6_{ B} RST_DLY_7_{ A} inst_CLK_030_H{ A} - : SM_AMIGA_1_{ F} SM_AMIGA_4_{ F} SM_AMIGA_2_{ F} - :inst_DS_000_ENABLE{ C} SM_AMIGA_i_7_{ F} + : SIZE_DMA_1_{ G} inst_VPA_D{ D}inst_UDS_000_INT{ G} + :inst_LDS_000_INT{ G} inst_DTACK_D0{ B} IPL_D0_0_{ D} + : IPL_D0_1_{ D} IPL_D0_2_{ G} SM_AMIGA_3_{ C} + : SM_AMIGA_0_{ F}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} SM_AMIGA_6_{ F} + : RST_DLY_0_{ C} RST_DLY_1_{ B} RST_DLY_2_{ B} + : RST_DLY_3_{ C} RST_DLY_4_{ C} RST_DLY_5_{ B} + : RST_DLY_6_{ C} RST_DLY_7_{ C} inst_CLK_030_H{ A} + : SM_AMIGA_1_{ C} SM_AMIGA_4_{ F} SM_AMIGA_2_{ C} + :inst_DS_000_ENABLE{ A} SM_AMIGA_i_7_{ F} + SIZE_0_{ H}:inst_LDS_000_INT{ G} + A_30_{ C}: CIIN{ E} CIIN_0{ E} + A_29_{ C}: CIIN{ E} CIIN_0{ E} RN_IPL_030_2_{ C}: IPL_030_2_{ B} RW_000{ I}:AMIGA_BUS_DATA_DIR{ E} RW{ G}inst_DS_000_DMA{ A} RN_RW_000{ I}: RW_000{ H} - A0{ H}:inst_UDS_000_INT{ F}inst_LDS_000_INT{ B} + A0{ H}:inst_UDS_000_INT{ G}inst_LDS_000_INT{ G} RN_A0{ H}: A0{ G} RN_BG_000{ E}: BG_000{ D} -RN_BGACK_030{ I}: SIZE_0_{ G} SIZE_1_{ H} AS_030{ H} - : AS_000{ E} DS_030{ A} UDS_000{ D} - : LDS_000{ D}AMIGA_BUS_DATA_DIR{ E}AMIGA_BUS_ENABLE_LOW{ C} - :AMIGA_BUS_ENABLE_HIGH{ D} RW_000{ H} A0{ G} - : BGACK_030{ H} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} - :inst_AS_030_000_SYNC{ C}inst_BGACK_030_INT_D{ H}inst_AS_000_DMA{ A} +RN_BGACK_030{ I}: SIZE_1_{ H} AS_030{ H} AS_000{ E} + : DS_030{ A} UDS_000{ D} LDS_000{ D} + :AMIGA_BUS_DATA_DIR{ E}AMIGA_BUS_ENABLE_LOW{ C}AMIGA_BUS_ENABLE_HIGH{ D} + : SIZE_0_{ G} RW_000{ H} A0{ G} + : BGACK_030{ H} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} + :inst_AS_030_000_SYNC{ F}inst_BGACK_030_INT_D{ H}inst_AS_000_DMA{ A} :inst_DS_000_DMA{ A} CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} : inst_CLK_030_H{ A} RN_IPL_030_1_{ C}: IPL_030_1_{ B} RN_IPL_030_0_{ C}: IPL_030_0_{ B} RN_DSACK1{ I}: DSACK1{ H} - RN_E{ H}: E{ G} VMA{ D} cpu_est_1_{ D} - : cpu_est_2_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - : SM_AMIGA_i_7_{ F} - RN_VMA{ E}: VMA{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - : SM_AMIGA_i_7_{ F} + RN_E{ H}: E{ G} VMA{ D} cpu_est_1_{ G} + : cpu_est_2_{ C} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} + : SM_AMIGA_2_{ C} + RN_VMA{ E}: VMA{ D} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} + : SM_AMIGA_2_{ C} RN_RESET{ C}: AS_030{ H} AS_000{ E} DS_030{ A} : UDS_000{ D} LDS_000{ D} RW_000{ H} : A0{ G} RESET{ B} RW{ G} - RW{ H}: RW_000{ H}inst_DS_000_ENABLE{ C} + RW{ H}: RW_000{ H}inst_DS_000_ENABLE{ A} RN_RW{ H}: RW{ G} - N_165{ G}: SM_AMIGA_i_7_{ F} - cpu_est_0_{ E}: E{ G} VMA{ D} cpu_est_0_{ D} - : cpu_est_1_{ D} cpu_est_2_{ D} SM_AMIGA_3_{ F} - : SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} - cpu_est_1_{ E}: E{ G} VMA{ D} cpu_est_1_{ D} - : cpu_est_2_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - : SM_AMIGA_i_7_{ F} -inst_AS_000_INT{ D}: AS_000{ E}inst_AS_000_INT{ C} -SM_AMIGA_5_{ G}: RW_000{ H} N_165{ F}inst_AS_000_INT{ C} - : SM_AMIGA_5_{ F} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C} - : SM_AMIGA_i_7_{ F} -inst_AMIGA_BUS_ENABLE_DMA_LOW{ H}:AMIGA_BUS_ENABLE_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} + cpu_est_0_{ D}: E{ G} VMA{ D} cpu_est_0_{ C} + : cpu_est_1_{ G} cpu_est_2_{ C} SM_AMIGA_3_{ C} + :pos_clk_un29_clk_000_ne_d0_i_n{ D} SM_AMIGA_2_{ C} + cpu_est_1_{ H}: E{ G} VMA{ D} cpu_est_1_{ G} + : cpu_est_2_{ C} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} + : SM_AMIGA_2_{ C} +inst_AS_000_INT{ B}: AS_000{ E}inst_AS_000_INT{ A} +SM_AMIGA_5_{ G}: RW_000{ H}inst_AS_000_INT{ A} SM_AMIGA_5_{ F} + : SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ A} SM_AMIGA_i_7_{ F} +inst_AMIGA_BUS_ENABLE_DMA_LOW{ D}:AMIGA_BUS_ENABLE_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} inst_AS_030_D0{ I}: CIIN{ E} BG_000{ D} DSACK1{ H} - :inst_AS_000_INT{ C}inst_AS_030_000_SYNC{ C}inst_DS_000_ENABLE{ C} -inst_nEXP_SPACE_D0reg{ H}: SIZE_0_{ G} SIZE_1_{ H} AS_030{ H} - : DS_030{ A}AMIGA_BUS_DATA_DIR{ E} CIIN{ E} - : A0{ G} BG_000{ D} DSACK1{ H} - : N_165{ F}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ C} -inst_DS_030_D0{ E}:inst_UDS_000_INT{ F}inst_LDS_000_INT{ B} -inst_AS_030_000_SYNC{ D}: N_165{ F}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ C} -inst_BGACK_030_INT_D{ I}: A0{ G} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} - :inst_AS_030_000_SYNC{ C} SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} + :inst_AS_000_INT{ A}inst_AS_030_000_SYNC{ F}inst_DS_000_ENABLE{ A} + : CIIN_0{ E} +inst_nEXP_SPACE_D0reg{ G}: SIZE_1_{ H} AS_030{ H} DS_030{ A} + :AMIGA_BUS_DATA_DIR{ E} SIZE_0_{ G} A0{ G} + : BG_000{ D} DSACK1{ H}inst_AS_030_000_SYNC{ F} + : SM_AMIGA_6_{ F} SM_AMIGA_i_7_{ F} CIIN_0{ E} +inst_DS_030_D0{ E}:inst_UDS_000_INT{ G}inst_LDS_000_INT{ G} +inst_AS_030_000_SYNC{ G}:inst_AS_030_000_SYNC{ F} SM_AMIGA_6_{ F} SM_AMIGA_i_7_{ F} +inst_BGACK_030_INT_D{ I}: A0{ G} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} + :inst_AS_030_000_SYNC{ F} SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} :inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} inst_AS_000_DMA{ B}: AS_030{ H}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} : inst_CLK_030_H{ A} @@ -608,102 +616,101 @@ CYCLE_DMA_0_{ B}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_0_{ A} : CYCLE_DMA_1_{ A} inst_CLK_030_H{ A} CYCLE_DMA_1_{ B}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_1_{ A} : inst_CLK_030_H{ A} -SIZE_DMA_0_{ H}: SIZE_0_{ G} SIZE_1_{ H} SIZE_DMA_0_{ G} -SIZE_DMA_1_{ H}: SIZE_0_{ G} SIZE_1_{ H} SIZE_DMA_1_{ G} - inst_VPA_D{ G}: VMA{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} +SIZE_DMA_0_{ H}: SIZE_1_{ H} SIZE_0_{ G} SIZE_DMA_0_{ G} +SIZE_DMA_1_{ H}: SIZE_1_{ H} SIZE_0_{ G} SIZE_DMA_1_{ G} + inst_VPA_D{ E}: VMA{ D} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} + : SM_AMIGA_2_{ C} +inst_UDS_000_INT{ H}: UDS_000{ D}inst_UDS_000_INT{ G} +inst_LDS_000_INT{ H}: LDS_000{ D}inst_LDS_000_INT{ G} +inst_CLK_OUT_PRE_D{ F}: DSACK1{ H} +inst_DTACK_D0{ C}: SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} SM_AMIGA_2_{ C} +inst_CLK_OUT_PRE_50{ F}:inst_CLK_OUT_PRE_D{ E}inst_CLK_OUT_PRE_50{ E} +inst_CLK_000_D1{ E}: SM_AMIGA_6_{ F}CLK_000_P_SYNC_0_{ D}CLK_000_N_SYNC_0_{ F} : SM_AMIGA_i_7_{ F} -inst_UDS_000_INT{ G}: UDS_000{ D}inst_UDS_000_INT{ F} -inst_LDS_000_INT{ C}: LDS_000{ D}inst_LDS_000_INT{ B} -inst_CLK_OUT_PRE_D{ H}: DSACK1{ H} -inst_DTACK_D0{ E}: SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} -inst_CLK_OUT_PRE_50{ B}:inst_CLK_OUT_PRE_D{ G}inst_CLK_OUT_PRE_50{ A} -inst_CLK_000_D1{ G}: N_165{ F} SM_AMIGA_6_{ C}CLK_000_P_SYNC_0_{ D} - :CLK_000_N_SYNC_0_{ D} -inst_CLK_000_D0{ H}: BG_000{ D} N_165{ F}inst_CLK_000_D1{ F} - : SM_AMIGA_6_{ C}CLK_000_P_SYNC_0_{ D}CLK_000_N_SYNC_0_{ D} -inst_CLK_000_PE{ E}: RW_000{ H} BGACK_030{ H} VMA{ D} +inst_CLK_000_D0{ C}: BG_000{ D}inst_CLK_000_D1{ D} SM_AMIGA_6_{ F} + :CLK_000_P_SYNC_0_{ D}CLK_000_N_SYNC_0_{ F} SM_AMIGA_i_7_{ F} +inst_CLK_000_PE{ D}: RW_000{ H} BGACK_030{ H} VMA{ D} : SM_AMIGA_5_{ F} CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} - : SM_AMIGA_3_{ F} SM_AMIGA_0_{ F} SM_AMIGA_6_{ C} - : SM_AMIGA_1_{ F} SM_AMIGA_4_{ F} SM_AMIGA_2_{ F} + : SM_AMIGA_3_{ C} SM_AMIGA_0_{ F} SM_AMIGA_6_{ F} + : SM_AMIGA_1_{ C} SM_AMIGA_4_{ F} SM_AMIGA_2_{ C} : SM_AMIGA_i_7_{ F} -CLK_000_P_SYNC_9_{ D}:inst_CLK_000_PE{ D} -inst_CLK_000_NE{ H}: VMA{ D} RESET{ B} SM_AMIGA_5_{ F} - :inst_CLK_000_NE_D0{ B} SM_AMIGA_0_{ F} RST_DLY_0_{ B} - : RST_DLY_1_{ A} RST_DLY_2_{ B} RST_DLY_3_{ B} - : RST_DLY_4_{ B} RST_DLY_5_{ A} RST_DLY_6_{ B} - : RST_DLY_7_{ A} SM_AMIGA_1_{ F} SM_AMIGA_4_{ F} +CLK_000_P_SYNC_9_{ B}:inst_CLK_000_PE{ C} +inst_CLK_000_NE{ G}: VMA{ D} RESET{ B} SM_AMIGA_5_{ F} + :inst_CLK_000_NE_D0{ E} SM_AMIGA_0_{ F} RST_DLY_0_{ C} + : RST_DLY_1_{ B} RST_DLY_2_{ B} RST_DLY_3_{ C} + : RST_DLY_4_{ C} RST_DLY_5_{ B} RST_DLY_6_{ C} + : RST_DLY_7_{ C} SM_AMIGA_1_{ C} SM_AMIGA_4_{ F} : SM_AMIGA_i_7_{ F} -CLK_000_N_SYNC_11_{ I}:inst_CLK_000_NE{ G} - cpu_est_2_{ E}: E{ G} VMA{ D} cpu_est_1_{ D} - : cpu_est_2_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - : SM_AMIGA_i_7_{ F} - IPL_D0_0_{ C}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - IPL_D0_1_{ D}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - IPL_D0_2_{ C}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} -SM_AMIGA_3_{ G}: N_165{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - :inst_DS_000_ENABLE{ C} SM_AMIGA_i_7_{ F} -inst_CLK_000_NE_D0{ C}: E{ G} cpu_est_0_{ D} cpu_est_1_{ D} - : cpu_est_2_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - : SM_AMIGA_i_7_{ F} -SM_AMIGA_0_{ G}: RW_000{ H} N_165{ F} SM_AMIGA_0_{ F} +CLK_000_N_SYNC_11_{ I}:inst_CLK_000_NE{ F} + cpu_est_2_{ D}: E{ G} VMA{ D} cpu_est_1_{ G} + : cpu_est_2_{ C} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} + : SM_AMIGA_2_{ C} + IPL_D0_0_{ E}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + IPL_D0_1_{ E}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + IPL_D0_2_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} +SM_AMIGA_3_{ D}: SM_AMIGA_3_{ C} SM_AMIGA_2_{ C}inst_DS_000_ENABLE{ A} : SM_AMIGA_i_7_{ F} +inst_CLK_000_NE_D0{ F}: E{ G} cpu_est_0_{ C} cpu_est_1_{ G} + : cpu_est_2_{ C} SM_AMIGA_3_{ C}pos_clk_un29_clk_000_ne_d0_i_n{ D} + : SM_AMIGA_2_{ C} +SM_AMIGA_0_{ G}: RW_000{ H} SM_AMIGA_0_{ F} SM_AMIGA_i_7_{ F} inst_AMIGA_BUS_ENABLE_DMA_HIGH{ H}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} -SM_AMIGA_6_{ D}: N_165{ F} SM_AMIGA_5_{ F}inst_UDS_000_INT{ F} - :inst_LDS_000_INT{ B} SM_AMIGA_6_{ C} SM_AMIGA_i_7_{ F} - RST_DLY_0_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} - : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} - RST_DLY_1_{ B}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} - : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} - RST_DLY_2_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} - : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} - RST_DLY_3_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} - : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} - RST_DLY_4_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} - : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} - RST_DLY_5_{ B}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} - : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} - RST_DLY_6_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} - : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} - RST_DLY_7_{ B}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} - : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} -CLK_000_P_SYNC_0_{ E}:CLK_000_P_SYNC_1_{ G} -CLK_000_P_SYNC_1_{ H}:CLK_000_P_SYNC_2_{ B} +SM_AMIGA_6_{ G}: SM_AMIGA_5_{ F}inst_UDS_000_INT{ G}inst_LDS_000_INT{ G} + : SM_AMIGA_6_{ F} SM_AMIGA_i_7_{ F} + RST_DLY_0_{ D}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} + : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} + RST_DLY_1_{ C}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} + : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} + RST_DLY_2_{ C}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} + : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} + RST_DLY_3_{ D}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} + : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} + RST_DLY_4_{ D}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} + : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} + RST_DLY_5_{ C}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} + : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} + RST_DLY_6_{ D}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} + : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} + RST_DLY_7_{ D}: RESET{ B} RST_DLY_0_{ C} RST_DLY_1_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ C} RST_DLY_4_{ C} + : RST_DLY_5_{ B} RST_DLY_6_{ C} RST_DLY_7_{ C} +CLK_000_P_SYNC_0_{ E}:CLK_000_P_SYNC_1_{ C} +CLK_000_P_SYNC_1_{ D}:CLK_000_P_SYNC_2_{ B} CLK_000_P_SYNC_2_{ C}:CLK_000_P_SYNC_3_{ C} -CLK_000_P_SYNC_3_{ D}:CLK_000_P_SYNC_4_{ B} -CLK_000_P_SYNC_4_{ C}:CLK_000_P_SYNC_5_{ E} -CLK_000_P_SYNC_5_{ F}:CLK_000_P_SYNC_6_{ E} -CLK_000_P_SYNC_6_{ F}:CLK_000_P_SYNC_7_{ G} -CLK_000_P_SYNC_7_{ H}:CLK_000_P_SYNC_8_{ C} -CLK_000_P_SYNC_8_{ D}:CLK_000_P_SYNC_9_{ C} -CLK_000_N_SYNC_0_{ E}:CLK_000_N_SYNC_1_{ A} -CLK_000_N_SYNC_1_{ B}:CLK_000_N_SYNC_2_{ A} -CLK_000_N_SYNC_2_{ B}:CLK_000_N_SYNC_3_{ A} -CLK_000_N_SYNC_3_{ B}:CLK_000_N_SYNC_4_{ D} -CLK_000_N_SYNC_4_{ E}:CLK_000_N_SYNC_5_{ A} -CLK_000_N_SYNC_5_{ B}:CLK_000_N_SYNC_6_{ D} -CLK_000_N_SYNC_6_{ E}:CLK_000_N_SYNC_7_{ E} -CLK_000_N_SYNC_7_{ F}:CLK_000_N_SYNC_8_{ A} -CLK_000_N_SYNC_8_{ B}:CLK_000_N_SYNC_9_{ G} -CLK_000_N_SYNC_9_{ H}: DSACK1{ H}CLK_000_N_SYNC_10_{ H} +CLK_000_P_SYNC_3_{ D}:CLK_000_P_SYNC_4_{ D} +CLK_000_P_SYNC_4_{ E}:CLK_000_P_SYNC_5_{ F} +CLK_000_P_SYNC_5_{ G}:CLK_000_P_SYNC_6_{ A} +CLK_000_P_SYNC_6_{ B}:CLK_000_P_SYNC_7_{ A} +CLK_000_P_SYNC_7_{ B}:CLK_000_P_SYNC_8_{ A} +CLK_000_P_SYNC_8_{ B}:CLK_000_P_SYNC_9_{ A} +CLK_000_N_SYNC_0_{ G}:CLK_000_N_SYNC_1_{ G} +CLK_000_N_SYNC_1_{ H}:CLK_000_N_SYNC_2_{ G} +CLK_000_N_SYNC_2_{ H}:CLK_000_N_SYNC_3_{ B} +CLK_000_N_SYNC_3_{ C}:CLK_000_N_SYNC_4_{ B} +CLK_000_N_SYNC_4_{ C}:CLK_000_N_SYNC_5_{ B} +pos_clk_un29_clk_000_ne_d0_i_n{ E}: SM_AMIGA_i_7_{ F} +CLK_000_N_SYNC_5_{ C}:CLK_000_N_SYNC_6_{ A} +CLK_000_N_SYNC_6_{ B}:CLK_000_N_SYNC_7_{ B} +CLK_000_N_SYNC_7_{ C}:CLK_000_N_SYNC_8_{ G} +CLK_000_N_SYNC_8_{ H}:CLK_000_N_SYNC_9_{ F} +CLK_000_N_SYNC_9_{ G}: DSACK1{ H}CLK_000_N_SYNC_10_{ H} CLK_000_N_SYNC_10_{ I}: DSACK1{ H}CLK_000_N_SYNC_11_{ H} inst_CLK_030_H{ B}:inst_DS_000_DMA{ A} inst_CLK_030_H{ A} -SM_AMIGA_1_{ G}: DSACK1{ H} N_165{ F} SM_AMIGA_0_{ F} - : SM_AMIGA_1_{ F} SM_AMIGA_i_7_{ F} -SM_AMIGA_4_{ G}: N_165{ F} SM_AMIGA_3_{ F} SM_AMIGA_4_{ F} +SM_AMIGA_1_{ D}: DSACK1{ H} SM_AMIGA_0_{ F} SM_AMIGA_1_{ C} : SM_AMIGA_i_7_{ F} -SM_AMIGA_2_{ G}: N_165{ F} SM_AMIGA_1_{ F} SM_AMIGA_2_{ F} - : SM_AMIGA_i_7_{ F} -inst_DS_000_ENABLE{ D}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ C} -SM_AMIGA_i_7_{ G}:AMIGA_BUS_ENABLE_HIGH{ D} RW_000{ H}inst_AS_030_000_SYNC{ C} - : SM_AMIGA_6_{ C} +SM_AMIGA_4_{ G}: SM_AMIGA_3_{ C} SM_AMIGA_4_{ F} SM_AMIGA_i_7_{ F} +SM_AMIGA_2_{ D}: SM_AMIGA_1_{ C} SM_AMIGA_2_{ C} SM_AMIGA_i_7_{ F} +inst_DS_000_ENABLE{ B}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ A} +SM_AMIGA_i_7_{ G}:AMIGA_BUS_ENABLE_HIGH{ D} RW_000{ H}inst_AS_030_000_SYNC{ F} + : SM_AMIGA_6_{ F} + CIIN_0{ F}: CIIN{ E} ----------------------------------------------------------------------------- {.} : Indicates block location of signal @@ -722,23 +729,23 @@ Equations : | | | | | DS_030 | | | | | AVEC | * | S | BS | BR | inst_AS_000_DMA -| * | S | BS | BR | RST_DLY_5_ -| * | S | BS | BR | RST_DLY_1_ -| * | S | BS | BR | RST_DLY_7_ -| * | S | BS | BR | inst_CLK_OUT_PRE_50 +| * | S | BS | BR | inst_DS_000_ENABLE +| * | S | BS | BR | inst_AS_000_INT | * | S | BS | BR | inst_DS_000_DMA | * | S | BS | BR | inst_CLK_030_H | * | S | BS | BR | CYCLE_DMA_1_ | * | S | BS | BR | CYCLE_DMA_0_ -| * | S | BS | BR | CLK_000_N_SYNC_8_ -| * | S | BS | BR | CLK_000_N_SYNC_5_ -| * | S | BS | BR | CLK_000_N_SYNC_3_ -| * | S | BS | BR | CLK_000_N_SYNC_2_ -| * | S | BS | BR | CLK_000_N_SYNC_1_ +| * | S | BS | BR | CLK_000_N_SYNC_6_ +| * | S | BS | BR | CLK_000_P_SYNC_8_ +| * | S | BS | BR | CLK_000_P_SYNC_7_ +| * | S | BS | BR | CLK_000_P_SYNC_6_ +| * | S | BS | BR | CLK_000_P_SYNC_9_ | | | | | A_19_ | | | | | A_16_ | | | | | A_18_ | | | | | FPU_SENSE +| | | | | A_21_ +| | | | | A_20_ Block B @@ -748,26 +755,25 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ -| * | S | BS | BR | RST_DLY_3_ -| | | | | CLK_EXP | * | S | BS | BR | IPL_030_2_ -| * | S | BS | BR | CLK_000_P_SYNC_2_ -| * | S | BS | BR | CLK_000_P_SYNC_4_ -| * | S | BS | BR | RST_DLY_2_ -| * | S | BS | BR | IPL_030_1_ -| * | S | BS | BR | IPL_D0_0_ | * | S | BS | BR | IPL_030_0_ -| * | S | BS | BR | inst_LDS_000_INT -| * | S | BS | BR | RST_DLY_0_ -| * | S | BS | BR | RST_DLY_6_ -| * | S | BS | BR | RST_DLY_4_ +| * | S | BS | BR | IPL_030_1_ | * | S | BS | BR | RESET -| * | S | BS | BR | IPL_D0_2_ -| * | S | BS | BR | inst_CLK_000_NE_D0 -| * | S | BS | BR | RN_IPL_030_2_ -| * | S | BS | BR | RN_IPL_030_1_ -| * | S | BS | BR | RN_IPL_030_0_ +| | | | | CLK_EXP | * | S | BS | BR | RN_RESET +| * | S | BS | BR | RST_DLY_2_ +| * | S | BS | BR | RST_DLY_5_ +| * | S | BS | BR | RST_DLY_1_ +| * | S | BS | BR | inst_CLK_000_D0 +| * | S | BS | BR | inst_DTACK_D0 +| * | S | BS | BR | RN_IPL_030_0_ +| * | S | BS | BR | RN_IPL_030_1_ +| * | S | BS | BR | RN_IPL_030_2_ +| * | S | BS | BR | CLK_000_N_SYNC_7_ +| * | S | BS | BR | CLK_000_N_SYNC_5_ +| * | S | BS | BR | CLK_000_N_SYNC_4_ +| * | S | BS | BR | CLK_000_N_SYNC_3_ +| * | S | BS | BR | CLK_000_P_SYNC_2_ | | | | | A_29_ | | | | | A_30_ | | | | | A_31_ @@ -781,14 +787,20 @@ Equations : | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ | | | | | AMIGA_BUS_ENABLE_LOW -| * | S | BS | BR | SM_AMIGA_6_ -| * | S | BS | BR | inst_AS_030_000_SYNC -| * | S | BS | BR | inst_DS_000_ENABLE -| * | S | BS | BR | inst_AS_000_INT -| * | S | BS | BR | CLK_000_P_SYNC_8_ +| * | S | BS | BR | inst_CLK_000_PE +| * | S | BS | BR | SM_AMIGA_3_ +| * | S | BS | BR | cpu_est_2_ +| * | S | BS | BR | SM_AMIGA_1_ +| * | S | BS | BR | cpu_est_0_ +| * | S | BS | BR | RST_DLY_3_ +| * | S | BS | BR | SM_AMIGA_2_ +| * | S | BS | BR | RST_DLY_6_ +| * | S | BS | BR | RST_DLY_0_ +| * | S | BS | BR | RST_DLY_7_ +| * | S | BS | BR | RST_DLY_4_ +| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_LOW | * | S | BS | BR | CLK_000_P_SYNC_3_ -| * | S | BS | BR | IPL_D0_1_ -| * | S | BS | BR | CLK_000_P_SYNC_9_ +| * | S | BS | BR | CLK_000_P_SYNC_1_ | | | | | BG_030 | | | | | A_24_ | | | | | A_25_ @@ -810,18 +822,16 @@ Equations : | | | | | AMIGA_BUS_ENABLE_HIGH | * | S | BS | BR | BG_000 | | | | | AMIGA_ADDR_ENABLE -| * | S | BS | BR | inst_CLK_000_PE -| * | S | BS | BR | cpu_est_1_ -| * | S | BS | BR | cpu_est_2_ -| * | S | BS | BR | cpu_est_0_ | * | S | BS | BR | RN_VMA -| * | S | BS | BR | inst_DS_030_D0 +| * | S | BS | BR | inst_CLK_000_D1 +| * | S | BS | BR | inst_VPA_D | * | S | BS | BR | RN_BG_000 -| * | S | BS | BR | CLK_000_N_SYNC_6_ -| * | S | BS | BR | CLK_000_N_SYNC_4_ -| * | S | BS | BR | CLK_000_N_SYNC_0_ +| | | | | pos_clk_un29_clk_000_ne_d0_i_n +| * | S | BS | BR | CLK_000_P_SYNC_4_ | * | S | BS | BR | CLK_000_P_SYNC_0_ -| * | S | BS | BR | inst_DTACK_D0 +| * | S | BS | BR | IPL_D0_1_ +| * | S | BS | BR | IPL_D0_0_ +| * | S | BS | BR | inst_DS_030_D0 | | | | | BGACK_000 | | | | | DTACK @@ -833,13 +843,14 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ -| | | | | AS_000 | | | | | BERR +| | | | | AS_000 | | | | | AMIGA_BUS_DATA_DIR | | | | | CIIN -| * | S | BS | BR | CLK_000_N_SYNC_7_ -| * | S | BS | BR | CLK_000_P_SYNC_6_ -| * | S | BS | BR | CLK_000_P_SYNC_5_ +| * | S | BS | BR | inst_CLK_000_NE_D0 +| | | | | CIIN_0 +| * | S | BS | BR | inst_CLK_OUT_PRE_50 +| * | S | BS | BR | inst_CLK_OUT_PRE_D Block F @@ -849,22 +860,22 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ +| * | S | BS | BR | inst_nEXP_SPACE_D0reg +| * | S | BS | BR | inst_CLK_000_NE | * | S | BS | BR | SM_AMIGA_i_7_ | * | S | BS | BR | SM_AMIGA_5_ -| * | S | BS | BR | inst_CLK_000_D1 -| * | S | BS | BR | SM_AMIGA_3_ -| * | S | BS | BR | SM_AMIGA_1_ -| * | S | BS | BR | inst_UDS_000_INT -| * | S | BS | BR | SM_AMIGA_0_ -| * | S | BS | BR | inst_VPA_D -| * | S | BS | BR | SM_AMIGA_2_ -| | | | | N_165 | * | S | BS | BR | SM_AMIGA_4_ +| * | S | BS | BR | SM_AMIGA_6_ +| * | S | BS | BR | SM_AMIGA_0_ +| * | S | BS | BR | inst_AS_030_000_SYNC +| * | S | BS | BR | CLK_000_N_SYNC_9_ +| * | S | BS | BR | CLK_000_N_SYNC_0_ +| * | S | BS | BR | CLK_000_P_SYNC_5_ | | | | | A_17_ | | | | | FC_1_ | | | | | FC_0_ -| | | | | IPL_1_ | | | | | A1 +| | | | | IPL_1_ Block G @@ -874,25 +885,24 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ -| * | S | BS | BR | A0 | * | S | BS | BR | RW +| * | S | BS | BR | A0 | | | | | SIZE_0_ | * | S | BS | BR | E | | | | | CLK_DIV_OUT -| * | S | BS | BR | inst_nEXP_SPACE_D0reg -| * | S | BS | BR | inst_CLK_000_NE | * | S | BS | BR | RN_E -| * | S | BS | BR | inst_CLK_000_D0 +| * | S | BS | BR | cpu_est_1_ +| * | S | BS | BR | inst_LDS_000_INT +| * | S | BS | BR | inst_UDS_000_INT | * | S | BS | BR | SIZE_DMA_1_ | * | S | BS | BR | SIZE_DMA_0_ | * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_HIGH -| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_LOW | * | S | BS | BR | RN_A0 | * | S | BS | BR | RN_RW -| * | S | BS | BR | CLK_000_N_SYNC_9_ -| * | S | BS | BR | CLK_000_P_SYNC_7_ -| * | S | BS | BR | CLK_000_P_SYNC_1_ -| * | S | BS | BR | inst_CLK_OUT_PRE_D +| * | S | BS | BR | CLK_000_N_SYNC_8_ +| * | S | BS | BR | CLK_000_N_SYNC_2_ +| * | S | BS | BR | CLK_000_N_SYNC_1_ +| * | S | BS | BR | IPL_D0_2_ | | | | | IPL_2_ | | | | | IPL_0_ @@ -917,6 +927,8 @@ Equations : | * | S | BS | BR | RN_RW_000 | * | S | BS | BR | CLK_000_N_SYNC_10_ | * | S | BS | BR | CLK_000_N_SYNC_11_ +| | | | | A_23_ +| | | | | A_22_ (S) means the macrocell is configured in synchronous mode @@ -933,22 +945,22 @@ BLOCK_A_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx A0 RST pin 86 mx A17 RST_DLY_6_ mcell B11 -mx A1 RST_DLY_4_ mcell B12 mx A18 RST_DLY_7_ mcell A5 -mx A2 RST_DLY_0_ mcell B10 mx A19inst_CLK_OUT_PRE_50 mcell A9 -mx A3 inst_AS_000_DMA mcell A8 mx A20 UDS_000 pin 32 -mx A4 CLK_030 pin 64 mx A21CLK_000_N_SYNC_0_ mcell D7 -mx A5 ... ... mx A22CLK_000_N_SYNC_4_ mcell D3 -mx A6 RW_000 pin 80 mx A23 RN_BGACK_030 mcell H4 -mx A7CLK_000_N_SYNC_2_ mcell A11 mx A24 LDS_000 pin 31 -mx A8CLK_000_N_SYNC_7_ mcell E8 mx A25 inst_CLK_030_H mcell A2 -mx A9 RST_DLY_1_ mcell A1 mx A26 RST_DLY_3_ mcell B0 -mx A10 CYCLE_DMA_1_ mcell A6 mx A27 inst_CLK_000_NE mcell G9 -mx A11 ... ... mx A28 inst_DS_000_DMA mcell A13 -mx A12 inst_CLK_000_PE mcell D9 mx A29 RN_RESET mcell B14 -mx A13CLK_000_N_SYNC_1_ mcell A15 mx A30 ... ... -mx A14 CYCLE_DMA_0_ mcell A10 mx A31 RST_DLY_2_ mcell B5 -mx A15 RST_DLY_5_ mcell A12 mx A32inst_nEXP_SPACE_D0reg mcell G5 +mx A0 CYCLE_DMA_1_ mcell A13 mx A17 BERR pin 41 +mx A1 SM_AMIGA_5_ mcell F12 mx A18 inst_DS_000_DMA mcell A5 +mx A2CLK_000_P_SYNC_5_ mcell F10 mx A19 inst_CLK_030_H mcell A9 +mx A3CLK_000_P_SYNC_7_ mcell A14 mx A20 RN_BGACK_030 mcell H4 +mx A4 CLK_030 pin 64 mx A21 RST pin 86 +mx A5inst_nEXP_SPACE_D0reg mcell F0 mx A22 CYCLE_DMA_0_ mcell A2 +mx A6 inst_CLK_000_PE mcell C4 mx A23 ... ... +mx A7 SM_AMIGA_3_ mcell C8 mx A24 LDS_000 pin 31 +mx A8 UDS_000 pin 32 mx A25CLK_000_P_SYNC_6_ mcell A3 +mx A9CLK_000_N_SYNC_5_ mcell B14 mx A26 RN_RESET mcell B0 +mx A10 ... ... mx A27 ... ... +mx A11 RW pin 71 mx A28 RW_000 pin 80 +mx A12 inst_AS_000_INT mcell A1 mx A29 ... ... +mx A13 inst_AS_030_D0 mcell H5 mx A30 inst_AS_000_DMA mcell A8 +mx A14CLK_000_P_SYNC_8_ mcell A10 mx A31 ... ... +mx A15inst_DS_000_ENABLE mcell A12 mx A32 ... ... mx A16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -957,22 +969,22 @@ BLOCK_B_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx B0 A0 pin 69 mx B17 SIZE_0_ pin 70 -mx B1 RST_DLY_4_ mcell B12 mx B18 RN_IPL_030_2_ mcell B2 -mx B2 inst_DS_030_D0 mcell D10 mx B19 ... ... -mx B3CLK_000_P_SYNC_3_ mcell C9 mx B20 SIZE_1_ pin 79 -mx B4 CLK_030 pin 64 mx B21 IPL_1_ pin 56 -mx B5 ... ... mx B22 IPL_2_ pin 68 -mx B6inst_LDS_000_INT mcell B9 mx B23 ... ... -mx B7 RST_DLY_6_ mcell B11 mx B24 RST pin 86 +mx B0 RN_RESET mcell B0 mx B17 IPL_D0_1_ mcell D14 +mx B1CLK_000_N_SYNC_3_ mcell B7 mx B18 RST_DLY_7_ mcell C10 +mx B2 RST_DLY_6_ mcell C2 mx B19CLK_000_N_SYNC_4_ mcell B3 +mx B3 CLK_000 pin 11 mx B20 CLK_030 pin 64 +mx B4 IPL_2_ pin 68 mx B21 IPL_1_ pin 56 +mx B5CLK_000_N_SYNC_2_ mcell G3 mx B22 ... ... +mx B6 RST_DLY_5_ mcell B9 mx B23 RST_DLY_3_ mcell C9 +mx B7 RST_DLY_4_ mcell C14 mx B24 RST pin 86 mx B8 RN_IPL_030_0_ mcell B8 mx B25 ... ... -mx B9 RST_DLY_1_ mcell A1 mx B26 RST_DLY_3_ mcell B0 -mx B10 IPL_D0_2_ mcell B13 mx B27 inst_CLK_000_NE mcell G9 -mx B11 RN_IPL_030_1_ mcell B6 mx B28 RST_DLY_7_ mcell A5 -mx B12 RST_DLY_0_ mcell B10 mx B29 RN_RESET mcell B14 -mx B13CLK_000_P_SYNC_1_ mcell G11 mx B30 IPL_D0_1_ mcell C13 -mx B14 SM_AMIGA_6_ mcell C4 mx B31 RST_DLY_2_ mcell B5 -mx B15 RST_DLY_5_ mcell A12 mx B32 IPL_D0_0_ mcell B7 +mx B9 RST_DLY_0_ mcell C6 mx B26 IPL_D0_2_ mcell G11 +mx B10 inst_CLK_000_NE mcell F4 mx B27 RN_IPL_030_2_ mcell B4 +mx B11 ... ... mx B28 RST_DLY_1_ mcell B13 +mx B12 RN_IPL_030_1_ mcell B12 mx B29CLK_000_P_SYNC_1_ mcell C11 +mx B13 IPL_D0_0_ mcell D3 mx B30 ... ... +mx B14 DTACK pin 30 mx B31 RST_DLY_2_ mcell B5 +mx B15CLK_000_N_SYNC_6_ mcell A6 mx B32 ... ... mx B16 IPL_0_ pin 67 ---------------------------------------------------------------------------- @@ -981,23 +993,23 @@ BLOCK_C_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx C0 RST pin 86 mx C17 SM_AMIGA_3_ mcell F12 -mx C1 BERR pin 41 mx C18 ... ... -mx C2 inst_CLK_000_D1 mcell F8 mx C19inst_BGACK_030_INT_D mcell H13 -mx C3 IPL_1_ pin 56 mx C20 RN_BGACK_030 mcell H4 -mx C4inst_DS_000_ENABLE mcell C12 mx C21 ... ... -mx C5CLK_000_P_SYNC_2_ mcell B3 mx C22 inst_AS_000_INT mcell C1 -mx C6 A_16_ pin 96 mx C23 ... ... -mx C7 inst_CLK_000_PE mcell D9 mx C24 FC_0_ pin 57 -mx C8 A_17_ pin 59 mx C25 SM_AMIGA_i_7_ mcell F0 -mx C9 inst_CLK_000_D0 mcell G13 mx C26CLK_000_P_SYNC_7_ mcell G7 -mx C10inst_AMIGA_BUS_ENABLE_DMA_LOW mcell G14 mx C27 A_19_ pin 97 -mx C11 RW pin 71 mx C28 ... ... -mx C12 FC_1_ pin 58 mx C29 SM_AMIGA_6_ mcell C4 -mx C13 inst_AS_030_D0 mcell H5 mx C30 ... ... -mx C14 SM_AMIGA_5_ mcell F4 mx C31 A_18_ pin 95 -mx C15CLK_000_P_SYNC_8_ mcell C5 mx C32inst_nEXP_SPACE_D0reg mcell G5 -mx C16inst_AS_030_000_SYNC mcell C8 +mx C0 RST_DLY_2_ mcell B5 mx C17CLK_000_P_SYNC_2_ mcell B11 +mx C1 SM_AMIGA_1_ mcell C1 mx C18 RST_DLY_7_ mcell C10 +mx C2CLK_000_P_SYNC_0_ mcell D10 mx C19inst_BGACK_030_INT_D mcell H13 +mx C3 A1 pin 60 mx C20 RN_BGACK_030 mcell H4 +mx C4 cpu_est_2_ mcell C12 mx C21 RST_DLY_1_ mcell B13 +mx C5 ... ... mx C22 cpu_est_1_ mcell G5 +mx C6 RST_DLY_5_ mcell B9 mx C23 inst_DTACK_D0 mcell B6 +mx C7 cpu_est_0_ mcell C5 mx C24 RST pin 86 +mx C8inst_CLK_000_NE_D0 mcell E8 mx C25 BERR pin 41 +mx C9 RST_DLY_0_ mcell C6 mx C26 RN_VMA mcell D0 +mx C10 inst_CLK_000_NE mcell F4 mx C27 ... ... +mx C11 RN_E mcell G4 mx C28CLK_000_P_SYNC_9_ mcell A7 +mx C12inst_AMIGA_BUS_ENABLE_DMA_LOW mcell C3 mx C29 inst_VPA_D mcell D13 +mx C13 RST_DLY_3_ mcell C9 mx C30 SM_AMIGA_2_ mcell C13 +mx C14 inst_CLK_000_PE mcell C4 mx C31 RST_DLY_4_ mcell C14 +mx C15 SM_AMIGA_4_ mcell F1 mx C32 RST_DLY_6_ mcell C2 +mx C16 SM_AMIGA_3_ mcell C8 ---------------------------------------------------------------------------- @@ -1005,23 +1017,23 @@ BLOCK_D_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx D0 RST pin 86 mx D17 RN_BG_000 mcell D1 -mx D1CLK_000_N_SYNC_3_ mcell A7 mx D18 RN_VMA mcell D0 -mx D2CLK_000_P_SYNC_9_ mcell C2 mx D19 ... ... -mx D3 cpu_est_2_ mcell D2 mx D20 inst_CLK_000_D1 mcell F8 -mx D4inst_DS_000_ENABLE mcell C12 mx D21 cpu_est_1_ mcell D13 -mx D5 DS_030 pin 98 mx D22inst_nEXP_SPACE_D0reg mcell G5 -mx D6CLK_000_N_SYNC_5_ mcell A3 mx D23 RN_BGACK_030 mcell H4 -mx D7 inst_CLK_000_PE mcell D9 mx D24 ... ... -mx D8inst_CLK_000_NE_D0 mcell B15 mx D25 SM_AMIGA_i_7_ mcell F0 -mx D9 DTACK pin 30 mx D26 ... ... -mx D10 inst_CLK_000_NE mcell G9 mx D27inst_UDS_000_INT mcell F5 -mx D11 RN_E mcell G4 mx D28inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G10 -mx D12 inst_CLK_000_D0 mcell G13 mx D29 RN_RESET mcell B14 -mx D13 inst_AS_030_D0 mcell H5 mx D30 cpu_est_0_ mcell D6 +mx D0 RN_BGACK_030 mcell H4 mx D17 RN_BG_000 mcell D1 +mx D1 inst_VPA_D mcell D13 mx D18 RN_VMA mcell D0 +mx D2 RN_E mcell G4 mx D19 ... ... +mx D3 cpu_est_1_ mcell G5 mx D20 SM_AMIGA_i_7_ mcell F8 +mx D4 inst_AS_030_D0 mcell H5 mx D21 IPL_1_ pin 56 +mx D5 DS_030 pin 98 mx D22inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G10 +mx D6 inst_CLK_000_PE mcell C4 mx D23 cpu_est_2_ mcell C12 +mx D7 inst_CLK_000_D1 mcell D9 mx D24 RST pin 86 +mx D8inst_CLK_000_NE_D0 mcell E8 mx D25inst_nEXP_SPACE_D0reg mcell F0 +mx D9inst_DS_000_ENABLE mcell A12 mx D26 RN_RESET mcell B0 +mx D10 inst_CLK_000_D0 mcell B2 mx D27inst_LDS_000_INT mcell G9 +mx D11 inst_DTACK_D0 mcell B6 mx D28 cpu_est_0_ mcell C5 +mx D12inst_UDS_000_INT mcell G13 mx D29 inst_CLK_000_NE mcell F4 +mx D13 VPA pin 36 mx D30 ... ... mx D14 BG_030 pin 21 mx D31 ... ... -mx D15 inst_VPA_D mcell F13 mx D32 ... ... -mx D16inst_LDS_000_INT mcell B9 +mx D15CLK_000_P_SYNC_3_ mcell C7 mx D32 ... ... +mx D16 IPL_0_ pin 67 ---------------------------------------------------------------------------- @@ -1029,22 +1041,22 @@ BLOCK_E_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx E0CLK_000_P_SYNC_4_ mcell B4 mx E17 FC_0_ pin 57 -mx E1 A_31_ pin 4 mx E18 BGACK_000 pin 28 -mx E2CLK_000_P_SYNC_5_ mcell E9 mx E19 A_30_ pin 5 -mx E3inst_nEXP_SPACE_D0reg mcell G5 mx E20 FC_1_ pin 58 +mx E0 RN_RESET mcell B0 mx E17 A_26_ pin 17 +mx E1 FC_1_ pin 58 mx E18 A_23_ pin 85 +mx E2inst_CLK_OUT_PRE_50 mcell E9 mx E19 A_30_ pin 5 +mx E3 CIIN_0 mcell E5 mx E20 A_22_ pin 84 mx E4 inst_AS_030_D0 mcell H5 mx E21 A_27_ pin 16 -mx E5 A_24_ pin 19 mx E22 inst_AS_000_INT mcell C1 -mx E6 A_16_ pin 96 mx E23 RN_BGACK_030 mcell H4 +mx E5inst_nEXP_SPACE_D0reg mcell F0 mx E22 A_25_ pin 18 +mx E6 FC_0_ pin 57 mx E23 RN_BGACK_030 mcell H4 mx E7 A_28_ pin 15 mx E24 ... ... -mx E8 A_17_ pin 59 mx E25 ... ... -mx E9 A_26_ pin 17 mx E26 ... ... -mx E10CLK_000_N_SYNC_6_ mcell D14 mx E27 A_19_ pin 97 +mx E8 A_17_ pin 59 mx E25 A_31_ pin 4 +mx E9 AS_030 pin 82 mx E26 A_16_ pin 96 +mx E10 inst_CLK_000_NE mcell F4 mx E27 A_19_ pin 97 mx E11 FPU_SENSE pin 91 mx E28 RW_000 pin 80 -mx E12 A_25_ pin 18 mx E29 RN_RESET mcell B14 +mx E12 inst_AS_000_INT mcell A1 mx E29 A_20_ pin 93 mx E13 A_29_ pin 6 mx E30 ... ... -mx E14 ... ... mx E31 A_18_ pin 95 -mx E15 ... ... mx E32 AS_030 pin 82 +mx E14 A_24_ pin 19 mx E31 A_18_ pin 95 +mx E15 A_21_ pin 94 mx E32 BGACK_000 pin 28 mx E16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -1053,23 +1065,23 @@ BLOCK_F_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx F0 RST pin 86 mx F17 SM_AMIGA_3_ mcell F12 -mx F1 BERR pin 41 mx F18 SM_AMIGA_0_ mcell F9 -mx F2 RN_E mcell G4 mx F19 SM_AMIGA_4_ mcell F10 -mx F3 cpu_est_2_ mcell D2 mx F20 inst_DS_030_D0 mcell D10 -mx F4 cpu_est_0_ mcell D6 mx F21 cpu_est_1_ mcell D13 -mx F5 ... ... mx F22inst_nEXP_SPACE_D0reg mcell G5 -mx F6 inst_VPA_D mcell F13 mx F23 ... ... -mx F7inst_AS_030_000_SYNC mcell C8 mx F24 ... ... -mx F8inst_CLK_000_NE_D0 mcell B15 mx F25 inst_CLK_000_PE mcell D9 -mx F9inst_UDS_000_INT mcell F5 mx F26 RN_VMA mcell D0 -mx F10 SM_AMIGA_1_ mcell F1 mx F27 inst_CLK_000_NE mcell G9 -mx F11 N_165 mcell F6 mx F28 SM_AMIGA_2_ mcell F2 -mx F12 inst_CLK_000_D0 mcell G13 mx F29 SM_AMIGA_5_ mcell F4 -mx F13 VPA pin 36 mx F30 ... ... -mx F14 SM_AMIGA_6_ mcell C4 mx F31 inst_DTACK_D0 mcell D15 -mx F15 A0 pin 69 mx F32 inst_CLK_000_D1 mcell F8 -mx F16 ... ... +mx F0 SM_AMIGA_2_ mcell C13 mx F17 SM_AMIGA_5_ mcell F12 +mx F1 BERR pin 41 mx F18 inst_CLK_000_D0 mcell B2 +mx F2 SM_AMIGA_i_7_ mcell F8 mx F19inst_BGACK_030_INT_D mcell H13 +mx F3pos_clk_un29_clk_000_ne_d0_i_n mcell D2 mx F20 RN_BGACK_030 mcell H4 +mx F4 A_18_ pin 95 mx F21CLK_000_N_SYNC_11_ mcell H6 +mx F5 nEXP_SPACE pin 14 mx F22 SM_AMIGA_1_ mcell C1 +mx F6 FC_0_ pin 57 mx F23 ... ... +mx F7 SM_AMIGA_3_ mcell C8 mx F24 RST pin 86 +mx F8 A_17_ pin 59 mx F25 inst_CLK_000_D1 mcell D9 +mx F9 SM_AMIGA_6_ mcell F5 mx F26 ... ... +mx F10CLK_000_N_SYNC_8_ mcell G14 mx F27 A_19_ pin 97 +mx F11 A_16_ pin 96 mx F28 ... ... +mx F12 FC_1_ pin 58 mx F29 inst_CLK_000_PE mcell C4 +mx F13 inst_AS_030_D0 mcell H5 mx F30 SM_AMIGA_4_ mcell F1 +mx F14 inst_CLK_000_NE mcell F4 mx F31inst_nEXP_SPACE_D0reg mcell F0 +mx F15inst_AS_030_000_SYNC mcell F13 mx F32 SM_AMIGA_0_ mcell F9 +mx F16CLK_000_P_SYNC_4_ mcell D6 ---------------------------------------------------------------------------- @@ -1078,22 +1090,22 @@ BLOCK_G_LOGIC_ARRAY_FANIN CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ mx G0 RN_BGACK_030 mcell H4 mx G17 RN_RW mcell G0 -mx G1 cpu_est_1_ mcell D13 mx G18 ... ... -mx G2 RN_E mcell G4 mx G19inst_CLK_OUT_PRE_50 mcell A9 -mx G3CLK_000_P_SYNC_0_ mcell D11 mx G20CLK_000_N_SYNC_8_ mcell A14 -mx G4 cpu_est_0_ mcell D6 mx G21 RST pin 86 -mx G5 SIZE_DMA_0_ mcell G6 mx G22inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G10 -mx G6 RW_000 pin 80 mx G23 SIZE_DMA_1_ mcell G2 -mx G7CLK_000_N_SYNC_11_ mcell H6 mx G24 LDS_000 pin 31 -mx G8inst_CLK_000_NE_D0 mcell B15 mx G25 ... ... -mx G9 RN_RESET mcell B14 mx G26CLK_000_P_SYNC_6_ mcell E5 -mx G10inst_AMIGA_BUS_ENABLE_DMA_LOW mcell G14 mx G27 ... ... -mx G11 A1 pin 60 mx G28inst_BGACK_030_INT_D mcell H13 -mx G12 UDS_000 pin 32 mx G29 ... ... -mx G13 RN_A0 mcell G8 mx G30 ... ... -mx G14 CLK_000 pin 11 mx G31 ... ... -mx G15 nEXP_SPACE pin 14 mx G32inst_nEXP_SPACE_D0reg mcell G5 -mx G16 cpu_est_2_ mcell D2 +mx G1CLK_000_N_SYNC_0_ mcell F6 mx G18 A0 pin 69 +mx G2CLK_000_N_SYNC_7_ mcell B10 mx G19inst_BGACK_030_INT_D mcell H13 +mx G3 A1 pin 60 mx G20 SIZE_1_ pin 79 +mx G4 SIZE_DMA_1_ mcell G2 mx G21 RST pin 86 +mx G5inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G10 mx G22 cpu_est_1_ mcell G5 +mx G6 RW_000 pin 80 mx G23 SIZE_DMA_0_ mcell G6 +mx G7 cpu_est_0_ mcell C5 mx G24 LDS_000 pin 31 +mx G8 UDS_000 pin 32 mx G25inst_UDS_000_INT mcell G13 +mx G9 SM_AMIGA_6_ mcell F5 mx G26 RN_RESET mcell B0 +mx G10 RN_A0 mcell G8 mx G27inst_LDS_000_INT mcell G9 +mx G11 RN_E mcell G4 mx G28 ... ... +mx G12CLK_000_N_SYNC_1_ mcell G7 mx G29 ... ... +mx G13 inst_DS_030_D0 mcell D7 mx G30 IPL_2_ pin 68 +mx G14 SIZE_0_ pin 70 mx G31inst_nEXP_SPACE_D0reg mcell F0 +mx G15 cpu_est_2_ mcell C12 mx G32 ... ... +mx G16inst_CLK_000_NE_D0 mcell E8 ---------------------------------------------------------------------------- @@ -1101,22 +1113,22 @@ BLOCK_H_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx H0 RN_BGACK_030 mcell H4 mx H17 FC_0_ pin 57 +mx H0 RN_BGACK_030 mcell H4 mx H17 SM_AMIGA_5_ mcell F12 mx H1 BERR pin 41 mx H18 BGACK_000 pin 28 -mx H2inst_CLK_OUT_PRE_D mcell G15 mx H19 AS_030 pin 82 +mx H2 SM_AMIGA_i_7_ mcell F8 mx H19 FPU_SENSE pin 91 mx H3 inst_AS_000_DMA mcell A8 mx H20 CLK_030 pin 64 -mx H4 inst_AS_030_D0 mcell H5 mx H21 RST pin 86 -mx H5 SM_AMIGA_i_7_ mcell F0 mx H22inst_nEXP_SPACE_D0reg mcell G5 -mx H6 A_19_ pin 97 mx H23 SIZE_DMA_1_ mcell G2 -mx H7 inst_CLK_000_PE mcell D9 mx H24CLK_000_N_SYNC_9_ mcell G3 -mx H8 FPU_SENSE pin 91 mx H25 RW pin 71 -mx H9 RN_RESET mcell B14 mx H26 ... ... -mx H10 SM_AMIGA_5_ mcell F4 mx H27 RN_DSACK1 mcell H9 -mx H11 A_16_ pin 96 mx H28 ... ... +mx H4 A_18_ pin 95 mx H21 RST pin 86 +mx H5 RN_DSACK1 mcell H9 mx H22 SM_AMIGA_1_ mcell C1 +mx H6 A_16_ pin 96 mx H23inst_CLK_OUT_PRE_D mcell E13 +mx H7 ... ... mx H24 FC_0_ pin 57 +mx H8 A_17_ pin 59 mx H25inst_nEXP_SPACE_D0reg mcell F0 +mx H9 AS_030 pin 82 mx H26 RN_RESET mcell B0 +mx H10 ... ... mx H27 A_19_ pin 97 +mx H11 RW pin 71 mx H28CLK_000_N_SYNC_10_ mcell H2 mx H12 FC_1_ pin 58 mx H29 SIZE_DMA_0_ mcell G6 -mx H13 A_17_ pin 59 mx H30 RN_RW_000 mcell H0 -mx H14CLK_000_N_SYNC_10_ mcell H2 mx H31 A_18_ pin 95 -mx H15 SM_AMIGA_1_ mcell F1 mx H32 SM_AMIGA_0_ mcell F9 +mx H13 inst_AS_030_D0 mcell H5 mx H30 RN_RW_000 mcell H0 +mx H14 inst_CLK_000_PE mcell C4 mx H31 SIZE_DMA_1_ mcell G2 +mx H15CLK_000_N_SYNC_9_ mcell F2 mx H32 SM_AMIGA_0_ mcell F9 mx H16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -1132,8 +1144,6 @@ PostFit_Equations P-Terms Fan-in Fan-out Type Name (attributes) --------- ------ ------- ---- ----------------- - 1 2 1 Pin SIZE_0_ - 1 2 1 Pin SIZE_0_.OE 1 2 1 Pin SIZE_1_ 1 2 1 Pin SIZE_1_.OE 1 2 1 Pin AS_030- @@ -1157,8 +1167,10 @@ PostFit_Equations 2 4 1 Pin AMIGA_BUS_DATA_DIR 1 2 1 Pin AMIGA_BUS_ENABLE_LOW- 2 3 1 Pin AMIGA_BUS_ENABLE_HIGH - 1 0 1 Pin CIIN - 1 10 1 Pin CIIN.OE + 1 13 1 Pin CIIN + 1 1 1 Pin CIIN.OE + 1 2 1 Pin SIZE_0_ + 1 2 1 Pin SIZE_0_.OE 10 8 1 Pin IPL_030_2_.D- 1 1 1 Pin IPL_030_2_.C 1 2 1 Pin RW_000.OE @@ -1169,7 +1181,7 @@ PostFit_Equations 1 1 1 Pin A0.C 2 6 1 Pin BG_000.D- 1 1 1 Pin BG_000.C - 2 4 1 Pin BGACK_030.D- + 3 5 1 Pin BGACK_030.D 1 1 1 Pin BGACK_030.C 10 8 1 Pin IPL_030_1_.D- 1 1 1 Pin IPL_030_1_.C @@ -1187,7 +1199,6 @@ PostFit_Equations 1 2 1 Pin RW.OE 2 5 1 Pin RW.D- 1 1 1 Pin RW.C - 4 11 1 Node N_165 2 2 1 Node cpu_est_0_.D 1 1 1 Node cpu_est_0_.C 5 5 1 Node cpu_est_1_.D- @@ -1307,6 +1318,7 @@ PostFit_Equations 1 1 1 Node CLK_000_N_SYNC_3_.C 1 1 1 Node CLK_000_N_SYNC_4_.D 1 1 1 Node CLK_000_N_SYNC_4_.C + 2 8 1 Node pos_clk_un29_clk_000_ne_d0_i_n- 1 1 1 Node CLK_000_N_SYNC_5_.D 1 1 1 Node CLK_000_N_SYNC_5_.C 1 1 1 Node CLK_000_N_SYNC_6_.D @@ -1329,21 +1341,18 @@ PostFit_Equations 1 1 1 Node SM_AMIGA_2_.C 3 7 1 Node inst_DS_000_ENABLE.D 1 1 1 Node inst_DS_000_ENABLE.C - 14 20 1 Node SM_AMIGA_i_7_.D + 13 16 1 Node SM_AMIGA_i_7_.D- 1 1 1 Node SM_AMIGA_i_7_.C + 2 14 1 Node CIIN_0 ========= 346 P-Term Total: 346 - Total Pins: 57 - Total Nodes: 72 + Total Pins: 61 + Total Nodes: 73 Average P-Term/Output: 2 Equations: -SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); - -SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); - SIZE_1_ = (!SIZE_DMA_0_.Q & SIZE_DMA_1_.Q); SIZE_1_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); @@ -1392,9 +1401,13 @@ AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW_000.PIN AMIGA_BUS_ENABLE_HIGH = (!BGACK_030.Q & inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q # BGACK_030.Q & !SM_AMIGA_i_7_.Q); -CIIN = (1); +CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); -CIIN.OE = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q); +CIIN.OE = (CIIN_0); + +SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); + +SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); !IPL_030_2_.D = (!IPL_2_ & RST & !IPL_030_2_.Q # RST & !IPL_D0_2_.Q & !IPL_030_2_.Q @@ -1430,8 +1443,9 @@ A0.C = (CLK_OSZI); BG_000.C = (CLK_OSZI); -!BGACK_030.D = (!BGACK_000 & RST - # RST & !BGACK_030.Q & !inst_CLK_000_PE.Q); +BGACK_030.D = (!RST + # BGACK_000 & BGACK_030.Q + # BGACK_000 & inst_CLK_000_PE.Q & AS_000.PIN); BGACK_030.C = (CLK_OSZI); @@ -1496,11 +1510,6 @@ RW.OE = (!BGACK_030.Q & RESET.Q); RW.C = (CLK_OSZI); -N_165 = (!SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); - cpu_est_0_.D = (!cpu_est_0_.Q & inst_CLK_000_NE_D0.Q # cpu_est_0_.Q & !inst_CLK_000_NE_D0.Q); @@ -1812,6 +1821,9 @@ CLK_000_N_SYNC_4_.D = (CLK_000_N_SYNC_3_.Q); CLK_000_N_SYNC_4_.C = (CLK_OSZI); +!pos_clk_un29_clk_000_ne_d0_i_n = (inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q + # E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q); + CLK_000_N_SYNC_5_.D = (CLK_000_N_SYNC_4_.Q); CLK_000_N_SYNC_5_.C = (CLK_OSZI); @@ -1872,23 +1884,25 @@ inst_DS_000_ENABLE.D = (RST & !SM_AMIGA_5_.Q & SM_AMIGA_3_.Q inst_DS_000_ENABLE.C = (CLK_OSZI); -SM_AMIGA_i_7_.D = (RST & !N_165 & !inst_CLK_000_PE.Q & BERR.PIN - # RST & !N_165 & !SM_AMIGA_0_.Q & BERR.PIN - # RST & !N_165 & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q - # RST & !N_165 & !SM_AMIGA_5_.Q & inst_CLK_000_PE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & !N_165 & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & !N_165 & inst_CLK_000_NE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & !N_165 & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & !N_165 & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & !N_165 & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & !N_165 & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); +!SM_AMIGA_i_7_.D = (!RST + # inst_CLK_000_PE.Q & SM_AMIGA_0_.Q + # SM_AMIGA_0_.Q & !BERR.PIN + # SM_AMIGA_5_.Q & !inst_CLK_000_NE.Q & !BERR.PIN + # !inst_CLK_000_PE.Q & SM_AMIGA_6_.Q & !BERR.PIN + # SM_AMIGA_3_.Q & pos_clk_un29_clk_000_ne_d0_i_n & !BERR.PIN + # !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & !BERR.PIN + # !inst_CLK_000_PE.Q & SM_AMIGA_4_.Q & !BERR.PIN + # !inst_CLK_000_PE.Q & SM_AMIGA_2_.Q & !BERR.PIN + # !SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); SM_AMIGA_i_7_.C = (CLK_OSZI); +CIIN_0 = (inst_nEXP_SPACE_D0reg.Q + # !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); + Reverse-Polarity Equations: diff --git a/Logic/68030_tk.tal b/Logic/68030_tk.tal index 2d3f516..ad74413 100644 --- a/Logic/68030_tk.tal +++ b/Logic/68030_tk.tal @@ -46,66 +46,64 @@ SIGNAL NAME min max min max min max min max DS_030 .. .. .. .. 1 2 .. .. FPU_CS .. .. .. .. 1 2 .. .. AMIGA_BUS_DATA_DIR .. .. .. .. 1 2 .. .. - SM_AMIGA_5_ 1 1 .. .. .. .. 1 2 - inst_AS_030_D0 1 2 .. .. .. .. 1 1 -inst_nEXP_SPACE_D0reg 1 1 1 1 .. .. 1 2 -inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 2 + BGACK_030 1 2 0 1 .. .. 1 1 + RN_BGACK_030 1 2 0 1 .. .. 1 1 + E .. .. 0 0 .. .. 1 2 + RN_E .. .. 0 0 .. .. 1 2 + VMA 1 1 0 0 .. .. 1 2 + RN_VMA 1 1 0 0 .. .. 1 2 + cpu_est_0_ .. .. .. .. .. .. 1 2 + cpu_est_1_ .. .. .. .. .. .. 1 2 + inst_AS_030_D0 1 2 1 1 .. .. 1 1 CYCLE_DMA_0_ 1 2 .. .. .. .. 1 1 CYCLE_DMA_1_ 1 2 .. .. .. .. 1 1 + inst_VPA_D 1 1 .. .. .. .. 1 2 inst_UDS_000_INT 1 1 1 1 .. .. 2 2 inst_LDS_000_INT 1 1 1 1 .. .. 2 2 - inst_CLK_000_D1 .. .. .. .. .. .. 1 2 - inst_CLK_000_D0 1 1 .. .. .. .. 1 2 - SM_AMIGA_3_ 1 1 .. .. .. .. 1 2 - SM_AMIGA_0_ 1 1 .. .. .. .. 1 2 - SM_AMIGA_6_ 1 1 .. .. .. .. 1 2 - SM_AMIGA_1_ 1 1 .. .. .. .. 1 2 - SM_AMIGA_4_ 1 1 .. .. .. .. 1 2 - SM_AMIGA_2_ 1 1 .. .. .. .. 1 2 + inst_DTACK_D0 1 1 .. .. .. .. 1 2 + cpu_est_2_ .. .. .. .. .. .. 1 2 +inst_CLK_000_NE_D0 .. .. .. .. .. .. 1 2 inst_DS_000_ENABLE 1 1 1 1 .. .. 2 2 AS_030 .. .. .. .. 1 1 .. .. AS_000 .. .. .. .. 1 1 .. .. CLK_EXP .. .. .. .. 1 1 .. .. + CIIN .. .. .. .. 1 1 .. .. IPL_030_2_ 1 1 0 0 .. .. 1 1 RN_IPL_030_2_ 1 1 0 0 .. .. 1 1 RW_000 1 1 0 0 .. .. 1 1 RN_RW_000 1 1 0 0 .. .. 1 1 BG_000 1 1 0 0 .. .. 1 1 RN_BG_000 1 1 0 0 .. .. 1 1 - BGACK_030 1 1 0 1 .. .. 1 1 - RN_BGACK_030 1 1 0 1 .. .. 1 1 IPL_030_1_ 1 1 0 0 .. .. 1 1 RN_IPL_030_1_ 1 1 0 0 .. .. 1 1 IPL_030_0_ 1 1 0 0 .. .. 1 1 RN_IPL_030_0_ 1 1 0 0 .. .. 1 1 DSACK1 1 1 0 0 .. .. 1 1 RN_DSACK1 1 1 0 0 .. .. 1 1 - E .. .. 0 0 .. .. 1 1 - RN_E .. .. 0 0 .. .. 1 1 - VMA 1 1 0 0 .. .. 1 1 - RN_VMA 1 1 0 0 .. .. 1 1 RESET 1 1 0 0 .. .. 1 1 RN_RESET 1 1 0 0 .. .. 1 1 RW 1 1 0 0 .. .. 1 1 RN_RW 1 1 0 0 .. .. 1 1 - cpu_est_0_ .. .. .. .. .. .. 1 1 - cpu_est_1_ .. .. .. .. .. .. 1 1 + SM_AMIGA_5_ 1 1 .. .. .. .. 1 1 inst_AMIGA_BUS_ENABLE_DMA_LOW 1 1 1 1 .. .. .. .. +inst_nEXP_SPACE_D0reg 1 1 1 1 .. .. 1 1 +inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 1 inst_BGACK_030_INT_D 1 1 .. .. .. .. 1 1 - inst_VPA_D 1 1 .. .. .. .. 1 1 inst_CLK_OUT_PRE_D .. .. .. .. .. .. 1 1 - inst_DTACK_D0 1 1 .. .. .. .. 1 1 inst_CLK_OUT_PRE_50 .. .. .. .. .. .. 1 1 + inst_CLK_000_D1 .. .. .. .. .. .. 1 1 + inst_CLK_000_D0 1 1 .. .. .. .. 1 1 inst_CLK_000_PE .. .. .. .. .. .. 1 1 CLK_000_P_SYNC_9_ .. .. .. .. .. .. 1 1 inst_CLK_000_NE .. .. .. .. .. .. 1 1 CLK_000_N_SYNC_11_ .. .. .. .. .. .. 1 1 - cpu_est_2_ .. .. .. .. .. .. 1 1 IPL_D0_0_ 1 1 .. .. .. .. 1 1 IPL_D0_1_ 1 1 .. .. .. .. 1 1 IPL_D0_2_ 1 1 .. .. .. .. 1 1 -inst_CLK_000_NE_D0 .. .. .. .. .. .. 1 1 + SM_AMIGA_3_ 1 1 .. .. .. .. 1 1 + SM_AMIGA_0_ 1 1 .. .. .. .. 1 1 inst_AMIGA_BUS_ENABLE_DMA_HIGH 1 1 1 1 .. .. .. .. + SM_AMIGA_6_ 1 1 .. .. .. .. 1 1 RST_DLY_0_ 1 1 .. .. .. .. 1 1 RST_DLY_1_ 1 1 .. .. .. .. 1 1 RST_DLY_2_ 1 1 .. .. .. .. 1 1 @@ -134,4 +132,8 @@ CLK_000_N_SYNC_7_ .. .. .. .. .. .. 1 1 CLK_000_N_SYNC_8_ .. .. .. .. .. .. 1 1 CLK_000_N_SYNC_9_ .. .. .. .. .. .. 1 1 CLK_000_N_SYNC_10_ .. .. .. .. .. .. 1 1 - SM_AMIGA_i_7_ 1 1 1 1 .. .. 1 1 \ No newline at end of file + SM_AMIGA_1_ 1 1 .. .. .. .. 1 1 + SM_AMIGA_4_ 1 1 .. .. .. .. 1 1 + SM_AMIGA_2_ 1 1 .. .. .. .. 1 1 + SM_AMIGA_i_7_ 1 1 1 1 .. .. 1 1 + CIIN_0 .. .. .. .. 1 1 .. .. \ No newline at end of file diff --git a/Logic/68030_tk.tt2 b/Logic/68030_tk.tt2 index 8054d59..68834fc 100644 --- a/Logic/68030_tk.tt2 +++ b/Logic/68030_tk.tt2 @@ -1,616 +1,632 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Jul 09 18:48:59 2015 +#$ DATE Thu Sep 24 16:20:59 2015 #$ MODULE 68030_tk -#$ PINS 57 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ A_25_ A_24_ IPL_2_ FC_1_ AS_030 AS_000 A_19_ A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE IPL_1_ DTACK IPL_0_ AVEC FC_0_ VPA RST AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW -#$ NODES 72 N_165 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ +#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW +#$ NODES 72 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ .type fr -.i 123 +.i 127 .o 198 -.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q N_165 cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN -.ob CLK_DIV_OUT CLK_EXP FPU_CS AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C inst_LDS_000_INT.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C A0.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_DS_030_D0.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C RESET.C inst_DS_000_ENABLE.C BGACK_030.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C RW.C RW_000.C inst_BGACK_030_INT_D.C inst_CLK_000_PE.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 BERR SIZE_0_ N_165 AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE BGACK_030.D E.D VMA.T RESET.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_DS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D cpu_est_2_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D SM_AMIGA_3_.T inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_6_.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D RST_DLY_3_.D RST_DLY_4_.T RST_DLY_5_.T RST_DLY_6_.T RST_DLY_7_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_4_.D SM_AMIGA_2_.D inst_DS_000_ENABLE.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 604 ---------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------0-------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1---------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~11111111~~11111~1~~~~~~~~111~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 ----------------------1----------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------1---------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0--------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1---------------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1---------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1---0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------01---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1----0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------10-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1--------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-------------0000000-----------------01----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0----------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0--1-------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1------------------0010--1-------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ------------------------------------------10-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------11---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------00---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------1----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------1-----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------01-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1---------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1----------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------01------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------10------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------1--------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1----------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1----1--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------00--01----------------------1---1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------01--10--------------0---------1-1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------------------11-----------------------------------111---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1-----------------------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1-----------------------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1-----------------------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0-----------------------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0-----------------------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0-----------------------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------0---------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------0----------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ------------------------------1---1--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1---0--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---10-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------00-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0-------------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------11--------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------00--------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------1---0-----------11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1---------------10--00--------------0-----------1---11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ------------------------------1------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------1----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------1---------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1------------------0------------------------1-1-----0-0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------0----------------1---0---1-1------10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1---------------10-000--------------0-------1-1-1----10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------1---------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0---------------------------------------1------------------------------------------------------ ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------0--------------------1------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0-----------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------01-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0-------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------0-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0--------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------0--1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------0-1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0---------------------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------1111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11111-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------11111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------1111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11111--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111111-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11111110-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1------------------------------1-------------0---------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1--------------------------------------------0----------------1----------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1----------------------------------------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------1---------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ -----------------------------1-----------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -----------------------------1-------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------------1---------------------------------------------1-------0-----------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1----------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---0--------------------1-------0-0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------0---0------------1---0---1--------10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1---------------10-000-0------------0-------1---1----10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ----------------------------------------------------------------------1---------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1-------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1-------------------------------------------1-------0--------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------1---0-----------11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------10--00--------------0-----------1---11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1---------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-------------------------------------------1---------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------0--------------------------1-----0-0--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------0----------------1---0-----1------10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1---------------10-000--------------0---------1-1----10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------0---0----------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -------------------------------------0--0-------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0----1-----------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0------------------0---------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-------------------1--------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---0------------1---0------------10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1---------------10-000-0------------0-----------1----10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1------------------------------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0----------------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1-------------------------1-0-------------10--------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------1------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0---------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ----------------------------------------0--------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------------------------------------0---------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------1----------------------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------------------------------------------------1----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------0-------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------1-------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0--------------------------------------------------------------1--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1--------------------------------------------------------------0--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------1------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---------------------------0-----------------------------------1-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---------------------------1-----------------------------------0-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------------------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0--------------------------------------------------------------1---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1--------------------------------------------------------------0---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-------------------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------------0-----------------------------------1------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------------1-----------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------0-------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------1-------------------------------------0-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------------------------------------------------------------1----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------------------------------------------------------------------------------------------------------------1---------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---1----------0010--1------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------01------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------0-----------1-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------10----------1-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------1-----------0-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0-----------------1----------0-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0-------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -----------------------------0----------0-------------------------------------------------------------------------01-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------0-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0------------------------------------1---------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------1----------------------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1---------------------------------------------------------0-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------0-----1--------------0--------------0-01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1--------------0--------------0-10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1--------------0----------------01-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1--------------0----------------10-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----------------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1--------------0--------------0-01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1--------------0--------------0-10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1--------------0----------------01-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1--------------0----------------10-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----------------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0-----------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0-----------------------------1-----------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0------------------------0---------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1----------------------1----------------------0-------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------0---------1-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------0-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1----------------------1---------------------------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------0------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0-------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1-------------------------------------------0----------------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1-------------------------------------------0-----------------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-----------------------------------------------------------------------------------------1-1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------------0-------------------------------------------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1--------------------------------------------0---------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------0-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1-------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1----------------------1-------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ---------------------------------------------------------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1-------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0------------------------------------------------------------------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0---------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------01------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~00~~~~~~~~~~~~~~~~~~~~0~~00000~~~0~~~~~~~~~~~~~~~~~~~~~~~000000~~~~ ---------------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1--------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1---------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000000~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------1--0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------11---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---01---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------0---0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1---10---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---10---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0--------0------------------------------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1--------0----1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1-------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ----------------------------------------------11---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ----------------------------------------------00---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1-------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------1---------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------1----------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------0-------1------------------------11----------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ---------------------------------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-0-------------10------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------------1-----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------11----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------0--------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0-------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---00--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0----1--------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------01--------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------1-----------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1-----------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1-----------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0-----------1-----------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0-----------1-----------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0-----------1-----------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0-----------1-----------00-----------------------------------000---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 ------------------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0---------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-1--------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------------------1-------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------------------------0-------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---10-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------11--------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---11--------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1---1---------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0-------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------0----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1---------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------0-------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0---------------------------------------0------------------------------------------------------ ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1-------------1-------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0---------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1----------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------0-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------0------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------0--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0-----------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------00-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0-------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0--------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------0-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------00------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1---0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11--0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0--------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111--0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1111-0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0---------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1111--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------11-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------1111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------0-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------0----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1111---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------00-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1-------------1---------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1--------------1------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1----------------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------------------1----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------------------------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1-----------------------------------------------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------1--------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------------------------0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ------------------------------------------------------------0--------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ------------------------------------------------------------------0--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0-------------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------1------------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------1---------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------1--------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------------------------1---1---------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------1---------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------0-----------0-------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------------0---------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------------------------------------0--------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------------------------------------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -------------------------------------0----------------------------0----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------1------------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1-------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------0------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------0--------------------0-----------------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ --------------1----------------------0------------------------------0-------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ------0-------1----------------------------------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------1------------0----------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1------------------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1-----------0-------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1-----------1-------------------------------------0---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0-----------1--------------------------------------------------1--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1--------------------------------------------------0--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1-----------0------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1------------0-----------------------------------1-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1------------1-----------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1-------------------------------------------------0----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1--------------------------------------------------1---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1--------------------------------------------------0---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1------------0-----------------------------------1------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1------------1-----------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1-----------0-------------------------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1-----------1-------------------------------------0-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1--------------------------------------------------0----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -----------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---0----------0010--1------------------------------------------------------------------------------------0---------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0----------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------------------0---------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0--------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------11-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0-------------------------------------------------------------------------------------0-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0-------------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1-----------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0-------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1-----1--------------0----------------01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0--------------0-01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1--------------0---------------001------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0----------------10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0--------------0-10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1--------------0---------------010------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0--------------0-01-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0--------------0-10-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------001-------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------010-------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------01------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------10------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------1-----1--------------0----------------01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0--------------0-01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1--------------0---------------001------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0----------------10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0--------------0-10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1--------------0---------------010------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0--------------0-01-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0--------------0-10-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------001-------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------010-------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------01------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------10------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------0-----------------------------1-----------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------0-----------------------------1------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------0-----------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------0-----------------------------1-------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------0--0----------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------0--0-------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------0------------------------------0---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1--------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------0-------------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------1------------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------1---------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------1--------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------1---1--------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------0-----------0------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------0-------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0----------1--------------1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------1------1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------1-----1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------0----1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------1---1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------01---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------------------1----------------------0-------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------0-------------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------1------------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------1---------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------1--------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------------------1---1-----------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------------------0-----------0---1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------0----------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------------------------------------------10------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------------------------------------1-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------0------------1--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------------------0-------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------0----------------------------------------------1----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------0-----------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1-------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -------------------------------------1-1-----------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------1---------------------------------------------------------------------0---------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------1------------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN +.ob CLK_DIV_OUT CLK_EXP FPU_CS AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_1_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C inst_CLK_030_H.C RESET.C inst_DS_000_ENABLE.C RW.C RW_000.C inst_LDS_000_INT.C BGACK_030.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C A0.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_DS_030_D0.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C inst_BGACK_030_INT_D.C inst_CLK_000_PE.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 BERR SIZE_0_ pos_clk_un29_clk_000_ne_d0_i_n AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE BGACK_030.D E.D VMA.T RESET.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_DS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D cpu_est_2_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D SM_AMIGA_3_.T inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_6_.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D RST_DLY_3_.D RST_DLY_4_.T RST_DLY_5_.T RST_DLY_6_.T RST_DLY_7_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_4_.D SM_AMIGA_2_.D inst_DS_000_ENABLE.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D +.p 620 +------------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1----------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0---------------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +------0------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1-------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~11111111~~11111~1~~~~~~~~111~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 +-------------------------1----------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1---------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0--------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1---0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------10--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0-------------00000001111----------------0------------------------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0---------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1----------------------0010--1------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-----------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0-1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +--------------------------------------------10--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0--------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------1----1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------1-----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------01--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------10--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-----------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1---------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1--------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1---------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1----------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------1---1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------10------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------1----------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +---------------------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1---1--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------00-01----------------------1---1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------01-10--------------0---------1-1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0-----------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1---------------------------11----------------------------------111----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 +-1---------------------------10----------------------------------011----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 +-1---------------------------01----------------------------------101----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 +-1---------------------------00----------------------------------001----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-0---------------------------11----------------------------------110----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +-0---------------------------10----------------------------------010----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0---------------------------01----------------------------------100----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------0------------------------------------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0----------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +---------------------------------1--1--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--0--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--10-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------00-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------11--------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------00--------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------1---0-----------11--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1-------------------10-00--------------0-----------1---11--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1-----------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1--------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------1----0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------1---------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1-------------------------1--------------------1-1-----0-0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------------------------------1--------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--------------------------------------1------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0--------------------1------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------1-------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------0-----------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------0------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------0-------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------0-1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------01-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------110-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------0--------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------0-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------1110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------11110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------111110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------1111111---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11111-0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------1111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------------11111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------1111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------11111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------11111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11111--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111111-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------1-1-----1-0-------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1---------------------------------1-------------0----------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----------------------------------------------0----------------1-----------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1-----------------------------------------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------1----------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0---------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +--------------------------------1-----------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +--------------------------------1------------1-----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------1------------------------------------------------1-------0------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------1-1-----0-0------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-----------------------------------------1-----------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------1----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0--------------------1-------0-0--1---------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------0--------------------1-------1-0-------------------------0----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------1--------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1----------------------------------------------1-------0---------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0--------------------1-------0-0------------------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +----------------------------------------------------1---0-----------11--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------10-00--------------0-----------1---11--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------1----------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1----------------------------------------------1----------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1-------------------------0--------------------1-------0-0------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------1----------------------1-----0-0--0----------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------------------------------------1-----1-0--0----------------------0-----------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------------------------------------1-----0-0--0---------------------------------100----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------0--1-0-------------10--------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------0----------------------------1-0--0----------------------0----------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------------------------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-----------------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1----------------------------1-0-------------10---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------1-------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------0----------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +------------------------------------------0---------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +-----------------------------------------------------------0----------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +------------------------------1----------------------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------------------------1-----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------0------------------------------------1----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------1------------------------------------0----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-0-----------------------------------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-1-----------------------------------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------1------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------0----------------------------------1------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------1----------------------------------0------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------------------------------------------1-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0-----------------------------------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-----------------------------------------------------------------0----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-----------------------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +------------------------------0----------------------------------1-------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +------------------------------1----------------------------------0-------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-----------------------------0------------------------------------1------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-----------------------------1------------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------------------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------------------------------------------------------------------------------------------------------1---------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---1--------------0010--1------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----------------------------------------------------1--------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------0-----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------1-----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0----------------1----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +--------------------------------0---------0--------------------------------------------------------------------------01-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------------------------------0-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0---------------------------------------1----------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------1-----------------------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1----------------------------------------------------------0-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0-----------------------------1--------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0-----------------------------1------------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------1----------------------0--------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------0---------1------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------1------------------------------0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------------------------------------------1-0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------1---------------------------------1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------0------------1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------0--1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------------------------------------0--------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1--------------------------------------------------------0------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1----------------------------------------------0-----------------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1--------------------------------------------------------0-------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1----------------------------------------------0------------------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1--------------------------------------------------------0--------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1---------------------------------------------------------------------------------------------1-1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1---------------------------0--------------------------------------------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-----------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------1--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----------------------------------------------0----------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------0-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1-------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------------------------------------------------------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1------------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0---------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------------------------------------------------------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0-----------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0--------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0-1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------01----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~00~~~~~~~~~~~~~~~~~~~~0~~00000~~~0~~~~~~~~~~~~~~~~~~~~~~~000000~~~~ +--------------1---------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------0--------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +--------------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000000~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------11----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------0--0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------0-------------------------------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1-----------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1---------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1--------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1-------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1-----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1---------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------0--------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0-------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-----------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------10------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1-------0----1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +--------------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1-----------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-----------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------1---------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------1----------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0-------1---------------------------11----------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-----------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------------------1-----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------11----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------0-------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------0-----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------0----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1---------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--00--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0---1--------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------01--------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1-----------1---------------10----------------------------------011----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1---------------01----------------------------------101----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1---------------00----------------------------------001----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ +-0-----------1---------------11----------------------------------110----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-0-----------1---------------10----------------------------------010----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 +-0-----------1---------------01----------------------------------100----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 +-0-----------1---------------00----------------------------------000----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 +-------------0------------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-1--------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------------------------1-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------------------------0-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--10-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------1---0------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------11--------------------------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--11--------------------------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------10-00--------------0-----------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--1---------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0-----------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------0----0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1---------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +--------------------------------------------------------------0-------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--------------------------------------0------------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------1-------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0---------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1----------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------0-------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------0------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0--------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1----------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0-----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0---------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------00--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0----------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0-------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1-0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0-0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------110-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------00-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0-----------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------0-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0-------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1----0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11---0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111--0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1111-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1-----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1111--0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------------11--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------------111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------------1111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0---------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------0-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------0----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1111---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------0---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------0--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------0-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------1------------------1------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------1--------------------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------------------------------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------0-----1---------------------------------------------------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------1---------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------0----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------------------------------------------------------------------0------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +--------------------------------------------------------------0---------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +--------------------------------------------------------------------0---------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------1-----------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------1---------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------1--------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------------------------1---1----------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------------------------------------1----------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------------------------------------------------0-----------0--------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------------------------------------------0----------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------------------------------------0---------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------------------------------------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +---------------------------------------0--0-------------------------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------0----1-----------------------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------0------------------0---------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------0-------------------1--------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------0----------------------------0-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +--------------------------------1------------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1--------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0-------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0--------------------0------------------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------1-------------------------0------------------------------0--------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-----0-------1--------------------------------------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-------------1----------------0----------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------------------------------------------0-----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------0------------------------------------1----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------1------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-0-----------1-----------------------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1-----------------------------------------------------0---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------0------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------0----------------------------------1------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------1----------------------------------0------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------------------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1-----------------------------------------------------1----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1-----------------------------------------------------0----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1-----------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1----------------0----------------------------------1-------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1----------------1----------------------------------0-------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1---------------0------------------------------------1------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1---------------1------------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1-----------------------------------------------------0-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +--------------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---0--------------0010--1------------------------------------------------------------------------------------0---------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-----------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------1------------------0------------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0---------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------------11-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------------------------------------------------------0-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------1---------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0--------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------0-------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------1-----1------------------0---------------01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------001-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0---------------10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------010-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------001--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------010--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------1-----1------------------0---------------01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------001-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0---------------10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------010-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------001--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------010--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------0-----------------------------1------------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------0-----------------------------1-------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------0-----------------------------1--------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------0-----------------------------1--------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------0--0-----------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------0--0--------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------0------------------------------0----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------1---------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------0------------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------1-----------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1---------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------1--------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------1---1---------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------0-----------0-------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------0--------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------------1----------------------0--------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +--------------------------------------------------------------------0--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------0----------------------------0--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------------------------------------1------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------------------------------------0------------1---------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +--------------------------------------------------------------------1---------------------------1----------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +--------------------------------------------------------------0--------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------------------------------------0-----------------------------------------------1----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------------------------------------0------------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------------------------------------------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------1--------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +---------------------------------------1-1------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------1----------------------------------------------------------------------0---------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------1-------------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ .end diff --git a/Logic/68030_tk.tt3 b/Logic/68030_tk.tt3 index ed07de7..957bff2 100644 --- a/Logic/68030_tk.tt3 +++ b/Logic/68030_tk.tt3 @@ -1,616 +1,632 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Jul 09 18:48:59 2015 +#$ DATE Thu Sep 24 16:20:59 2015 #$ MODULE 68030_tk -#$ PINS 57 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ A_25_ A_24_ IPL_2_ FC_1_ AS_030 AS_000 A_19_ A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE IPL_1_ DTACK IPL_0_ AVEC FC_0_ VPA RST AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW -#$ NODES 72 N_165 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ +#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW +#$ NODES 72 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ .type fr -.i 123 +.i 127 .o 198 -.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q N_165 cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN -.ob CLK_DIV_OUT CLK_EXP FPU_CS AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C inst_LDS_000_INT.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C A0.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_DS_030_D0.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C RESET.C inst_DS_000_ENABLE.C BGACK_030.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C RW.C RW_000.C inst_BGACK_030_INT_D.C inst_CLK_000_PE.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 BERR SIZE_0_ N_165 AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE BGACK_030.D E.D VMA.T RESET.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_DS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D cpu_est_2_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D SM_AMIGA_3_.T inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_6_.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D RST_DLY_3_.D RST_DLY_4_.T RST_DLY_5_.T RST_DLY_6_.T RST_DLY_7_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_4_.D SM_AMIGA_2_.D inst_DS_000_ENABLE.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 604 ---------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------0-------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1---------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~11111111~~11111~1~~~~~~~~111~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 ----------------------1----------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------1---------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0--------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1---------------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1---------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1---0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------01---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1----0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------10-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1--------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-------------0000000-----------------01----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0----------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0--1-------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1------------------0010--1-------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ------------------------------------------10-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------11---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------00---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------1----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------1-----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------01-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1---------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1----------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------01------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------10------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------1--------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1----------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1----1--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------00--01----------------------1---1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------01--10--------------0---------1-1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------------------11-----------------------------------111---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1-----------------------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1-----------------------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1-----------------------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0-----------------------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0-----------------------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0-----------------------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------0---------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------0----------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ------------------------------1---1--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1---0--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---10-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------00-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0-------------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------11--------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------00--------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------1---0-----------11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1---------------10--00--------------0-----------1---11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ------------------------------1------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------1----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------1---------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1------------------0------------------------1-1-----0-0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------0----------------1---0---1-1------10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1---------------10-000--------------0-------1-1-1----10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------1---------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0---------------------------------------1------------------------------------------------------ ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------0--------------------1------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0-----------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------01-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0-------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------0-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0--------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------0--1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------0-1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0---------------------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------1111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11111-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------11111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------1111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------1-----------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11111--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111111-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11111110-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1------------------------------1-------------0---------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1--------------------------------------------0----------------1----------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1----------------------------------------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------1---------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ -----------------------------1-----------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -----------------------------1-------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------------1---------------------------------------------1-------0-----------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1----------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---0--------------------1-------0-0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------0---0------------1---0---1--------10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1---------------10-000-0------------0-------1---1----10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ----------------------------------------------------------------------1---------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1-------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1-------------------------------------------1-------0--------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------1---0-----------11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------10--00--------------0-----------1---11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1---------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-------------------------------------------1---------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------0--------------------------1-----0-0--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------0----------------1---0-----1------10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1---------------10-000--------------0---------1-1----10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1------------------0---0----------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -------------------------------------0--0-------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0----1-----------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0------------------0---------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-------------------1--------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---0------------1---0------------10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1---------------10-000-0------------0-----------1----10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1------------------------------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0----------------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1-------------------------1-0-------------10--------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------1------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0---------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ----------------------------------------0--------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------------------------------------0---------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------1----------------------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------------------------------------------------1----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------0-------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------1-------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0--------------------------------------------------------------1--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1--------------------------------------------------------------0--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------1------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---------------------------0-----------------------------------1-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---------------------------1-----------------------------------0-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------------------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0--------------------------------------------------------------1---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1--------------------------------------------------------------0---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-------------------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------------0-----------------------------------1------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------------1-----------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------0-------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------1-------------------------------------0-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------------------------------------------------------------1----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------------------------------------------------------------------------------------------------------------1---------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---1----------0010--1------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------01------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------0-----------1-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------10----------1-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------1-----------0-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0-----------------1----------0-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0-------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -----------------------------0----------0-------------------------------------------------------------------------01-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------0-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0------------------------------------1---------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------1----------------------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1---------------------------------------------------------0-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------0-----1--------------0--------------0-01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1--------------0--------------0-10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1--------------0----------------01-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1--------------0----------------10-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----------------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1--------------0--------------0-01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1--------------0--------------0-10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1--------------0----------------01-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1--------------0----------------10-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----------------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0-----------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0-----------------------------1-----------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0------------------------0---------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1----------------------1----------------------0-------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------0---------1-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------0-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1----------------------1---------------------------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------0------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0-------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1-------------------------------------------0----------------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1-------------------------------------------0-----------------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-----------------------------------------------------------------------------------------1-1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------------0-------------------------------------------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1--------------------------------------------0---------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------0-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1-------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1----------------------1-------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ---------------------------------------------------------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1-------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0------------------------------------------------------------------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0---------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------01------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~00~~~~~~~~~~~~~~~~~~~~0~~00000~~~0~~~~~~~~~~~~~~~~~~~~~~~000000~~~~ ---------------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1--------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1---------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000000~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------1--0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------11---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---01---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------0---0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1---10---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---10---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0--------0------------------------------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1--------0----1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1-------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ----------------------------------------------11---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ----------------------------------------------00---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1-------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------1---------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------1----------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------0-------1------------------------11----------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ---------------------------------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-0-------------10------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------------1-----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------11----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------0--------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0--------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0-------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---00--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0----1--------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------01--------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------1-----------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1-----------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1-----------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0-----------1-----------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0-----------1-----------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0-----------1-----------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0-----------1-----------00-----------------------------------000---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 ------------------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0---------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-1--------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------------------1-------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------------------------0-------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---10-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------11--------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---11--------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1---1---------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0-------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------0----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1---------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------0-------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0---------------------------------------0------------------------------------------------------ ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1-------------1-------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0---------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1----------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------0-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------0------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------0--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0-----------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------00-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0-------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0--------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------0-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------00------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1---0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11--0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0--------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111--0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1111-0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0---------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1111--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------11-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------1111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------11-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------0-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------111----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------0----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1-----------1111---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------00-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1-------------1---------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1--------------1------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1----------------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------------------1----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------------------------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1-----------------------------------------------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------1--------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------------------------0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ------------------------------------------------------------0--------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ------------------------------------------------------------------0--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0-------------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------1------------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------1---------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------1--------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------------------------1---1---------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------1---------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------0-----------0-------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------------0---------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------------------------------------0--------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------------------------------------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -------------------------------------0----------------------------0----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------1------------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1-------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------0------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------0--------------------0-----------------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ --------------1----------------------0------------------------------0-------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ------0-------1----------------------------------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------1------------0----------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1------------------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1-----------0-------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1-----------1-------------------------------------0---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0-----------1--------------------------------------------------1--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1--------------------------------------------------0--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1-----------0------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1------------0-----------------------------------1-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1------------1-----------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1-------------------------------------------------0----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1--------------------------------------------------1---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1--------------------------------------------------0---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1------------0-----------------------------------1------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1------------1-----------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1-----------0-------------------------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1-----------1-------------------------------------0-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1--------------------------------------------------0----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -----------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---0----------0010--1------------------------------------------------------------------------------------0---------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0----------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------------------0---------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0--------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------11-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0-------------------------------------------------------------------------------------0-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0-------------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1-----------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0-------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1-----1--------------0----------------01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0--------------0-01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1--------------0---------------001------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0----------------10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0--------------0-10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1--------------0---------------010------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0--------------0-01-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0--------------0-10-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------001-------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------010-------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------01------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------10------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------1-----1--------------0----------------01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0--------------0-01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1--------------0---------------001------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0----------------10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0--------------0-10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1--------------0---------------010------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0--------------0-01-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1--------------0--------------0-10-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------001-------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------010-------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------01------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0----------------10------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------0-----------------------------1-----------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------0-----------------------------1------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------0-----------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------0-----------------------------1-------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------0--0----------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------0--0-------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------0------------------------------0---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------1--------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------0-------------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------1------------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------1---------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------1--------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------1---1--------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------0-----------0------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------0-------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0----------1--------------1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------1------1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------1-----1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------0----1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------1---1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------01---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------------------1----------------------0-------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------0-------------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------1------------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------1---------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------1--------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------------------1---1-----------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------------------0-----------0---1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------0----------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------------------------------------------10------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------------------------------------1-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------0------------1--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------------------0-------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------0----------------------------------------------1----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------0-----------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1-------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -------------------------------------1-1-----------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------1---------------------------------------------------------------------0---------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------1------------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN +.ob CLK_DIV_OUT CLK_EXP FPU_CS AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_1_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C inst_CLK_030_H.C RESET.C inst_DS_000_ENABLE.C RW.C RW_000.C inst_LDS_000_INT.C BGACK_030.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C A0.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_DS_030_D0.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C inst_BGACK_030_INT_D.C inst_CLK_000_PE.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 BERR SIZE_0_ pos_clk_un29_clk_000_ne_d0_i_n AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE BGACK_030.D E.D VMA.T RESET.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_DS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D cpu_est_2_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D SM_AMIGA_3_.T inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_6_.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D RST_DLY_3_.D RST_DLY_4_.T RST_DLY_5_.T RST_DLY_6_.T RST_DLY_7_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_4_.D SM_AMIGA_2_.D inst_DS_000_ENABLE.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D +.p 620 +------------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1----------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0---------------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +------0------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1-------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~11111111~~11111~1~~~~~~~~111~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 +-------------------------1----------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1---------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0--------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1---0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------10--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0-------------00000001111----------------0------------------------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0---------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1----------------------0010--1------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-----------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0-1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +--------------------------------------------10--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0--------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------1----1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------1-----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------01--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------10--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-----------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1---------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1--------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1---------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1----------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------1---1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------10------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------1----------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +---------------------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1---1--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------00-01----------------------1---1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------01-10--------------0---------1-1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0-----------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1---------------------------11----------------------------------111----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 +-1---------------------------10----------------------------------011----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 +-1---------------------------01----------------------------------101----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 +-1---------------------------00----------------------------------001----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-0---------------------------11----------------------------------110----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +-0---------------------------10----------------------------------010----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0---------------------------01----------------------------------100----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------0------------------------------------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0----------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +---------------------------------1--1--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--0--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--10-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------00-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------11--------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------00--------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------1---0-----------11--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1-------------------10-00--------------0-----------1---11--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1-----------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1--------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1-------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------1----0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------1---------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1-------------------------1--------------------1-1-----0-0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------------------------------1--------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--------------------------------------1------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0--------------------1------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------1-------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------0-----------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------0------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------0-------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------0-1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------01-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------110-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------0--------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------0-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------1110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------11110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------111110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------1111111---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11111-0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------1111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------------11111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------1111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------11111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------1-----------11111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11111--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111111-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------1-1-----1-0-------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1---------------------------------1-------------0----------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----------------------------------------------0----------------1-----------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1-----------------------------------------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------1----------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0---------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +--------------------------------1-----------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +--------------------------------1------------1-----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------1------------------------------------------------1-------0------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------1-1-----0-0------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-----------------------------------------1-----------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------1----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0--------------------1-------0-0--1---------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------0--------------------1-------1-0-------------------------0----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------1--------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1----------------------------------------------1-------0---------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0--------------------1-------0-0------------------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +----------------------------------------------------1---0-----------11--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------10-00--------------0-----------1---11--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------1----------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1----------------------------------------------1----------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1-------------------------0--------------------1-------0-0------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------1----------------------1-----0-0--0----------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------------------------------------1-----1-0--0----------------------0-----------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------------------------------------1-----0-0--0---------------------------------100----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------0--1-0-------------10--------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------0----------------------------1-0--0----------------------0----------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------------------------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-----------------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1----------------------------1-0-------------10---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------1-------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------0----------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +------------------------------------------0---------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +-----------------------------------------------------------0----------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +------------------------------1----------------------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------------------------1-----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------0------------------------------------1----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------1------------------------------------0----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-0-----------------------------------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-1-----------------------------------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------1------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------0----------------------------------1------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------1----------------------------------0------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------------------------------------------1-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0-----------------------------------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-----------------------------------------------------------------0----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-----------------------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +------------------------------0----------------------------------1-------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +------------------------------1----------------------------------0-------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-----------------------------0------------------------------------1------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-----------------------------1------------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------------------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------------------------------------------------------------------------------------------------------1---------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---1--------------0010--1------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----------------------------------------------------1--------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------0-----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------1-----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0----------------1----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +--------------------------------0---------0--------------------------------------------------------------------------01-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------------------------------0-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0---------------------------------------1----------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------1-----------------------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1----------------------------------------------------------0-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1------------------0---------------10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0-----------------------------1--------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0-----------------------------1------------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------1----------------------0--------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------0---------1------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------1------------------------------0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------------------------------------------1-0------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1-------------------------1---------------------------------1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------0------------1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------0--1---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------------------------------------0--------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1--------------------------------------------------------0------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1----------------------------------------------0-----------------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1--------------------------------------------------------0-------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1----------------------------------------------0------------------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1--------------------------------------------------------0--------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1---------------------------------------------------------------------------------------------1-1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1---------------------------0--------------------------------------------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-----------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------1--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----------------------------------------------0----------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------0-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1-------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------------------------------------------------------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1------------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0---------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------------------------------------------------------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0-----------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0--------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0-1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------01----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~00~~~~~~~~~~~~~~~~~~~~0~~00000~~~0~~~~~~~~~~~~~~~~~~~~~~~000000~~~~ +--------------1---------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------0--------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +--------------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000000~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------11----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------0--0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------0-------------------------------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1-----------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1---------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1--------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1-------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1-----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1---------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------0--------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0-------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-----------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------10------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1-------0----1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +--------------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1-----------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-----------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------1---------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------1----------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0-------1---------------------------11----------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-----------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------------------1-----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------11----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------0-------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------0-----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------0----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1---------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--00--------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0---1--------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------01--------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1-----------1---------------10----------------------------------011----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1---------------01----------------------------------101----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1---------------00----------------------------------001----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ +-0-----------1---------------11----------------------------------110----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-0-----------1---------------10----------------------------------010----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 +-0-----------1---------------01----------------------------------100----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 +-0-----------1---------------00----------------------------------000----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 +-------------0------------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-1--------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------------------------1-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------------------------0-------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1--------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--10-------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------1---0------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------11--------------------------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--11--------------------------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------10-00--------------0-----------1----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--1---------------------------0----1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0-----------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------0----0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1---------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +--------------------------------------------------------------0-------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--------------------------------------0------------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------1-------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0---------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1----------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------0-------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------0------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0--------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1----------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0-----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0---------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------00--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0----------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0-------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1-0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0-0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------110-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------00-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0-----------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------0-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0-------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1----0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11---0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111--0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1111-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1-----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1111--0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------------11--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------------111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------------1111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0---------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------0------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------11-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------0-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------111----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------0----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------1-----------1111---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------0---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------0--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------0-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------1------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------1------------------1------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------1--------------------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------------------------------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------0-----1---------------------------------------------------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------1---------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------0----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------------------------------------------------------------------0------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +--------------------------------------------------------------0---------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +--------------------------------------------------------------------0---------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------1-----------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------1---------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------1--------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------------------------1---1----------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------------------------------------1----------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------------------------------------------------0-----------0--------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------------------------------------------0----------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------------------------------------0---------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------------------------------------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +---------------------------------------0--0-------------------------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------0----1-----------------------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------0------------------0---------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------0-------------------1--------0-0--0---------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------0----------------------------0-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +--------------------------------1------------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------1--------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0-------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------0--------------------0------------------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------1-------------------------0------------------------------0--------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-----0-------1--------------------------------------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-------------1----------------0----------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------------------------------------------0-----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------0------------------------------------1----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------1------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-0-----------1-----------------------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1-----------------------------------------------------0---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1---------------0------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------0----------------------------------1------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------1----------------------------------0------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1----------------------------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1-----------------------------------------------------1----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1-----------------------------------------------------0----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1-----------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1----------------0----------------------------------1-------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1----------------1----------------------------------0-------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1---------------0------------------------------------1------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1---------------1------------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1-----------------------------------------------------0-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +--------------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---0--------------0010--1------------------------------------------------------------------------------------0---------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-----------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------1------------------0------------------------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0---------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------------------11-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------------------------------------------------------------------------------0-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------1---------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0--------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------0-------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------1-----1------------------0---------------01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------001-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0---------------10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------010-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------001--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------010--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------1-----1------------------0---------------01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------001-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0---------------10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1------------------0--------------010-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1------------------0-------------0-10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------001--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0--------------010--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------01-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------10-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------0-----------------------------1------------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------0-----------------------------1-------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------0-----------------------------1--------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------0-----------------------------1--------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------0--0-----------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------0--0--------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------0------------------------------0----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------1---------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------0------------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------1-----------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1---------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------1--------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------1---1---------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------0-----------0-------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------0--------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------------1----------------------0--------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +--------------------------------------------------------------------0--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------0----------------------------0--------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------------------------------------1------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------------------------------------0------------1---------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +--------------------------------------------------------------------1---------------------------1----------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +--------------------------------------------------------------0--------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------------------------------------0-----------------------------------------------1----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------------------------------------0------------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------------------------------------------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------1--------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +---------------------------------------1-1------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------1----------------------------------------------------------------------0---------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------1-------------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ .end diff --git a/Logic/68030_tk.tt4 b/Logic/68030_tk.tt4 index cb1a686..a38edf5 100644 --- a/Logic/68030_tk.tt4 +++ b/Logic/68030_tk.tt4 @@ -1,13 +1,14 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Jul 09 18:48:59 2015 +#$ DATE Thu Sep 24 16:20:59 2015 #$ MODULE BUS68030 -#$ PINS 57 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ A_25_ A_24_ - IPL_2_ FC_1_ AS_030 AS_000 A_19_ A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A1 +#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ + A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP - FPU_CS FPU_SENSE IPL_1_ DTACK IPL_0_ AVEC FC_0_ VPA RST AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_ - RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW -#$ NODES 72 N_165 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ + IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE + AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ + A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA + RESET RW +#$ NODES 73 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D @@ -20,60 +21,60 @@ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ - CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ - CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H - SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ + CLK_000_N_SYNC_4_ pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_ + CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ + CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ + inst_DS_000_ENABLE SM_AMIGA_i_7_ CIIN_0 .type f -.i 123 -.o 199 +.i 128 +.o 200 .ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI - FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_19_ A_18_ - A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q N_165 cpu_est_0_.Q - cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q - inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q - inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q - CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q - inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q - inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q - inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q - IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q - inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q - RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q - RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q - CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q - CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q - CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q - CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q - CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q - SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q - IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN - DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN - RW.PIN -.ob SIZE_0_ SIZE_0_.OE SIZE_1_ SIZE_1_.OE AS_030% AS_030.OE AS_000% AS_000.OE - DS_030% DS_030.OE UDS_000% UDS_000.OE LDS_000% LDS_000.OE BERR BERR.OE - CLK_DIV_OUT CLK_DIV_OUT.OE CLK_EXP FPU_CS% AVEC AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW% AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE - IPL_030_2_.D% IPL_030_2_.C RW_000.D% RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D% - BG_000.C BGACK_030.D% BGACK_030.C IPL_030_1_.D% IPL_030_1_.C IPL_030_0_.D% - IPL_030_0_.C DSACK1.D% DSACK1.C DSACK1.OE E.D E.C VMA.T VMA.C RESET.D RESET.C - RW.D% RW.C RW.OE N_165 cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D% cpu_est_1_.C - inst_AS_000_INT.D% inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C - inst_AMIGA_BUS_ENABLE_DMA_LOW.D% inst_AMIGA_BUS_ENABLE_DMA_LOW.C - inst_AS_030_D0.D% inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D% - inst_nEXP_SPACE_D0reg.C inst_DS_030_D0.D% inst_DS_030_D0.C - inst_AS_030_000_SYNC.D% inst_AS_030_000_SYNC.C inst_BGACK_030_INT_D.D% - inst_BGACK_030_INT_D.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D - inst_DS_000_DMA.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C - SIZE_DMA_0_.D% SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D% - inst_VPA_D.C inst_UDS_000_INT.D% inst_UDS_000_INT.C inst_LDS_000_INT.D - inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D% - inst_DTACK_D0.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D - inst_CLK_000_D1.C inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D - inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D - inst_CLK_000_NE.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C cpu_est_2_.D - cpu_est_2_.C IPL_D0_0_.D% IPL_D0_0_.C IPL_D0_1_.D% IPL_D0_1_.C IPL_D0_2_.D% - IPL_D0_2_.C SM_AMIGA_3_.T SM_AMIGA_3_.C inst_CLK_000_NE_D0.D - inst_CLK_000_NE_D0.C SM_AMIGA_0_.D SM_AMIGA_0_.C + FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ + A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q + RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q + inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q + inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q + inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q + inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q + inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q + inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q + cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q + inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q + SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q + RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q + CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q + CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q + CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q + pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q + CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q + RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q + inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q + IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN + SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN CIIN_0 +.ob SIZE_1_ SIZE_1_.OE AS_030% AS_030.OE AS_000% AS_000.OE DS_030% DS_030.OE + UDS_000% UDS_000.OE LDS_000% LDS_000.OE BERR BERR.OE CLK_DIV_OUT CLK_DIV_OUT.OE + CLK_EXP FPU_CS% AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW% + AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE SIZE_0_ SIZE_0_.OE IPL_030_2_.D% IPL_030_2_.C + RW_000.D% RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D% BG_000.C BGACK_030.D + BGACK_030.C IPL_030_1_.D% IPL_030_1_.C IPL_030_0_.D% IPL_030_0_.C DSACK1.D% + DSACK1.C DSACK1.OE E.D E.C VMA.T VMA.C RESET.D RESET.C RW.D% RW.C RW.OE + cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D% cpu_est_1_.C inst_AS_000_INT.D% + inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D% + inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D% inst_AS_030_D0.C + inst_nEXP_SPACE_D0reg.D% inst_nEXP_SPACE_D0reg.C inst_DS_030_D0.D% + inst_DS_030_D0.C inst_AS_030_000_SYNC.D% inst_AS_030_000_SYNC.C + inst_BGACK_030_INT_D.D% inst_BGACK_030_INT_D.C inst_AS_000_DMA.D + inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C CYCLE_DMA_0_.D + CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D% SIZE_DMA_0_.C + SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D% inst_VPA_D.C inst_UDS_000_INT.D% + inst_UDS_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D + inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D% inst_DTACK_D0.C inst_CLK_OUT_PRE_50.D + inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D0.D + inst_CLK_000_D0.C inst_CLK_000_PE.D inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D + CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D inst_CLK_000_NE.C CLK_000_N_SYNC_11_.D + CLK_000_N_SYNC_11_.C cpu_est_2_.D cpu_est_2_.C IPL_D0_0_.D% IPL_D0_0_.C + IPL_D0_1_.D% IPL_D0_1_.C IPL_D0_2_.D% IPL_D0_2_.C SM_AMIGA_3_.T SM_AMIGA_3_.C + inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C SM_AMIGA_0_.D SM_AMIGA_0_.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D% inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_6_.D SM_AMIGA_6_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.T.X1 RST_DLY_4_.T.X2 @@ -85,254 +86,252 @@ CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D - CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D - CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D - CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D - CLK_000_N_SYNC_10_.C inst_CLK_030_H.D inst_CLK_030_H.C SM_AMIGA_1_.D - SM_AMIGA_1_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_2_.D SM_AMIGA_2_.C - inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C -.phase 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 242 ------------------------------------------------10-------------------------------------------------------------------------- 1000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0----------0----------------------------------------------------------------------------------- 0101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------01-------------------------------------------------------------------------- 0010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------0---------------------------------------------------------------------0--------- 0000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0--1-------0----------------------------------------------------------------------------------- 0000010001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------0----------------------------------------------------------------------------0---------- 0000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1--1------------------------------------------------------------------------------------------- 0000000100010100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------0--------------------------------------------------------------------0--------- 0000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------0-------------------------------------------------------1--------0------- 0000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------0------------------------------------------------------1--------0------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------------------------- 0000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---1----------0010--1------------------------------------------------------------------------------------0---------- 0000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1------------------------------------------------------------------------------------------------------------------- 0000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---0----------0010--1------------------------------------------------------------------------------------0---------- 0000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0----------0-------------------------------------------------------------------------01-------- 0000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1-------------------------------------------------------------------------------------0-------- 0000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0--------0------------------------------------------------------------------------------------- 0000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0---------------------------------------1------------------------------------------------------ 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1------------------------------------------------------------------------------0--------------- 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-------------0000000-----------------01----------------------------------------------------------------------------------- 0000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------11-----------------------------------110---------------------------------------------------------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------10-----------------------------------010---------------------------------------------------------- 0000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------01-----------------------------------100---------------------------------------------------------- 0000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------00-----------------------------------000---------------------------------------------------------- 0000000000000000000000000001000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-------------------------------------------------------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------0-----------------------------------1------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------1-----------------------------------0------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------0-------------------------------------1-----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------1-------------------------------------0-----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------0----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1----------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000101001001010101010010101010001010101010101010101010101010101010101010101010101010101010101010101010101010101010010101010101010101010101010101010101010101010101010101010101 --------------1----------------------0--------------------0-----------------------------------------0-------1--------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------0------------------------------0-------------------------------0-------1--------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------1-------------------------------------------------------------------------------------0 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000010000000000000000000000000000000000000000000101000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1-------------1----------------------------------------------------------1--------------------- 0000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0---------------------------------------------------------------------------------------1------ 0000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1------------------------11----------------1------------------------------------------------------------------ 0000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1----------------------------------------------------------------------------------------------0-------------- 0000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------0------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0----------------------------0----------------------------------------------------------------- 0000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-----------01-----------------------------------101---------------------------------------------------------- 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-----------00-----------------------------------001---------------------------------------------------------- 0000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------0------------------------------------------------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------0-----------------------------------1-----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------1-----------------------------------0-----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------0----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1--------------------------------------------------1---------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1--------------------------------------------------0---------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-----------10-----------------------------------011---------------------------------------------------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------0----------------------------------------------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0----------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------0-------------------------------------1---------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------1-------------------------------------0---------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1--------------------------------------------------1--------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1--------------------------------------------------0--------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----1-----------------------------------------------------------------------------------1-----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------1--------------------------------------------1-----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------------------------------1----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------0------------------------------0---------------------------------------------------1- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1----------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1---0----------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1----0---------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------11--------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------00--------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1------------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0----------------0-------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------00--01----------------------1---1------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------01--10--------------0---------1-1------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------1------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------11111111-------------------------------------------- 0000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 --------------1--------------1-------------1---------------------------------------------------------0---------------------- 0000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0-------------------------------------------------------------------------------------0-------- 0000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0--1------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0--0-------------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0----1-----------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0------------------0---------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0-------------------1--------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1---10---------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------0---00--------------------------1------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------0---11--------------------------1----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1---1---------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0-------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------1-------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------0--0----------------------------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------0--------------------1------------1---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------1----------------------0-------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------1---------------------------------1--------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1---------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1--------0----1-------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------------------------------------------0---------- 0000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------------------------------------------------------0------- 0000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------0--0-------------------------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---0----------1--------------1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------1------1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------1-----1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------0----1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------1---1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------01---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----------------------------------1------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------11---------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------00---------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------------------1--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------------------11----- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0------------------------------------1---------------------------------------------------------------------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1-----------------------------------1----------------------------------------------------------1-----------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------1---------------------------------------------------------0-----------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0----------------0-----------1-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0----------------1-----------0-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0----------------01------------------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0----------------10----------1-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0-----------------1----------0-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1-------------0-------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1------------------0--------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0---------------------------------------------------------------------------------------00----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1-------------1-----1-------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0---------------------------------------------------------------------------------------00----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------01------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------1---------0------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------0-------------------0---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------0-----------------------------1-------------------------------------------------0-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1----------1----------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------1------------------0---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------0-----------------------------1-----------------------------------------------100-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------1-------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0-1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------0-------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------1------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1------------------------------------------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------1---------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------1----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------1-------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------1------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------1--------------------------1------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1---1--------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------00-------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------1----0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------0------------------------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------0------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0---------------------------------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------1-------0--------------------------------------1------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------1---0-----------11-------------------------------------0------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------10--00--------------0-----------1---11-------------------------------------0------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------1-------------------------------------------------------0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1--------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-------0-----------------------------------1------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------0---------1-----------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 ----0---------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1-------------1-------------------------0------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1-0-------------10--------------------------------------------------0--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------1------------------------------------0--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------0------------1--------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------0-----------1--------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------0--------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------11111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------0------------1-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------01-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------10-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------1111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------0-------------1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------0-1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------01------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------110------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------------111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------0--------------1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------0--1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------0-1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------------01------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------1110------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------11111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------0-------------------------------------------------------------1----------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------1111------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------0--------------------------------------------------------------1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------111110---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1-----------1111110--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1-----------111111-0-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 --------------0---------------------------------------------------------------1--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------1111110--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1-----------11111110-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------1111111--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------1-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 --------------------------------------------------------01------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------1------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------1------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------1----------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------------1---------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------1--------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1-------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 --------------------------------------------------------------------------------------1------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 ---------------------------------------------------------------------------------------1------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 --------------------------------------------------------10------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 -----------------------------------------------------------------------------------------1---------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 ------------------------------------------------------------------------------------------1--------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 -------------------------------------------------------------------------------------------1-------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 --------------------------------------------------------------------------------------------1------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 ---------------------------------------------------------------------------------------------1------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 ----------------------------------------------------------------------------------------------1----------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 -----------------------------------------------------------------------------------------------1---------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 ------------------------------------------------------------------------------------------------1--------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -------------------------------------------------------------------------------------------------1-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 --------------------------------------------------------------------------------------------------1------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 --------0-----1--------------0--------------0-01------------------------------------------------------------------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------0-----1--------------0--------------0-10------------------------------------------------------------------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------1--------------0----------------01-------------------------------------------------------1----------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------1--------------0----------------10-------------------------------------------------------1----------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------0-----1--------------0--------------0-01------------------------------------------------------------------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------0-----1--------------0--------------0-10------------------------------------------------------------------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------1--------------0----------------01-------------------------------------------------------1----------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------1--------------0----------------10-------------------------------------------------------1----------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------1-------------------------------------------1---------------------------------------------0-1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 --------------1---------------------------------------------0-------------------------------------------1-----------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 --------------1-----------------------------------------------------------------------------------------1-1---------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 --------------1----------------------1----------------------1--------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------1----------------------1-------------------------------------------------------------------1------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------1-------------------------------------------0----------------------------------------------1----------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------1-----------------------------------1---0-----------11-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 --------------1---------------10--00--------------0-----------1---11-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 --------------1---------------------------------------------------1---------------------------------------1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 --------------1-------------------------------------------0-----------------------------------------------1---------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 --------------1----------------------0----------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 --------------1------------------------0-------------------------------------------------------------------1--------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 --------------1----------------------1-------------------------------------------------------------------------------------1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 --------------1------------------0------------------------1-1-----0-0------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0----------------1---0---1-1------10------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1---------------10-000--------------0-------1-1-1----10------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0---0--------------------1-------0-0-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0---0------------1---0---1--------10-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1---------------10-000-0------------0-------1---1----10-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0--------------------------1-----0-0--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0----------------1---0-----1------10--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1---------------10-000--------------0---------1-1----10--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0---0----------------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0---0------------1---0------------10--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1---------------10-000-0------------0-----------1----10--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0------------------------0---------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0----------------------------------0-----------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 + CLK_000_N_SYNC_4_.C pos_clk_un29_clk_000_ne_d0_i_n% CLK_000_N_SYNC_5_.D + CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D + CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D + CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C inst_CLK_030_H.D + inst_CLK_030_H.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_4_.D SM_AMIGA_4_.C + SM_AMIGA_2_.D SM_AMIGA_2_.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C + SM_AMIGA_i_7_.D% SM_AMIGA_i_7_.C CIIN_0 +.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 239 +--------------------------------------------------01---------------------------------------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0---------0------------------------------------------------------------------------------------- 01000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------0----------------------------------------------------------------------0---------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1------0------------------------------------------------------------------------------------- 00010001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------0-----------------------------------------------------------------------------0----------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1--1-------------------------------------------------------------------------------------------- 00000100010100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------0---------------------------------------------------------------------0---------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------0--------------------------------------------------------1--------0-------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------0-------------------------------------------------------1--------0-------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1---1--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1------------------------------------------------------------------------------------------------------------------------ 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1---0--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0---------0--------------------------------------------------------------------------01--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1-------------------------------------------------------------------------------------0--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0-------0--------------------------------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--------------------------------------1-------------------------------------------------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------------------------------------------------------------------------0---------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0-------------00000001111----------------0-------------------------------------------------------------------------------------- 00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-------------------------------------------------------------------------------------------------------------------------------1 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------10---------------------------------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------11----------------------------------110------------------------------------------------------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------10----------------------------------010------------------------------------------------------------ 00000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------01----------------------------------100------------------------------------------------------------ 00000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------00----------------------------------000------------------------------------------------------------ 00000000000000000000000000010000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------1-------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------1----------------------------------0-------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------1------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------1------------------------------------0------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------0-----------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------1---------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000001010010010101010100101010100101010101010101010101010101010101010101010101010101010101010101010101010101010101001010101010101010101010101010101010100101010101010101010101010 +-------------1-------------------------0--------------------0------------------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------0------------------------------0--------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------1--------------------------------------------------------------------------------------0- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000100001000000000000000000000000000000000000010100000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +--------------------------------1------------1-----------------------------------------------------------1---------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0---------------------------------------------------------------------------------------1------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1---------------------------11----------------1-------------------------------------------------------------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1--------------------------------------------------------------------------------------------------0--------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1-------------------------1----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1-----------------------------------------------------1--------------------------------------------------------1---------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------01----------------------------------101------------------------------------------------------------ 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------00----------------------------------001------------------------------------------------------------ 00000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------1------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------1----------------------------------0------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------0-----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------1----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------------------------------------------------0----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------10----------------------------------011------------------------------------------------------------ 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------0-----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------1----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------1------------------------------------0----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------1---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------------------------------------------------0---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0-----1---------------------------------------------------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------1---------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------------------------------------1----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------0------------------------------0----------------------------------------------------1-- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +---------------------------------1--0------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1---0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------11--------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------00--------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1-----------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0--------------------0--------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------00-01----------------------1---1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------01-10--------------0---------1-1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------11111111---------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------1----------------------------------------------------------0----------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0-------------------------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0--------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------1--------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1--10------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------0--00--------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------0--11--------------------------1----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1--1---------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0-------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------0--0-----------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------0--------------------1------------1------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------1----------------------0--------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------1---------------------------------1---------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1-------0----1---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------------------------------------------------0----------- 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0--------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------0--0--------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0--------------------------------------1--------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------11------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------00------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------------------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------------------------------11------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0---------------------------------------1----------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1--------------------------------------1-----------------------------------------------------------1-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------1----------------------------------------------------------0-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------0-----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------1-----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------01-------------------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------10----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0----------------1----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------0---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1-----------------0----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------1-----1---------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------01------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------1---------0-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------0-------------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------0-----------------------------1--------------------------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1----------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------1------------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------0-----------------------------1------------------------------------------------100--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------0-1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------0---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1----------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1--------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1--1--------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------00-------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------1----0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0-------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0------------------------------------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------1-------0---------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------1---0-----------11--------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------10-00--------------0-----------1---11--------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------1--------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-------0------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------0---------1------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +---0---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------1-------------------------0-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------1-0-------------10---------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------------1-------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------0------------1---------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------0-----------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------11111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------0------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------01---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------10---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------------1111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------0-------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------0-1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------------01--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------110--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------0--------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------0--1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------------0-1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------01-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------1110-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------------11111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------0----------------------------------------------------------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------1111-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------0-----------------------------------------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------111110------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------1-----------1111110----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------1-----------111111-0---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 +-------------0------------------------------------------------------------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------1111110----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------1-----------11111110---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------1111111----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------------------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------01-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 +----------------------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 +----------------------------------------------------------10-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 +-------------------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 +--------------------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 +---------------------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 +----------------------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 +----------------------------------------------------1---0------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 +---------------------------------10-00--------------0-----------1----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 +-----------------------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +-------------------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +--------------------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +---------------------------------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----------------------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 +-----------------------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 +-------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1------------------0---------------01--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1------------------0---------------10--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1------------------0---------------01--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1------------------0---------------10--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1----------------------------------------------1----------------------------------------------0-1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1------------------------------------------------0--------------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1---------------------------------------------------------------------------------------------1-1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1-------------------------1----------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------1-------------------------1--------------------------------------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------1----------------------------------------------0-----------------------------------------------1----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------1--------------------------------------1---0-----------11---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1-------------------10-00--------------0-----------1---11---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1------------------------------------------------------1----------------------------------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1----------------------------------------------0------------------------------------------------1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1-------------------------0----------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1---------------------------0--------------------------------------------------------------------1--------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1-------------------------1--------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +------------------------------------------------------------1---------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------0--0-------------------------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------0----1-----------------------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------0------------------0---------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------0-------------------1--------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------1----------------------0--------------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +----------------------------------------------------------------------1------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +------------------------------------------------------------0------------1---------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +--------------------------------------------------------------------1---------------------------1----------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +--------------------------------------------------------------0--------------------------------------------1-----------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +------------------------------------------------------------0-----------------------------------------------1----------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +------------------------------------------------------------0------------------------------------------------1---------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 .end diff --git a/Logic/68030_tk.tte b/Logic/68030_tk.tte index b819466..2031291 100644 --- a/Logic/68030_tk.tte +++ b/Logic/68030_tk.tte @@ -1,13 +1,14 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Jul 09 18:48:59 2015 +#$ DATE Thu Sep 24 16:20:59 2015 #$ MODULE BUS68030 -#$ PINS 57 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ A_25_ A_24_ - IPL_2_ FC_1_ AS_030 AS_000 A_19_ A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A1 +#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ + A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP - FPU_CS FPU_SENSE IPL_1_ DTACK IPL_0_ AVEC FC_0_ VPA RST AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_ - RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW -#$ NODES 72 N_165 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ + IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE + AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ + A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA + RESET RW +#$ NODES 73 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D @@ -20,60 +21,60 @@ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ - CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ - CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H - SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ + CLK_000_N_SYNC_4_ pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_ + CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ + CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ + inst_DS_000_ENABLE SM_AMIGA_i_7_ CIIN_0 .type f -.i 123 -.o 199 +.i 128 +.o 200 .ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI - FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_19_ A_18_ - A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q N_165 cpu_est_0_.Q - cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q - inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q - inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q - CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q - inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q - inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q - inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q - IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q - inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q - RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q - RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q - CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q - CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q - CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q - CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q - CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q - SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q - IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN - DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN - RW.PIN -.ob SIZE_0_ SIZE_0_.OE SIZE_1_ SIZE_1_.OE AS_030- AS_030.OE AS_000- AS_000.OE - DS_030- DS_030.OE UDS_000- UDS_000.OE LDS_000- LDS_000.OE BERR BERR.OE - CLK_DIV_OUT CLK_DIV_OUT.OE CLK_EXP FPU_CS- AVEC AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW- AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE - IPL_030_2_.D- IPL_030_2_.C RW_000.D- RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D- - BG_000.C BGACK_030.D- BGACK_030.C IPL_030_1_.D- IPL_030_1_.C IPL_030_0_.D- - IPL_030_0_.C DSACK1.D- DSACK1.C DSACK1.OE E.D E.C VMA.T VMA.C RESET.D RESET.C - RW.D- RW.C RW.OE N_165 cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D- cpu_est_1_.C - inst_AS_000_INT.D- inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C - inst_AMIGA_BUS_ENABLE_DMA_LOW.D- inst_AMIGA_BUS_ENABLE_DMA_LOW.C - inst_AS_030_D0.D- inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D- - inst_nEXP_SPACE_D0reg.C inst_DS_030_D0.D- inst_DS_030_D0.C - inst_AS_030_000_SYNC.D- inst_AS_030_000_SYNC.C inst_BGACK_030_INT_D.D- - inst_BGACK_030_INT_D.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D - inst_DS_000_DMA.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C - SIZE_DMA_0_.D- SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D- - inst_VPA_D.C inst_UDS_000_INT.D- inst_UDS_000_INT.C inst_LDS_000_INT.D - inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D- - inst_DTACK_D0.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D - inst_CLK_000_D1.C inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D - inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D - inst_CLK_000_NE.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C cpu_est_2_.D - cpu_est_2_.C IPL_D0_0_.D- IPL_D0_0_.C IPL_D0_1_.D- IPL_D0_1_.C IPL_D0_2_.D- - IPL_D0_2_.C SM_AMIGA_3_.T SM_AMIGA_3_.C inst_CLK_000_NE_D0.D - inst_CLK_000_NE_D0.C SM_AMIGA_0_.D SM_AMIGA_0_.C + FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ + A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q + RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q + inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q + inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q + inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q + inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q + inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q + inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q + cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q + inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q + SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q + RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q + CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q + CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q + CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q + pos_clk_un29_clk_000_ne_d0_i_n CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q + CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q + RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q + inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q + IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN + SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN CIIN_0 +.ob SIZE_1_ SIZE_1_.OE AS_030- AS_030.OE AS_000- AS_000.OE DS_030- DS_030.OE + UDS_000- UDS_000.OE LDS_000- LDS_000.OE BERR BERR.OE CLK_DIV_OUT CLK_DIV_OUT.OE + CLK_EXP FPU_CS- AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW- + AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE SIZE_0_ SIZE_0_.OE IPL_030_2_.D- IPL_030_2_.C + RW_000.D- RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D- BG_000.C BGACK_030.D + BGACK_030.C IPL_030_1_.D- IPL_030_1_.C IPL_030_0_.D- IPL_030_0_.C DSACK1.D- + DSACK1.C DSACK1.OE E.D E.C VMA.T VMA.C RESET.D RESET.C RW.D- RW.C RW.OE + cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D- cpu_est_1_.C inst_AS_000_INT.D- + inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D- + inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D- inst_AS_030_D0.C + inst_nEXP_SPACE_D0reg.D- inst_nEXP_SPACE_D0reg.C inst_DS_030_D0.D- + inst_DS_030_D0.C inst_AS_030_000_SYNC.D- inst_AS_030_000_SYNC.C + inst_BGACK_030_INT_D.D- inst_BGACK_030_INT_D.C inst_AS_000_DMA.D + inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C CYCLE_DMA_0_.D + CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D- SIZE_DMA_0_.C + SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D- inst_VPA_D.C inst_UDS_000_INT.D- + inst_UDS_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D + inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D- inst_DTACK_D0.C inst_CLK_OUT_PRE_50.D + inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D0.D + inst_CLK_000_D0.C inst_CLK_000_PE.D inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D + CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D inst_CLK_000_NE.C CLK_000_N_SYNC_11_.D + CLK_000_N_SYNC_11_.C cpu_est_2_.D cpu_est_2_.C IPL_D0_0_.D- IPL_D0_0_.C + IPL_D0_1_.D- IPL_D0_1_.C IPL_D0_2_.D- IPL_D0_2_.C SM_AMIGA_3_.T SM_AMIGA_3_.C + inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C SM_AMIGA_0_.D SM_AMIGA_0_.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_6_.D SM_AMIGA_6_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.T.X1 RST_DLY_4_.T.X2 @@ -85,254 +86,252 @@ CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D - CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D - CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D - CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D - CLK_000_N_SYNC_10_.C inst_CLK_030_H.D inst_CLK_030_H.C SM_AMIGA_1_.D - SM_AMIGA_1_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_2_.D SM_AMIGA_2_.C - inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C -.phase 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 242 ------------------------------------------------10-------------------------------------------------------------------------- 1000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0----------0----------------------------------------------------------------------------------- 0101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------01-------------------------------------------------------------------------- 0010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------0---------------------------------------------------------------------0--------- 0000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0--1-------0----------------------------------------------------------------------------------- 0000010001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------0----------------------------------------------------------------------------0---------- 0000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1--1------------------------------------------------------------------------------------------- 0000000100010100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------0--------------------------------------------------------------------0--------- 0000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------0-------------------------------------------------------1--------0------- 0000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------0------------------------------------------------------1--------0------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------------------------- 0000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---1----------0010--1------------------------------------------------------------------------------------0---------- 0000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1------------------------------------------------------------------------------------------------------------------- 0000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---0----------0010--1------------------------------------------------------------------------------------0---------- 0000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0----------0-------------------------------------------------------------------------01-------- 0000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1-------------------------------------------------------------------------------------0-------- 0000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0--------0------------------------------------------------------------------------------------- 0000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0---------------------------------------1------------------------------------------------------ 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1------------------------------------------------------------------------------0--------------- 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-------------0000000-----------------01----------------------------------------------------------------------------------- 0000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------11-----------------------------------110---------------------------------------------------------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------10-----------------------------------010---------------------------------------------------------- 0000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------01-----------------------------------100---------------------------------------------------------- 0000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------00-----------------------------------000---------------------------------------------------------- 0000000000000000000000000001000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-------------------------------------------------------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------0-----------------------------------1------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------1-----------------------------------0------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------0-------------------------------------1-----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------1-------------------------------------0-----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------0----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1----------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000101001001010101010010101010001010101010101010101010101010101010101010101010101010101010101010101010101010101010010101010101010101010101010101010101010101010101010101010101 --------------1----------------------0--------------------0-----------------------------------------0-------1--------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------0------------------------------0-------------------------------0-------1--------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------1-------------------------------------------------------------------------------------0 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000010000000000000000000000000000000000000000000101000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1-------------1----------------------------------------------------------1--------------------- 0000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0---------------------------------------------------------------------------------------1------ 0000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1------------------------11----------------1------------------------------------------------------------------ 0000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1----------------------------------------------------------------------------------------------0-------------- 0000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------0------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0----------------------------0----------------------------------------------------------------- 0000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-----------01-----------------------------------101---------------------------------------------------------- 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-----------00-----------------------------------001---------------------------------------------------------- 0000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------0------------------------------------------------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------0-----------------------------------1-----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------1-----------------------------------0-----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------0----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1--------------------------------------------------1---------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1--------------------------------------------------0---------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1-----------10-----------------------------------011---------------------------------------------------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------0----------------------------------------------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0----------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------0-------------------------------------1---------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------1-------------------------------------0---------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1--------------------------------------------------1--------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1--------------------------------------------------0--------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----1-----------------------------------------------------------------------------------1-----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------1--------------------------------------------1-----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------------------------------1----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------0------------------------------0---------------------------------------------------1- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1----------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1---0----------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1----0---------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------11--------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------00--------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1------------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0----------------0-------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------00--01----------------------1---1------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------01--10--------------0---------1-1------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------1------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------11111111-------------------------------------------- 0000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 --------------1--------------1-------------1---------------------------------------------------------0---------------------- 0000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0-------------------------------------------------------------------------------------0-------- 0000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0--1------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0--0-------------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0----1-----------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0------------------0---------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0-------------------1--------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1---10---------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------0---00--------------------------1------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------0---11--------------------------1----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1---1---------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0-------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------1-------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------0--0----------------------------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------0--------------------1------------1---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------1----------------------0-------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------1---------------------------------1--------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1---------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1--------0----1-------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------------------------------------------0---------- 0000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------------------------------------------------------0------- 0000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------0--0-------------------------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---0----------1--------------1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------1------1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------1-----1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------0----1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------1---1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------01---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----------------------------------1------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------11---------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------00---------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------------------1--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------------------11----- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0------------------------------------1---------------------------------------------------------------------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1-----------------------------------1----------------------------------------------------------1-----------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------1---------------------------------------------------------0-----------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0----------------0-----------1-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0----------------1-----------0-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0----------------01------------------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0----------------10----------1-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0-----------------1----------0-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1-------------0-------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1------------------0--------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------0---------------------------------------------------------------------------------------00----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1-------------1-----1-------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------0---------------------------------------------------------------------------------------00----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------01------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------1---------0------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------0-------------------0---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------0-----------------------------1-------------------------------------------------0-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1----------1----------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------1------------------0---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------0-----------------------------1-----------------------------------------------100-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------1-------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0-1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------0-------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------1------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1------------------------------------------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------1---------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------1----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------1-------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------1------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------1--------------------------1------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1---1--------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------00-------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------1----0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------0------------------------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------0------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0---------------------------------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------1-------0--------------------------------------1------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------1---0-----------11-------------------------------------0------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------10--00--------------0-----------1---11-------------------------------------0------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------1-------------------------------------------------------0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1--------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-------0-----------------------------------1------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------0---------1-----------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 ----0---------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1-------------1-------------------------0------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1-0-------------10--------------------------------------------------0--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------1------------------------------------0--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------0------------1--------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------0-----------1--------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------0--------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------11111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------0------------1-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------01-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------10-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------1111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------0-------------1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------0-1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------01------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------110------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------------111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------0--------------1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------0--1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------0-1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------------01------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------1110------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------11111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 --------------0-------------------------------------------------------------1----------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------1111------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------0--------------------------------------------------------------1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------111110---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1-----------1111110--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1-----------111111-0-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 --------------0---------------------------------------------------------------1--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------1111110--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1-----------11111110-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------1-----------1111111--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------1-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 --------------------------------------------------------01------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------1------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------1------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------1----------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------------1---------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------1--------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1-------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 --------------------------------------------------------------------------------------1------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 ---------------------------------------------------------------------------------------1------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 --------------------------------------------------------10------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 -----------------------------------------------------------------------------------------1---------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 ------------------------------------------------------------------------------------------1--------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 -------------------------------------------------------------------------------------------1-------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 --------------------------------------------------------------------------------------------1------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 ---------------------------------------------------------------------------------------------1------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 ----------------------------------------------------------------------------------------------1----------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 -----------------------------------------------------------------------------------------------1---------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 ------------------------------------------------------------------------------------------------1--------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -------------------------------------------------------------------------------------------------1-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 --------------------------------------------------------------------------------------------------1------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 --------0-----1--------------0--------------0-01------------------------------------------------------------------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------0-----1--------------0--------------0-10------------------------------------------------------------------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------1--------------0----------------01-------------------------------------------------------1----------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------1--------------0----------------10-------------------------------------------------------1----------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------0-----1--------------0--------------0-01------------------------------------------------------------------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------0-----1--------------0--------------0-10------------------------------------------------------------------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------1--------------0----------------01-------------------------------------------------------1----------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------1--------------0----------------10-------------------------------------------------------1----------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 --------------1-------------------------------------------1---------------------------------------------0-1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 --------------1---------------------------------------------0-------------------------------------------1-----------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 --------------1-----------------------------------------------------------------------------------------1-1---------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 --------------1----------------------1----------------------1--------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------1----------------------1-------------------------------------------------------------------1------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------1-------------------------------------------0----------------------------------------------1----------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------1-----------------------------------1---0-----------11-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 --------------1---------------10--00--------------0-----------1---11-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 --------------1---------------------------------------------------1---------------------------------------1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 --------------1-------------------------------------------0-----------------------------------------------1---------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 --------------1----------------------0----------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 --------------1------------------------0-------------------------------------------------------------------1--------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 --------------1----------------------1-------------------------------------------------------------------------------------1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 --------------1------------------0------------------------1-1-----0-0------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0----------------1---0---1-1------10------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1---------------10-000--------------0-------1-1-1----10------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0---0--------------------1-------0-0-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0---0------------1---0---1--------10-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1---------------10-000-0------------0-------1---1----10-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0--------------------------1-----0-0--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0----------------1---0-----1------10--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1---------------10-000--------------0---------1-1----10--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0---0----------------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0---0------------1---0------------10--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1---------------10-000-0------------0-----------1----10--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0------------------------0---------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------1------------------0----------------------------------0-----------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 + CLK_000_N_SYNC_4_.C pos_clk_un29_clk_000_ne_d0_i_n- CLK_000_N_SYNC_5_.D + CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D + CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D + CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C inst_CLK_030_H.D + inst_CLK_030_H.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_4_.D SM_AMIGA_4_.C + SM_AMIGA_2_.D SM_AMIGA_2_.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C + SM_AMIGA_i_7_.D- SM_AMIGA_i_7_.C CIIN_0 +.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 239 +--------------------------------------------------01---------------------------------------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0---------0------------------------------------------------------------------------------------- 01000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------0----------------------------------------------------------------------0---------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1------0------------------------------------------------------------------------------------- 00010001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------0-----------------------------------------------------------------------------0----------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1--1-------------------------------------------------------------------------------------------- 00000100010100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------0---------------------------------------------------------------------0---------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------0--------------------------------------------------------1--------0-------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------0-------------------------------------------------------1--------0-------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1---1--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1------------------------------------------------------------------------------------------------------------------------ 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1---0--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0---------0--------------------------------------------------------------------------01--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1-------------------------------------------------------------------------------------0--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0-------0--------------------------------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--------------------------------------1-------------------------------------------------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------------------------------------------------------------------------0---------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0-------------00000001111----------------0-------------------------------------------------------------------------------------- 00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-------------------------------------------------------------------------------------------------------------------------------1 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------10---------------------------------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------11----------------------------------110------------------------------------------------------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------10----------------------------------010------------------------------------------------------------ 00000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------01----------------------------------100------------------------------------------------------------ 00000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1---------------00----------------------------------000------------------------------------------------------------ 00000000000000000000000000010000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------1-------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------1----------------------------------0-------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------1------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------1------------------------------------0------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------0-----------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------1---------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000001010010010101010100101010100101010101010101010101010101010101010101010101010101010101010101010101010101010101001010101010101010101010101010101010100101010101010101010101010 +-------------1-------------------------0--------------------0------------------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------0------------------------------0--------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------1--------------------------------------------------------------------------------------0- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000100001000000000000000000000000000000000000010100000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +--------------------------------1------------1-----------------------------------------------------------1---------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0---------------------------------------------------------------------------------------1------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1---------------------------11----------------1-------------------------------------------------------------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1--------------------------------------------------------------------------------------------------0--------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1-------------------------1----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1-----------------------------------------------------1--------------------------------------------------------1---------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------01----------------------------------101------------------------------------------------------------ 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------00----------------------------------001------------------------------------------------------------ 00000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------1------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------1----------------------------------0------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------0-----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------1----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------------------------------------------------0----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1---------------10----------------------------------011------------------------------------------------------------ 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0----------------------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------0-----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0------------------------------------1----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------1------------------------------------0----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------------------------------------------------1---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------------------------------------------------0---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0-----1---------------------------------------------------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------1---------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------------------------------------1----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------0------------------------------0----------------------------------------------------1-- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +---------------------------------1--0------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1---0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------11--------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------00--------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1-----------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0--------------------0--------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------00-01----------------------1---1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------01-10--------------0---------1-1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------11111111---------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------1----------------------------------------------------------0----------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0-------------------------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0--------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------1--------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1--10------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------0--00--------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------0--11--------------------------1----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1--1---------------------------0----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------0-------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------0--0-----------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------0--------------------1------------1------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------1----------------------0--------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------1---------------------------------1---------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1-------0----1---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------------------------------------------------0----------- 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0--------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------0--0--------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0--------------------------------------1--------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------11------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------00------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------------------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------------------------------11------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0---------------------------------------1----------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1--------------------------------------1-----------------------------------------------------------1-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------1----------------------------------------------------------0-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------0-----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------1-----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------01-------------------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------10----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0----------------1----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------0---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1-----------------0----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1------------1-----1---------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------01------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------1---------0-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------0-------------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------0-----------------------------1--------------------------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1----------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------1------------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------0-----------------------------1------------------------------------------------100--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------0-1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------0---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1----------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1--------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1--1--------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------00-------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------1----0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------0------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------0-------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0------------------------------------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------1-------0---------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------1---0-----------11--------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------10-00--------------0-----------1---11--------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------1--------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-------0------------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------0---------1------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +---0---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------1------------1-------------------------0-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------1-0-------------10---------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------------1-------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------0------------1---------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------0-----------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------11111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------0------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------01---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------10---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------------1111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------0-------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------0-1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------------01--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------110--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------0--------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------0--1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------------0-1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------01-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------1110-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------------11111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------0----------------------------------------------------------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------1111-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------0-----------------------------------------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------111110------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------1-----------1111110----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------1-----------111111-0---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 +-------------0------------------------------------------------------------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------1111110----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------1-----------11111110---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------1-----------1111111----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------------------------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------01-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 +----------------------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 +----------------------------------------------------------10-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 +-------------------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 +--------------------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 +---------------------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 +----------------------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 +----------------------------------------------------1---0------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 +---------------------------------10-00--------------0-----------1----1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 +-----------------------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +-------------------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +--------------------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +---------------------------------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----------------------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 +-----------------------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 +-------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1------------------0---------------01--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1------------------0---------------10--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1------------------0---------------01--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1------------------0---------------10--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------1----------------------------------------------1----------------------------------------------0-1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1------------------------------------------------0--------------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1---------------------------------------------------------------------------------------------1-1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 +-------------1-------------------------1----------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------1-------------------------1--------------------------------------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------1----------------------------------------------0-----------------------------------------------1----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 +-------------1--------------------------------------1---0-----------11---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1-------------------10-00--------------0-----------1---11---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1------------------------------------------------------1----------------------------------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1----------------------------------------------0------------------------------------------------1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +-------------1-------------------------0----------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1---------------------------0--------------------------------------------------------------------1--------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +-------------1-------------------------1--------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 +------------------------------------------------------------1---------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------0--0-------------------------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------0----1-----------------------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------0------------------0---------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------0-------------------1--------0-0--0---------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------1----------------------0--------------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +----------------------------------------------------------------------1------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +------------------------------------------------------------0------------1---------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +--------------------------------------------------------------------1---------------------------1----------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +--------------------------------------------------------------0--------------------------------------------1-----------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +------------------------------------------------------------0-----------------------------------------------1----------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +------------------------------------------------------------0------------------------------------------------1---------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 .end diff --git a/Logic/68030_tk.vcl b/Logic/68030_tk.vcl index f41a4cc..9202d64 100644 --- a/Logic/68030_tk.vcl +++ b/Logic/68030_tk.vcl @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 7/9/15; -TIME = 18:49:07; +DATE = 9/24/15; +TIME = 16:21:08; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -136,14 +136,14 @@ layer = OFF; [LOCATION ASSIGNMENT] Layer = OFF +BERR = OUTPUT,41,4,-; RW_000 = BIDIR,80,7,-; AS_000 = OUTPUT,42,4,-; -BERR = OUTPUT,41,4,-; -A0 = BIDIR,69,6,-; RW = BIDIR,71,6,-; AS_030 = OUTPUT,82,7,-; UDS_000 = OUTPUT,32,3,-; LDS_000 = OUTPUT,31,3,-; +A0 = BIDIR,69,6,-; DS_030 = OUTPUT,98,0,-; SIZE_1_ = OUTPUT,79,7,-; SIZE_0_ = OUTPUT,70,6,-; @@ -152,8 +152,8 @@ IPL_030_0_ = OUTPUT,8,1,-; IPL_030_1_ = OUTPUT,7,1,-; E = OUTPUT,66,6,-; DSACK1 = OUTPUT,81,7,-; -VMA = OUTPUT,35,3,-; BGACK_030 = OUTPUT,83,7,-; +VMA = OUTPUT,35,3,-; AMIGA_BUS_DATA_DIR = OUTPUT,48,4,-; AMIGA_BUS_ENABLE_HIGH = OUTPUT,34,3,-; BG_000 = OUTPUT,29,3,-; @@ -165,88 +165,89 @@ CIIN = OUTPUT,47,4,-; AMIGA_ADDR_ENABLE = OUTPUT,33,3,-; AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-; CLK_EXP = OUTPUT,10,1,-; -inst_nEXP_SPACE_D0reg = NODE,*,6,-; -RN_RESET = NODE,-1,1,-; RN_BGACK_030 = NODE,-1,7,-; -inst_CLK_000_PE = NODE,*,3,-; -inst_CLK_000_NE = NODE,*,6,-; +RN_RESET = NODE,-1,1,-; +inst_nEXP_SPACE_D0reg = NODE,*,5,-; +inst_CLK_000_NE = NODE,*,5,-; +inst_CLK_000_PE = NODE,*,2,-; inst_AS_030_D0 = NODE,*,7,-; SM_AMIGA_i_7_ = NODE,*,5,-; RN_E = NODE,-1,6,-; -cpu_est_1_ = NODE,*,3,-; -cpu_est_2_ = NODE,*,3,-; -SM_AMIGA_6_ = NODE,*,2,-; +SM_AMIGA_3_ = NODE,*,2,-; +cpu_est_1_ = NODE,*,6,-; +cpu_est_2_ = NODE,*,2,-; +SM_AMIGA_1_ = NODE,*,2,-; SM_AMIGA_5_ = NODE,*,5,-; -cpu_est_0_ = NODE,*,3,-; -inst_CLK_000_NE_D0 = NODE,*,1,-; -inst_CLK_000_D0 = NODE,*,6,-; -inst_CLK_000_D1 = NODE,*,5,-; +cpu_est_0_ = NODE,*,2,-; +inst_CLK_000_NE_D0 = NODE,*,4,-; +inst_BGACK_030_INT_D = NODE,*,7,-; inst_AS_000_DMA = NODE,*,0,-; -inst_AS_030_000_SYNC = NODE,*,2,-; -RST_DLY_3_ = NODE,*,1,-; +RST_DLY_3_ = NODE,*,2,-; RST_DLY_2_ = NODE,*,1,-; -SM_AMIGA_3_ = NODE,*,5,-; -RST_DLY_5_ = NODE,*,0,-; -RST_DLY_1_ = NODE,*,0,-; -inst_LDS_000_INT = NODE,*,1,-; +SM_AMIGA_2_ = NODE,*,2,-; +RST_DLY_5_ = NODE,*,1,-; +RST_DLY_1_ = NODE,*,1,-; +inst_LDS_000_INT = NODE,*,6,-; RN_VMA = NODE,-1,3,-; -inst_DS_000_ENABLE = NODE,*,2,-; -SM_AMIGA_1_ = NODE,*,5,-; -RST_DLY_6_ = NODE,*,1,-; -RST_DLY_0_ = NODE,*,1,-; -inst_UDS_000_INT = NODE,*,5,-; +inst_DS_000_ENABLE = NODE,*,0,-; +SM_AMIGA_4_ = NODE,*,5,-; +RST_DLY_6_ = NODE,*,2,-; +RST_DLY_0_ = NODE,*,2,-; +SM_AMIGA_6_ = NODE,*,5,-; +inst_UDS_000_INT = NODE,*,6,-; SIZE_DMA_1_ = NODE,*,6,-; SIZE_DMA_0_ = NODE,*,6,-; -RST_DLY_7_ = NODE,*,0,-; -RST_DLY_4_ = NODE,*,1,-; +RST_DLY_7_ = NODE,*,2,-; +RST_DLY_4_ = NODE,*,2,-; inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,*,6,-; SM_AMIGA_0_ = NODE,*,5,-; -inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,*,6,-; -inst_AS_000_INT = NODE,*,2,-; -inst_CLK_OUT_PRE_50 = NODE,*,0,-; -inst_VPA_D = NODE,*,5,-; -inst_BGACK_030_INT_D = NODE,*,7,-; -inst_DS_030_D0 = NODE,*,3,-; +inst_AS_000_INT = NODE,*,0,-; +inst_CLK_000_D0 = NODE,*,1,-; +inst_CLK_000_D1 = NODE,*,3,-; +inst_DTACK_D0 = NODE,*,1,-; +inst_VPA_D = NODE,*,3,-; RN_IPL_030_0_ = NODE,-1,1,-; RN_IPL_030_1_ = NODE,-1,1,-; RN_IPL_030_2_ = NODE,-1,1,-; inst_DS_000_DMA = NODE,*,0,-; inst_CLK_030_H = NODE,*,0,-; +inst_AS_030_000_SYNC = NODE,*,5,-; RN_DSACK1 = NODE,-1,7,-; -SM_AMIGA_2_ = NODE,*,5,-; -N_165 = NODE,*,5,-; RN_A0 = NODE,-1,6,-; RN_RW_000 = NODE,-1,7,-; -SM_AMIGA_4_ = NODE,*,5,-; CYCLE_DMA_1_ = NODE,*,0,-; RN_RW = NODE,-1,6,-; RN_BG_000 = NODE,-1,3,-; +CIIN_0 = NODE,*,4,-; +pos_clk_un29_clk_000_ne_d0_i_n = NODE,*,3,-; CYCLE_DMA_0_ = NODE,*,0,-; +inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,*,2,-; CLK_000_N_SYNC_10_ = NODE,*,7,-; -CLK_000_N_SYNC_9_ = NODE,*,6,-; -CLK_000_N_SYNC_8_ = NODE,*,0,-; -CLK_000_N_SYNC_7_ = NODE,*,4,-; -CLK_000_N_SYNC_6_ = NODE,*,3,-; -CLK_000_N_SYNC_5_ = NODE,*,0,-; -CLK_000_N_SYNC_4_ = NODE,*,3,-; -CLK_000_N_SYNC_3_ = NODE,*,0,-; -CLK_000_N_SYNC_2_ = NODE,*,0,-; -CLK_000_N_SYNC_1_ = NODE,*,0,-; -CLK_000_N_SYNC_0_ = NODE,*,3,-; -CLK_000_P_SYNC_8_ = NODE,*,2,-; -CLK_000_P_SYNC_7_ = NODE,*,6,-; -CLK_000_P_SYNC_6_ = NODE,*,4,-; -CLK_000_P_SYNC_5_ = NODE,*,4,-; -CLK_000_P_SYNC_4_ = NODE,*,1,-; +CLK_000_N_SYNC_9_ = NODE,*,5,-; +CLK_000_N_SYNC_8_ = NODE,*,6,-; +CLK_000_N_SYNC_7_ = NODE,*,1,-; +CLK_000_N_SYNC_6_ = NODE,*,0,-; +CLK_000_N_SYNC_5_ = NODE,*,1,-; +CLK_000_N_SYNC_4_ = NODE,*,1,-; +CLK_000_N_SYNC_3_ = NODE,*,1,-; +CLK_000_N_SYNC_2_ = NODE,*,6,-; +CLK_000_N_SYNC_1_ = NODE,*,6,-; +CLK_000_N_SYNC_0_ = NODE,*,5,-; +CLK_000_P_SYNC_8_ = NODE,*,0,-; +CLK_000_P_SYNC_7_ = NODE,*,0,-; +CLK_000_P_SYNC_6_ = NODE,*,0,-; +CLK_000_P_SYNC_5_ = NODE,*,5,-; +CLK_000_P_SYNC_4_ = NODE,*,3,-; CLK_000_P_SYNC_3_ = NODE,*,2,-; CLK_000_P_SYNC_2_ = NODE,*,1,-; -CLK_000_P_SYNC_1_ = NODE,*,6,-; +CLK_000_P_SYNC_1_ = NODE,*,2,-; CLK_000_P_SYNC_0_ = NODE,*,3,-; -IPL_D0_2_ = NODE,*,1,-; -IPL_D0_1_ = NODE,*,2,-; -IPL_D0_0_ = NODE,*,1,-; +IPL_D0_2_ = NODE,*,6,-; +IPL_D0_1_ = NODE,*,3,-; +IPL_D0_0_ = NODE,*,3,-; CLK_000_N_SYNC_11_ = NODE,*,7,-; -CLK_000_P_SYNC_9_ = NODE,*,2,-; -inst_DTACK_D0 = NODE,*,3,-; -inst_CLK_OUT_PRE_D = NODE,*,6,-; +CLK_000_P_SYNC_9_ = NODE,*,0,-; +inst_CLK_OUT_PRE_50 = NODE,*,4,-; +inst_CLK_OUT_PRE_D = NODE,*,4,-; +inst_DS_030_D0 = NODE,*,3,-; CLK_OSZI = INPUT,61,-,-; diff --git a/Logic/68030_tk.vco b/Logic/68030_tk.vco index 210dd6a..69fcc80 100644 --- a/Logic/68030_tk.vco +++ b/Logic/68030_tk.vco @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 7/9/15; -TIME = 18:49:07; +DATE = 9/24/15; +TIME = 16:21:08; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -136,26 +136,27 @@ layer = OFF; [LOCATION ASSIGNMENT] Layer = OFF; -SIZE_0_ = BIDIR,70, G,-; -A_30_ = INPUT,5, B,-; -SIZE_1_ = BIDIR,79, H,-; -A_29_ = INPUT,6, B,-; A_28_ = INPUT,15, C,-; -A_31_ = INPUT,4, B,-; A_27_ = INPUT,16, C,-; +SIZE_1_ = BIDIR,79, H,-; A_26_ = INPUT,17, C,-; A_25_ = INPUT,18, C,-; +A_31_ = INPUT,4, B,-; A_24_ = INPUT,19, C,-; +A_23_ = INPUT,85, H,-; +A_22_ = INPUT,84, H,-; +A_21_ = INPUT,94, A,-; IPL_2_ = INPUT,68, G,-; -FC_1_ = INPUT,58, F,-; -AS_030 = BIDIR,82, H,-; -AS_000 = BIDIR,42, E,-; +A_20_ = INPUT,93, A,-; A_19_ = INPUT,97, A,-; +FC_1_ = INPUT,58, F,-; A_18_ = INPUT,95, A,-; -DS_030 = BIDIR,98, A,-; +AS_030 = BIDIR,82, H,-; A_17_ = INPUT,59, F,-; -UDS_000 = BIDIR,32, D,-; +AS_000 = BIDIR,42, E,-; A_16_ = INPUT,96, A,-; +DS_030 = BIDIR,98, A,-; +UDS_000 = BIDIR,32, D,-; LDS_000 = BIDIR,31, D,-; A1 = INPUT,60, F,-; nEXP_SPACE = INPUT,14,-,-; @@ -167,13 +168,13 @@ CLK_000 = INPUT,11,-,-; CLK_OSZI = INPUT,61,-,-; CLK_DIV_OUT = OUTPUT,65, G,-; CLK_EXP = OUTPUT,10, B,-; -FPU_CS = OUTPUT,78, H,-; -FPU_SENSE = INPUT,91, A,-; IPL_1_ = INPUT,56, F,-; -DTACK = INPUT,30, D,-; +FPU_CS = OUTPUT,78, H,-; IPL_0_ = INPUT,67, G,-; -AVEC = OUTPUT,92, A,-; +FPU_SENSE = INPUT,91, A,-; FC_0_ = INPUT,57, F,-; +DTACK = INPUT,30, D,-; +AVEC = OUTPUT,92, A,-; VPA = INPUT,36,-,-; RST = INPUT,86,-,-; AMIGA_ADDR_ENABLE = OUTPUT,33, D,-; @@ -181,6 +182,9 @@ AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-; AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-; AMIGA_BUS_ENABLE_HIGH = OUTPUT,34, D,-; CIIN = OUTPUT,47, E,-; +SIZE_0_ = BIDIR,70, G,-; +A_30_ = INPUT,5, B,-; +A_29_ = INPUT,6, B,-; IPL_030_2_ = OUTPUT,9, B,-; RW_000 = BIDIR,80, H,-; A0 = BIDIR,69, G,-; @@ -193,75 +197,76 @@ E = OUTPUT,66, G,-; VMA = OUTPUT,35, D,-; RESET = OUTPUT,3, B,-; RW = BIDIR,71, G,-; -N_165 = NODE,6, F,-; -cpu_est_0_ = NODE,6, D,-; -cpu_est_1_ = NODE,13, D,-; -inst_AS_000_INT = NODE,1, C,-; -SM_AMIGA_5_ = NODE,4, F,-; -inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,14, G,-; +cpu_est_0_ = NODE,5, C,-; +cpu_est_1_ = NODE,5, G,-; +inst_AS_000_INT = NODE,1, A,-; +SM_AMIGA_5_ = NODE,12, F,-; +inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,3, C,-; inst_AS_030_D0 = NODE,5, H,-; -inst_nEXP_SPACE_D0reg = NODE,5, G,-; -inst_DS_030_D0 = NODE,10, D,-; -inst_AS_030_000_SYNC = NODE,8, C,-; +inst_nEXP_SPACE_D0reg = NODE,0, F,-; +inst_DS_030_D0 = NODE,7, D,-; +inst_AS_030_000_SYNC = NODE,13, F,-; inst_BGACK_030_INT_D = NODE,13, H,-; inst_AS_000_DMA = NODE,8, A,-; -inst_DS_000_DMA = NODE,13, A,-; -CYCLE_DMA_0_ = NODE,10, A,-; -CYCLE_DMA_1_ = NODE,6, A,-; +inst_DS_000_DMA = NODE,5, A,-; +CYCLE_DMA_0_ = NODE,2, A,-; +CYCLE_DMA_1_ = NODE,13, A,-; SIZE_DMA_0_ = NODE,6, G,-; SIZE_DMA_1_ = NODE,2, G,-; -inst_VPA_D = NODE,13, F,-; -inst_UDS_000_INT = NODE,5, F,-; -inst_LDS_000_INT = NODE,9, B,-; -inst_CLK_OUT_PRE_D = NODE,15, G,-; -inst_DTACK_D0 = NODE,15, D,-; -inst_CLK_OUT_PRE_50 = NODE,9, A,-; -inst_CLK_000_D1 = NODE,8, F,-; -inst_CLK_000_D0 = NODE,13, G,-; -inst_CLK_000_PE = NODE,9, D,-; -CLK_000_P_SYNC_9_ = NODE,2, C,-; -inst_CLK_000_NE = NODE,9, G,-; +inst_VPA_D = NODE,13, D,-; +inst_UDS_000_INT = NODE,13, G,-; +inst_LDS_000_INT = NODE,9, G,-; +inst_CLK_OUT_PRE_D = NODE,13, E,-; +inst_DTACK_D0 = NODE,6, B,-; +inst_CLK_OUT_PRE_50 = NODE,9, E,-; +inst_CLK_000_D1 = NODE,9, D,-; +inst_CLK_000_D0 = NODE,2, B,-; +inst_CLK_000_PE = NODE,4, C,-; +CLK_000_P_SYNC_9_ = NODE,7, A,-; +inst_CLK_000_NE = NODE,4, F,-; CLK_000_N_SYNC_11_ = NODE,6, H,-; -cpu_est_2_ = NODE,2, D,-; -IPL_D0_0_ = NODE,7, B,-; -IPL_D0_1_ = NODE,13, C,-; -IPL_D0_2_ = NODE,13, B,-; -SM_AMIGA_3_ = NODE,12, F,-; -inst_CLK_000_NE_D0 = NODE,15, B,-; +cpu_est_2_ = NODE,12, C,-; +IPL_D0_0_ = NODE,3, D,-; +IPL_D0_1_ = NODE,14, D,-; +IPL_D0_2_ = NODE,11, G,-; +SM_AMIGA_3_ = NODE,8, C,-; +inst_CLK_000_NE_D0 = NODE,8, E,-; SM_AMIGA_0_ = NODE,9, F,-; inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,10, G,-; -SM_AMIGA_6_ = NODE,4, C,-; -RST_DLY_0_ = NODE,10, B,-; -RST_DLY_1_ = NODE,1, A,-; +SM_AMIGA_6_ = NODE,5, F,-; +RST_DLY_0_ = NODE,6, C,-; +RST_DLY_1_ = NODE,13, B,-; RST_DLY_2_ = NODE,5, B,-; -RST_DLY_3_ = NODE,0, B,-; -RST_DLY_4_ = NODE,12, B,-; -RST_DLY_5_ = NODE,12, A,-; -RST_DLY_6_ = NODE,11, B,-; -RST_DLY_7_ = NODE,5, A,-; -CLK_000_P_SYNC_0_ = NODE,11, D,-; -CLK_000_P_SYNC_1_ = NODE,11, G,-; -CLK_000_P_SYNC_2_ = NODE,3, B,-; -CLK_000_P_SYNC_3_ = NODE,9, C,-; -CLK_000_P_SYNC_4_ = NODE,4, B,-; -CLK_000_P_SYNC_5_ = NODE,9, E,-; -CLK_000_P_SYNC_6_ = NODE,5, E,-; -CLK_000_P_SYNC_7_ = NODE,7, G,-; -CLK_000_P_SYNC_8_ = NODE,5, C,-; -CLK_000_N_SYNC_0_ = NODE,7, D,-; -CLK_000_N_SYNC_1_ = NODE,15, A,-; -CLK_000_N_SYNC_2_ = NODE,11, A,-; -CLK_000_N_SYNC_3_ = NODE,7, A,-; -CLK_000_N_SYNC_4_ = NODE,3, D,-; -CLK_000_N_SYNC_5_ = NODE,3, A,-; -CLK_000_N_SYNC_6_ = NODE,14, D,-; -CLK_000_N_SYNC_7_ = NODE,8, E,-; -CLK_000_N_SYNC_8_ = NODE,14, A,-; -CLK_000_N_SYNC_9_ = NODE,3, G,-; +RST_DLY_3_ = NODE,9, C,-; +RST_DLY_4_ = NODE,14, C,-; +RST_DLY_5_ = NODE,9, B,-; +RST_DLY_6_ = NODE,2, C,-; +RST_DLY_7_ = NODE,10, C,-; +CLK_000_P_SYNC_0_ = NODE,10, D,-; +CLK_000_P_SYNC_1_ = NODE,11, C,-; +CLK_000_P_SYNC_2_ = NODE,11, B,-; +CLK_000_P_SYNC_3_ = NODE,7, C,-; +CLK_000_P_SYNC_4_ = NODE,6, D,-; +CLK_000_P_SYNC_5_ = NODE,10, F,-; +CLK_000_P_SYNC_6_ = NODE,3, A,-; +CLK_000_P_SYNC_7_ = NODE,14, A,-; +CLK_000_P_SYNC_8_ = NODE,10, A,-; +CLK_000_N_SYNC_0_ = NODE,6, F,-; +CLK_000_N_SYNC_1_ = NODE,7, G,-; +CLK_000_N_SYNC_2_ = NODE,3, G,-; +CLK_000_N_SYNC_3_ = NODE,7, B,-; +CLK_000_N_SYNC_4_ = NODE,3, B,-; +pos_clk_un29_clk_000_ne_d0_i_n = NODE,2, D,-; +CLK_000_N_SYNC_5_ = NODE,14, B,-; +CLK_000_N_SYNC_6_ = NODE,6, A,-; +CLK_000_N_SYNC_7_ = NODE,10, B,-; +CLK_000_N_SYNC_8_ = NODE,14, G,-; +CLK_000_N_SYNC_9_ = NODE,2, F,-; CLK_000_N_SYNC_10_ = NODE,2, H,-; -inst_CLK_030_H = NODE,2, A,-; -SM_AMIGA_1_ = NODE,1, F,-; -SM_AMIGA_4_ = NODE,10, F,-; -SM_AMIGA_2_ = NODE,2, F,-; -inst_DS_000_ENABLE = NODE,12, C,-; -SM_AMIGA_i_7_ = NODE,0, F,-; +inst_CLK_030_H = NODE,9, A,-; +SM_AMIGA_1_ = NODE,1, C,-; +SM_AMIGA_4_ = NODE,1, F,-; +SM_AMIGA_2_ = NODE,13, C,-; +inst_DS_000_ENABLE = NODE,12, A,-; +SM_AMIGA_i_7_ = NODE,8, F,-; +CIIN_0 = NODE,5, E,-; diff --git a/Logic/68030_tk.xrf b/Logic/68030_tk.xrf index c97992f..c7c4021 100644 --- a/Logic/68030_tk.xrf +++ b/Logic/68030_tk.xrf @@ -2,7 +2,7 @@ Signal Name Cross Reference File ispLEVER Classic 1.8.00.04.29.14 -Design '68030_tk' created Thu Jul 09 18:48:59 2015 +Design '68030_tk' created Thu Sep 24 16:20:59 2015 LEGEND: '>' Functional Block Port Separator diff --git a/Logic/BUS68030.bl0 b/Logic/BUS68030.bl0 index 4fd2688..e1b73fa 100644 --- a/Logic/BUS68030.bl0 +++ b/Logic/BUS68030.bl0 @@ -1,238 +1,238 @@ -#$ DATE Thu Jul 09 18:48:59 2015 +#$ DATE Thu Sep 24 16:20:59 2015 #$ TOOL EDIF2BLIF version IspLever 1.0 #$ MODULE bus68030 -#$ PINS 75 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ IPL_030_2_ A_25_ A_24_ IPL_2_ A_23_ A_22_ FC_1_ A_21_ AS_030 A_20_ AS_000 A_19_ RW_000 A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A_15_ A0 A_14_ A1 A_13_ nEXP_SPACE A_12_ BERR A_11_ BG_030 A_10_ BG_000 A_9_ BGACK_030 A_8_ BGACK_000 A_7_ CLK_030 A_6_ CLK_000 A_5_ CLK_OSZI A_4_ CLK_DIV_OUT A_3_ CLK_EXP A_2_ FPU_CS IPL_030_1_ FPU_SENSE IPL_030_0_ DSACK1 IPL_1_ DTACK IPL_0_ AVEC FC_0_ E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN -#$ NODES 694 N_193 cpu_est_0_1__un3_n N_190 RW_c cpu_est_0_1__un1_n N_189 cpu_est_0_1__un0_n N_140 fc_c_0__n bgack_030_int_0_un3_n \ -# pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n pos_clk_un23_clk_000_ne_d0_n fc_c_1__n bgack_030_int_0_un0_n inst_BGACK_030_INTreg pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n vcc_n_n pos_clk_un7_clk_000_pe_n \ -# un1_amiga_bus_enable_dma_high_i_m4_0__un1_n cpu_est_3_reg N_18 AMIGA_BUS_DATA_DIR_c un1_amiga_bus_enable_dma_high_i_m4_0__un0_n inst_VMA_INTreg N_22 ds_000_enable_1_sqmuxa_1_i_m4_un3_n inst_RESET_OUTreg pos_clk_un11_clk_000_n_sync_n \ -# ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n pos_clk_un9_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un0_n un1_amiga_bus_enable_low pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n un6_as_030 pos_clk_un22_bgack_030_int_n N_6_i \ -# size_dma_0_0__un1_n un3_size G_161 N_48_0 size_dma_0_0__un0_n un4_size N_220 N_3_i size_dma_0_1__un3_n un8_ciin \ -# G_159 N_49_0 size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 CLK_030_H_0_sqmuxa pos_clk_un26_bgack_030_int_i_n \ -# ipl_030_0_0__un3_n un21_fpu_cs AS_000_DMA_1_sqmuxa pos_clk_un27_bgack_030_int_0_n ipl_030_0_0__un1_n un22_berr pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i ipl_030_0_0__un0_n un6_ds_030 \ -# pos_clk_un27_bgack_030_int_n N_7_i ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 ipl_030_0_1__un1_n un6_lds_000 N_165 N_133_i \ -# ipl_030_0_1__un0_n cpu_est_0_ N_133 N_176_i ipl_030_0_2__un3_n cpu_est_1_ N_163 N_175_i ipl_030_0_2__un1_n inst_AS_000_INT \ -# N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n SM_AMIGA_5_ N_164 pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n inst_AMIGA_BUS_ENABLE_DMA_LOW N_176 N_162_i \ -# dsack1_int_0_un1_n inst_AS_030_D0 DS_000_DMA_2_sqmuxa N_163_i dsack1_int_0_un0_n inst_nEXP_SPACE_D0reg pos_clk_ds_000_dma_4_n N_164_i as_000_int_0_un3_n inst_DS_030_D0 \ -# DS_000_DMA_0_sqmuxa N_165_i as_000_int_0_un1_n inst_AS_030_000_SYNC pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n inst_BGACK_030_INT_D N_175 pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n \ -# inst_AS_000_DMA N_47 pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n inst_DS_000_DMA N_7 clk_000_n_sync_i_10__n ds_000_enable_0_un0_n CYCLE_DMA_0_ un1_rst_2 \ -# pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n CYCLE_DMA_1_ pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n SIZE_DMA_0_ pos_clk_un29_bgack_030_int_n N_33_0 as_030_000_sync_0_un0_n \ -# SIZE_DMA_1_ N_3 N_18_i lds_000_int_0_un3_n inst_VPA_D N_6 N_37_0 lds_000_int_0_un1_n inst_UDS_000_INT un1_amiga_bus_enable_low_i \ -# pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n inst_LDS_000_INT un21_fpu_cs_i pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n inst_CLK_OUT_PRE_D AS_000_i pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n \ -# inst_DTACK_D0 DS_000_DMA_i pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n inst_CLK_OUT_PRE_50 pos_clk_un24_bgack_030_int_i_n pos_clk_un21_clk_000_ne_d0_i_n rw_000_dma_0_un3_n inst_CLK_000_D1 cycle_dma_i_1__n \ -# pos_clk_un23_clk_000_ne_d0_0_n rw_000_dma_0_un1_n inst_CLK_000_D0 cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n inst_CLK_000_PE AS_000_DMA_i N_140_0 uds_000_int_0_un3_n \ -# CLK_000_P_SYNC_9_ CLK_EXP_i N_195_i uds_000_int_0_un1_n inst_CLK_000_NE BERR_i N_196_i uds_000_int_0_un0_n CLK_000_N_SYNC_11_ RW_000_i \ -# N_186_i amiga_bus_enable_dma_low_0_un3_n cpu_est_2_ DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n IPL_D0_0_ pos_clk_un40_bgack_030_int_1_i_n N_189_i amiga_bus_enable_dma_low_0_un0_n \ -# IPL_D0_1_ BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n IPL_D0_2_ nEXP_SPACE_D0_i N_193_i amiga_bus_enable_dma_high_0_un1_n SM_AMIGA_3_ CLK_000_PE_i \ -# N_191_i amiga_bus_enable_dma_high_0_un0_n inst_CLK_000_NE_D0 CLK_000_NE_i N_192_i bg_000_0_un3_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n \ -# SM_AMIGA_0_ sm_amiga_i_0__n pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n inst_AMIGA_BUS_ENABLE_DMA_HIGH pos_clk_un7_clk_000_d0_i_n N_198_i a0_dma_0_un3_n inst_DSACK1_INTreg UDS_000_i \ -# N_197_i a0_dma_0_un1_n LDS_000_i N_199_i a0_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n pos_clk_cpu_est_11_0_3__n a_23__n pos_clk_ipl_n \ -# CLK_OUT_PRE_D_i N_151_i pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n SM_AMIGA_6_ sm_amiga_i_2__n AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n \ -# N_135_i a_21__n RST_DLY_0_ cpu_est_i_0__n N_252_0 RST_DLY_1_ cpu_est_i_3__n N_85_i a_20__n RST_DLY_2_ \ -# cpu_est_i_2__n RST_DLY_3_ cpu_est_i_1__n N_38_0 a_15__n RST_DLY_4_ VPA_D_i un1_rst_dly_i_m_i_2__n RST_DLY_5_ VMA_INT_i \ -# a_14__n RST_DLY_6_ sm_amiga_i_1__n un1_rst_dly_i_m_i_3__n RST_DLY_7_ RESET_OUT_0_sqmuxa_i a_13__n pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n \ -# CLK_000_P_SYNC_0_ un1_rst_dly_i_2__n a_12__n CLK_000_P_SYNC_1_ un1_rst_dly_i_3__n un1_rst_dly_i_m_i_5__n CLK_000_P_SYNC_2_ un1_rst_dly_i_4__n a_11__n CLK_000_P_SYNC_3_ \ -# un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n CLK_000_P_SYNC_4_ un1_rst_dly_i_6__n a_10__n CLK_000_P_SYNC_5_ un1_rst_dly_i_7__n un1_rst_dly_i_m_i_7__n CLK_000_P_SYNC_6_ un1_rst_dly_i_8__n \ -# a_9__n CLK_000_P_SYNC_7_ RESET_OUT_i un1_rst_dly_i_m_i_8__n CLK_000_P_SYNC_8_ AS_030_D0_i a_8__n CLK_000_N_SYNC_0_ AS_030_i un3_as_030_i \ -# CLK_000_N_SYNC_1_ A1_i N_76_i a_7__n CLK_000_N_SYNC_2_ CLK_000_D1_i N_83_i CLK_000_N_SYNC_3_ sm_amiga_i_i_7__n a_6__n \ -# CLK_000_N_SYNC_4_ N_248_i N_84_i CLK_000_N_SYNC_5_ sm_amiga_i_5__n N_115_0 a_5__n CLK_000_N_SYNC_6_ RW_i N_86_i \ -# CLK_000_N_SYNC_7_ CLK_000_D0_i pos_clk_size_dma_6_0_1__n a_4__n CLK_000_N_SYNC_8_ AS_030_000_SYNC_i N_87_i CLK_000_N_SYNC_9_ sm_amiga_i_6__n pos_clk_size_dma_6_0_0__n \ -# a_3__n CLK_000_N_SYNC_10_ sm_amiga_i_4__n N_88_i pos_clk_un5_bgack_030_int_d_n FPU_SENSE_i a_2__n inst_RW_000_INT size_dma_i_0__n N_241_0 \ -# inst_RW_000_DMA size_dma_i_1__n N_242_0 inst_A0_DMA a_i_16__n N_243_0 inst_CLK_030_H a_i_18__n N_93_i SM_AMIGA_1_ \ -# a_i_19__n N_94_i SM_AMIGA_4_ a_i_30__n N_244_0 SM_AMIGA_2_ a_i_31__n N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n \ -# N_246_0 inst_DS_000_ENABLE a_i_29__n pos_clk_un3_as_030_d0_i_n a_i_26__n pos_clk_un5_bgack_030_int_d_i_n a_i_27__n N_249_i pos_clk_a0_dma_3_n a_i_24__n \ -# N_251_0 a_i_25__n N_71_0 LDS_000_INT_i N_104_i N_8 DS_030_i N_137_i N_9 UDS_000_INT_i \ -# N_10 N_224_i N_160_i N_11 N_225_i N_161_i N_12 N_226_i N_13 N_159_i \ -# N_14 N_157_i N_15 N_158_i N_16 N_91_i N_19 N_90_i N_155_i N_20 \ -# un14_amiga_bus_data_dir_i N_156_i N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 un6_uds_000_i N_152_i \ -# N_25 un6_ds_030_i N_153_i cpu_est_0_0_ un4_as_000_i N_142_0 AS_000_INT_i N_141_0 un6_as_030_i N_138_0 \ -# AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i DS_030_D0_i un1_as_030_i AS_030_c pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c size_c_i_1__n N_25_i \ -# RW_000_c N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 ipl_c_i_2__n LDS_000_c \ -# N_54_0 ipl_c_i_1__n size_c_0__n N_53_0 ipl_c_i_0__n size_c_1__n N_52_0 DTACK_c_i N_57_0 VPA_c_i \ -# N_56_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_8_i N_46_0 N_9_i N_45_0 N_10_i SM_AMIGA_i_7_ \ -# N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n N_43_0 pos_clk_size_dma_6_1__n N_13_i G_165 N_42_0 G_166 \ -# N_14_i G_167 N_41_0 un6_uds_000_1 N_15_i N_241 N_40_0 N_242 N_16_i N_243 \ -# N_39_0 N_244 N_19_i N_245 N_36_0 N_246 N_20_i N_78 N_35_0 N_80 \ -# N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 pos_clk_un6_bg_030_i_n N_98 a_c_17__n \ -# pos_clk_un8_bg_030_0_n N_99 N_251_0_1 N_249 a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n \ -# pos_clk_cpu_est_11_0_1_1__n N_136 pos_clk_cpu_est_11_0_2_1__n pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 \ -# pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 N_155 un8_ciin_2 N_141 un8_ciin_3 N_156 un8_ciin_4 N_157 \ -# a_c_24__n un8_ciin_5 N_138 un8_ciin_6 N_158 a_c_25__n un8_ciin_7 N_159 un8_ciin_8 N_160 \ -# a_c_26__n N_116_1 N_142 N_116_2 N_161 a_c_27__n N_116_3 N_132 N_116_4 N_104 \ -# a_c_28__n un22_berr_1_0 N_76 un21_fpu_cs_1 N_71 a_c_29__n N_123_i_1 N_251 N_123_i_2 N_93 \ -# a_c_30__n N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 N_87 N_129_i_1 N_86 \ -# A0_c pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c RESET_OUT_0_sqmuxa_7_1 N_116 RESET_OUT_0_sqmuxa_7_2 G_149 \ -# nEXP_SPACE_c RESET_OUT_0_sqmuxa_7_3 G_147 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 G_145 pos_clk_un27_clk_000_ne_d0_1_n N_211 \ -# BG_030_c pos_clk_un27_clk_000_ne_d0_2_n G_143 pos_clk_un27_clk_000_ne_d0_3_n N_209 BG_000DFFreg pos_clk_un5_clk_000_pe_1_n G_141 pos_clk_un5_clk_000_pe_2_n G_139 \ -# pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c pos_clk_un9_clk_000_ne_1_n G_137 pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 pos_clk_un9_clk_000_ne_3_n RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n \ -# RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n N_165_1 un1_rst_dly_i_m_6__n \ -# CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 un1_rst_dly_i_m_2__n \ -# N_176_1_0 N_38 IPL_030DFF_0_reg DS_000_DMA_2_sqmuxa_1 N_85 N_119_i_1 pos_clk_RST_DLY_5_iv_0_x2_0_ IPL_030DFF_1_reg N_115_0_1 N_252 \ -# pos_clk_ipl_1_n N_97 IPL_030DFF_2_reg as_000_dma_0_un3_n pos_clk_un27_clk_000_ne_d0_n as_000_dma_0_un1_n N_199_1 ipl_c_0__n as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n \ -# ds_000_dma_0_un3_n pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 ds_000_dma_0_un0_n N_151 ipl_c_2__n vma_int_0_un3_n N_199 \ -# vma_int_0_un1_n N_196 vma_int_0_un0_n N_195 DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_cpu_est_11_3__n sm_amiga_srsts_i_0_m2_1__un0_n \ -# N_197 cpu_est_0_3__un3_n N_198 VPA_c cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 cpu_est_0_2__un3_n N_192 \ -# RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n +#$ PINS 75 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 A_15_ DS_030 A_14_ UDS_000 A_13_ LDS_000 A_12_ A0 A_11_ A1 A_10_ nEXP_SPACE A_9_ BERR A_8_ BG_030 A_7_ BG_000 A_6_ BGACK_030 A_5_ BGACK_000 A_4_ CLK_030 A_3_ CLK_000 A_2_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ +#$ NODES 695 N_159 N_21_i bgack_030_int_0_un0_n N_157 N_34_0 as_030_000_sync_0_un3_n N_158 N_20_i as_030_000_sync_0_un1_n un1_rst_dly_i_m_1__n \ +# N_35_0 as_030_000_sync_0_un0_n N_138 N_16_i ds_000_enable_0_un3_n N_88 N_39_0 ds_000_enable_0_un1_n inst_BGACK_030_INTreg N_256 \ +# N_13_i ds_000_enable_0_un0_n vcc_n_n N_89 N_42_0 a0_dma_0_un3_n cpu_est_3_reg N_91 N_12_i a0_dma_0_un1_n \ +# inst_VMA_INTreg N_92 N_43_0 a0_dma_0_un0_n inst_RESET_OUTreg pos_clk_a0_dma_3_n N_8_i size_dma_0_1__un3_n gnd_n_n N_96 \ +# N_46_0 size_dma_0_1__un1_n un1_amiga_bus_enable_low N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 N_72_0 size_dma_0_0__un3_n \ +# un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n un4_size N_104 LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 \ +# N_256_i un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n pos_clk_un5_bgack_030_int_d_i_n un1_amiga_bus_enable_dma_high_i_m2_0__un1_n un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n un1_amiga_bus_enable_dma_high_i_m2_0__un0_n \ +# un1_SM_AMIGA_7 N_250 N_104_i cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n N_252_0 cpu_est_0_1__un1_n un22_berr N_251 \ +# N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n \ +# un6_lds_000 pos_clk_un24_bgack_030_int_i_0_x2 N_96_i cpu_est_0_2__un0_n cpu_est_0_ DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n cpu_est_1_ un22_berr_1 \ +# N_89_i cpu_est_0_3__un1_n inst_AS_000_INT N_8 pos_clk_size_dma_6_0_0__n cpu_est_0_3__un0_n SM_AMIGA_5_ N_12 N_88_i ipl_030_0_0__un3_n \ +# inst_AMIGA_BUS_ENABLE_DMA_LOW N_13 pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n inst_AS_030_D0 N_16 N_138_0 ipl_030_0_0__un0_n inst_nEXP_SPACE_D0reg N_20 \ +# un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n inst_DS_030_D0 N_21 ipl_030_0_1__un1_n inst_AS_030_000_SYNC N_11 N_157_i ipl_030_0_1__un0_n inst_BGACK_030_INT_D \ +# un1_amiga_bus_enable_low_i N_158_i ipl_030_0_2__un3_n inst_AS_000_DMA un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n inst_DS_000_DMA BGACK_030_INT_i ipl_030_0_2__un0_n \ +# CYCLE_DMA_0_ AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 dsack1_int_0_un3_n CYCLE_DMA_1_ AS_030_i un1_as_000_i dsack1_int_0_un1_n SIZE_DMA_0_ AS_000_INT_i \ +# N_93_i dsack1_int_0_un0_n SIZE_DMA_1_ N_105_i as_000_int_0_un3_n inst_VPA_D N_91_i N_87_i as_000_int_0_un1_n inst_UDS_000_INT \ +# N_92_i N_86_i as_000_int_0_un0_n inst_LDS_000_INT a_i_19__n AMIGA_BUS_DATA_DIR_c_0 lds_000_int_0_un3_n inst_CLK_OUT_PRE_D a_i_18__n un1_rst_dly_i_m_i_2__n \ +# lds_000_int_0_un1_n inst_DTACK_D0 a_i_16__n lds_000_int_0_un0_n inst_CLK_OUT_PRE_50 size_dma_i_1__n un1_rst_dly_i_m_i_3__n rw_000_int_0_un3_n inst_CLK_000_D1 size_dma_i_0__n \ +# rw_000_int_0_un1_n inst_CLK_000_D0 FPU_SENSE_i un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n inst_CLK_000_PE CLK_000_D1_i uds_000_int_0_un3_n CLK_000_P_SYNC_9_ CLK_000_D0_i \ +# un1_rst_dly_i_m_i_5__n uds_000_int_0_un1_n inst_CLK_000_NE AS_030_000_SYNC_i uds_000_int_0_un0_n CLK_000_N_SYNC_11_ AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n cpu_est_2_ \ +# sm_amiga_i_i_7__n vma_int_0_un1_n IPL_D0_0_ AS_000_i un1_rst_dly_i_m_i_7__n vma_int_0_un0_n IPL_D0_1_ CLK_000_PE_i bg_000_0_un3_n IPL_D0_2_ \ +# nEXP_SPACE_D0_i un1_rst_dly_i_m_i_8__n bg_000_0_un1_n SM_AMIGA_3_ A1_i bg_000_0_un0_n inst_CLK_000_NE_D0 sm_amiga_i_6__n un3_as_030_i ds_000_dma_0_un3_n \ +# pos_clk_un6_bg_030_n BERR_i un1_as_030_i ds_000_dma_0_un1_n SM_AMIGA_0_ sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n inst_AMIGA_BUS_ENABLE_DMA_HIGH RESET_OUT_0_sqmuxa_i \ +# pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n inst_DSACK1_INTreg un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n as_000_dma_0_un1_n RESET_OUT_i CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n \ +# RW_000_i N_244_0 a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i pos_clk_un3_ds_030_d0_n un1_rst_dly_i_3__n N_115_0 a_14__n \ +# SM_AMIGA_6_ un1_rst_dly_i_4__n N_84_i RST_DLY_0_ un1_rst_dly_i_5__n N_245_0 a_13__n RST_DLY_1_ un1_rst_dly_i_6__n N_85_i \ +# RST_DLY_2_ un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n RST_DLY_3_ un1_rst_dly_i_8__n N_94_i RST_DLY_4_ CLK_OUT_PRE_D_i a_11__n \ +# RST_DLY_5_ pos_clk_un11_clk_000_n_sync_i_n RST_DLY_6_ CLK_030_H_i a_10__n RST_DLY_7_ AS_000_DMA_i N_73_0 pos_clk_un8_bg_030_n VMA_INT_i \ +# a_9__n CLK_000_P_SYNC_0_ VPA_D_i N_160_i CLK_000_P_SYNC_1_ cpu_est_i_0__n N_161_i a_8__n CLK_000_P_SYNC_2_ cpu_est_i_1__n \ +# CLK_000_P_SYNC_3_ cpu_est_i_3__n N_155_i a_7__n CLK_000_P_SYNC_4_ a_i_24__n N_156_i CLK_000_P_SYNC_5_ pos_clk_un7_clk_000_d0_i_n a_6__n \ +# CLK_000_P_SYNC_6_ sm_amiga_i_0__n N_154_i CLK_000_P_SYNC_7_ sm_amiga_i_3__n N_152_i a_5__n CLK_000_P_SYNC_8_ CLK_000_NE_i N_153_i \ +# CLK_000_N_SYNC_0_ cpu_est_i_2__n a_4__n CLK_000_N_SYNC_1_ sm_amiga_i_1__n N_150_i CLK_000_N_SYNC_2_ sm_amiga_i_4__n N_151_i a_3__n \ +# CLK_000_N_SYNC_3_ sm_amiga_i_2__n CLK_000_N_SYNC_4_ pos_clk_un29_clk_000_ne_d0_i_n N_149_i a_2__n CLK_000_N_SYNC_5_ DSACK1_INT_0_sqmuxa_i N_147_i CLK_000_N_SYNC_6_ \ +# RW_i N_148_i CLK_000_N_SYNC_7_ un5_ciin_i CLK_000_N_SYNC_8_ DTACK_D0_i N_164_i CLK_000_N_SYNC_9_ a_i_31__n N_165_i \ +# CLK_000_N_SYNC_10_ a_i_29__n N_163_i inst_RW_000_INT a_i_30__n N_199_i inst_RW_000_DMA a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n \ +# a_i_28__n N_197_i inst_A0_DMA a_i_25__n N_198_i DS_000_ENABLE_1_sqmuxa a_i_26__n N_194_i inst_CLK_030_H UDS_000_INT_i \ +# pos_clk_cpu_est_11_0_1__n SM_AMIGA_1_ LDS_000_INT_i N_192_i SM_AMIGA_4_ DS_030_i N_135_i SM_AMIGA_2_ N_224_i N_162_i \ +# inst_DS_000_ENABLE N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i \ +# N_3 DS_000_ENABLE_1_sqmuxa_i N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i N_6 un6_ds_030_i N_186_i \ +# N_9 DS_000_DMA_i N_143_0 N_10 un4_as_000_i N_142_0 N_14 un8_ciin_i N_141_0 N_15 \ +# un6_as_030_i N_140_0 N_17 DS_030_D0_i N_139_0 N_19 AS_030_c N_166_i N_22 N_137_i \ +# N_23 AS_000_c N_136_i N_24 N_133_i N_25 RW_000_c N_132_i cpu_est_0_0_ N_146_i \ +# DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i pos_clk_un21_clk_000_ne_d0_i_n LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n pos_clk_un23_clk_000_ne_d0_0_n size_c_0__n pos_clk_un5_clk_000_pe_i_n \ +# pos_clk_un9_clk_000_ne_i_n size_c_1__n pos_clk_un7_clk_000_pe_0_n pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i N_32_0 N_24_i N_31_0 \ +# N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 DTACK_c_i N_57_0 \ +# VPA_c_i N_56_0 nEXP_SPACE_c_i SM_AMIGA_i_7_ N_55_0 N_115 N_50_0 pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n \ +# N_49_0 N_220 N_6_i G_165 N_48_0 G_166 N_9_i G_167 N_45_0 un6_uds_000_1 \ +# N_10_i pos_clk_un24_bgack_030_int_i_0_n a_c_16__n N_44_0 N_244 N_14_i N_245 a_c_17__n N_41_0 N_15_i \ +# N_80 a_c_18__n N_40_0 N_90 N_17_i N_100 a_c_19__n N_38_0 N_105 N_19_i \ +# pos_clk_un11_clk_000_n_sync_n a_c_20__n N_36_0 pos_clk_CYCLE_DMA_5_1_i_x2 N_22_i pos_clk_CYCLE_DMA_5_0_i_x2 a_c_21__n N_33_0 N_135 BG_030_c_i \ +# N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 pos_clk_un8_bg_030_0_n N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 \ +# N_136 a_c_24__n pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n \ +# pos_clk_un21_clk_000_ne_d0_n a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n N_131_i_1 \ +# pos_clk_un27_clk_000_ne_d0_n a_c_28__n N_131_i_2 pos_clk_un14_clk_000_n_sync_n N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 N_119_i_2 \ +# N_143 a_c_30__n N_121_i_1 N_147 N_123_i_1 N_139 a_c_31__n N_123_i_2 N_148 N_125_i_1 \ +# N_149 A0_c N_129_i_1 N_150 N_162_1 N_140 A1_c N_163_1 N_151 N_165_1 \ +# N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 N_154 BERR_c un5_ciin_1 N_155 un5_ciin_2 \ +# N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 BG_000DFFreg un5_ciin_5 N_142 un5_ciin_6 \ +# N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 N_166 un5_ciin_9 N_132 un5_ciin_10 N_191 \ +# un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n N_193 CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 pos_clk_un9_clk_000_ne_4_n \ +# N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c pos_clk_un27_clk_000_ne_d0_1_n \ +# N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 IPL_030DFF_0_reg pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 IPL_030DFF_1_reg RESET_OUT_0_sqmuxa_7_2 \ +# N_73 RESET_OUT_0_sqmuxa_7_3 N_94 IPL_030DFF_2_reg RESET_OUT_0_sqmuxa_5_1 N_85 N_87_1 N_84 ipl_c_0__n N_87_2 \ +# N_83 pos_clk_un24_bgack_030_int_i_0_0_1_n pos_clk_un9_clk_000_n_sync_n ipl_c_1__n pos_clk_un24_bgack_030_int_i_0_0_2_n G_149 N_72_0_1 G_147 ipl_c_2__n pos_clk_un7_clk_000_d0_1_n \ +# N_213 un21_fpu_cs_1 G_145 un22_berr_1_0 N_211 DTACK_c N_116_1 G_143 N_116_2 N_209 \ +# N_116_3 G_141 N_116_4 G_139 VPA_c pos_clk_un6_bg_030_1_n N_205 N_115_0_1 G_137 N_245_0_1 \ +# RESET_OUT_0_sqmuxa_1 RST_c N_247_i_1 RESET_OUT_0_sqmuxa N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 RESET_OUT_0_sqmuxa_5 RW_c pos_clk_ipl_1_n \ +# un1_rst_dly_i_m_8__n amiga_bus_enable_dma_high_0_un3_n un1_rst_dly_i_m_7__n fc_c_0__n amiga_bus_enable_dma_high_0_un1_n un1_rst_dly_i_m_6__n amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n fc_c_1__n amiga_bus_enable_dma_low_0_un3_n \ +# un1_rst_dly_i_m_4__n amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 \ +# rw_000_dma_0_un0_n N_87 bgack_030_int_0_un3_n G_135 bgack_030_int_0_un1_n .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ CLK_OSZI.BLIF FPU_SENSE.BLIF DTACK.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF \ A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF \ A_8_.BLIF A_7_.BLIF A_6_.BLIF A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF \ - FC_0_.BLIF SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_193.BLIF cpu_est_0_1__un3_n.BLIF N_190.BLIF RW_c.BLIF cpu_est_0_1__un1_n.BLIF N_189.BLIF cpu_est_0_1__un0_n.BLIF N_140.BLIF \ - fc_c_0__n.BLIF bgack_030_int_0_un3_n.BLIF pos_clk_un29_clk_000_ne_d0_n.BLIF bgack_030_int_0_un1_n.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF fc_c_1__n.BLIF bgack_030_int_0_un0_n.BLIF inst_BGACK_030_INTreg.BLIF pos_clk_un21_clk_000_ne_d0_n.BLIF \ - un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF vcc_n_n.BLIF pos_clk_un7_clk_000_pe_n.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF cpu_est_3_reg.BLIF N_18.BLIF AMIGA_BUS_DATA_DIR_c.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF inst_VMA_INTreg.BLIF \ - N_22.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF inst_RESET_OUTreg.BLIF pos_clk_un11_clk_000_n_sync_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF gnd_n_n.BLIF pos_clk_un9_clk_000_n_sync_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF un1_amiga_bus_enable_low.BLIF \ - pos_clk_un14_clk_000_n_sync_n.BLIF size_dma_0_0__un3_n.BLIF un6_as_030.BLIF pos_clk_un22_bgack_030_int_n.BLIF N_6_i.BLIF size_dma_0_0__un1_n.BLIF un3_size.BLIF G_161.BLIF N_48_0.BLIF \ - size_dma_0_0__un0_n.BLIF un4_size.BLIF N_220.BLIF N_3_i.BLIF size_dma_0_1__un3_n.BLIF un8_ciin.BLIF G_159.BLIF N_49_0.BLIF size_dma_0_1__un1_n.BLIF \ - un14_amiga_bus_data_dir.BLIF pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF size_dma_0_1__un0_n.BLIF un4_as_000.BLIF CLK_030_H_0_sqmuxa.BLIF pos_clk_un26_bgack_030_int_i_n.BLIF ipl_030_0_0__un3_n.BLIF un21_fpu_cs.BLIF \ - AS_000_DMA_1_sqmuxa.BLIF pos_clk_un27_bgack_030_int_0_n.BLIF ipl_030_0_0__un1_n.BLIF un22_berr.BLIF pos_clk_un24_bgack_030_int_n.BLIF CLK_030_H_0_sqmuxa_i.BLIF ipl_030_0_0__un0_n.BLIF un6_ds_030.BLIF pos_clk_un27_bgack_030_int_n.BLIF \ - N_7_i.BLIF ipl_030_0_1__un3_n.BLIF un6_uds_000.BLIF N_176_1.BLIF N_47_0.BLIF ipl_030_0_1__un1_n.BLIF un6_lds_000.BLIF N_165.BLIF N_133_i.BLIF \ - ipl_030_0_1__un0_n.BLIF cpu_est_0_.BLIF N_133.BLIF N_176_i.BLIF ipl_030_0_2__un3_n.BLIF cpu_est_1_.BLIF N_163.BLIF N_175_i.BLIF ipl_030_0_2__un1_n.BLIF \ - inst_AS_000_INT.BLIF N_162.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF ipl_030_0_2__un0_n.BLIF SM_AMIGA_5_.BLIF N_164.BLIF pos_clk_ds_000_dma_4_f1_0_n.BLIF dsack1_int_0_un3_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ - N_176.BLIF N_162_i.BLIF dsack1_int_0_un1_n.BLIF inst_AS_030_D0.BLIF DS_000_DMA_2_sqmuxa.BLIF N_163_i.BLIF dsack1_int_0_un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF pos_clk_ds_000_dma_4_n.BLIF \ - N_164_i.BLIF as_000_int_0_un3_n.BLIF inst_DS_030_D0.BLIF DS_000_DMA_0_sqmuxa.BLIF N_165_i.BLIF as_000_int_0_un1_n.BLIF inst_AS_030_000_SYNC.BLIF pos_clk_ds_000_dma_4_f1_n.BLIF as_000_int_0_un0_n.BLIF \ - inst_BGACK_030_INT_D.BLIF N_175.BLIF pos_clk_un22_bgack_030_int_0_n.BLIF ds_000_enable_0_un3_n.BLIF inst_AS_000_DMA.BLIF N_47.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF ds_000_enable_0_un1_n.BLIF inst_DS_000_DMA.BLIF \ - N_7.BLIF clk_000_n_sync_i_10__n.BLIF ds_000_enable_0_un0_n.BLIF CYCLE_DMA_0_.BLIF un1_rst_2.BLIF pos_clk_un14_clk_000_n_sync_0_n.BLIF as_030_000_sync_0_un3_n.BLIF CYCLE_DMA_1_.BLIF pos_clk_un26_bgack_030_int_n.BLIF \ - N_22_i.BLIF as_030_000_sync_0_un1_n.BLIF SIZE_DMA_0_.BLIF pos_clk_un29_bgack_030_int_n.BLIF N_33_0.BLIF as_030_000_sync_0_un0_n.BLIF SIZE_DMA_1_.BLIF N_3.BLIF N_18_i.BLIF \ - lds_000_int_0_un3_n.BLIF inst_VPA_D.BLIF N_6.BLIF N_37_0.BLIF lds_000_int_0_un1_n.BLIF inst_UDS_000_INT.BLIF un1_amiga_bus_enable_low_i.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF lds_000_int_0_un0_n.BLIF \ - inst_LDS_000_INT.BLIF un21_fpu_cs_i.BLIF pos_clk_un5_clk_000_pe_i_n.BLIF rw_000_int_0_un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF AS_000_i.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF rw_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF \ - DS_000_DMA_i.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF rw_000_int_0_un0_n.BLIF inst_CLK_OUT_PRE_50.BLIF pos_clk_un24_bgack_030_int_i_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n.BLIF rw_000_dma_0_un3_n.BLIF inst_CLK_000_D1.BLIF cycle_dma_i_1__n.BLIF \ - pos_clk_un23_clk_000_ne_d0_0_n.BLIF rw_000_dma_0_un1_n.BLIF inst_CLK_000_D0.BLIF cycle_dma_i_0__n.BLIF N_136_i.BLIF rw_000_dma_0_un0_n.BLIF inst_CLK_000_PE.BLIF AS_000_DMA_i.BLIF N_140_0.BLIF \ - uds_000_int_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF CLK_EXP_i.BLIF N_195_i.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF BERR_i.BLIF N_196_i.BLIF uds_000_int_0_un0_n.BLIF \ - CLK_000_N_SYNC_11_.BLIF RW_000_i.BLIF N_186_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF cpu_est_2_.BLIF DS_000_DMA_0_sqmuxa_i.BLIF N_188_i.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF IPL_D0_0_.BLIF \ - pos_clk_un40_bgack_030_int_1_i_n.BLIF N_189_i.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF IPL_D0_1_.BLIF BGACK_030_INT_i.BLIF N_190_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF IPL_D0_2_.BLIF nEXP_SPACE_D0_i.BLIF \ - N_193_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF SM_AMIGA_3_.BLIF CLK_000_PE_i.BLIF N_191_i.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF CLK_000_NE_i.BLIF N_192_i.BLIF \ - bg_000_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF sm_amiga_i_3__n.BLIF N_194_i.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_0_.BLIF sm_amiga_i_0__n.BLIF pos_clk_cpu_est_11_0_1__n.BLIF bg_000_0_un0_n.BLIF \ - inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_198_i.BLIF a0_dma_0_un3_n.BLIF inst_DSACK1_INTreg.BLIF UDS_000_i.BLIF N_197_i.BLIF a0_dma_0_un1_n.BLIF LDS_000_i.BLIF \ - N_199_i.BLIF a0_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF a_23__n.BLIF pos_clk_ipl_n.BLIF CLK_OUT_PRE_D_i.BLIF N_151_i.BLIF \ - pos_clk_un3_ds_030_d0_n.BLIF DTACK_D0_i.BLIF N_150_i.BLIF a_22__n.BLIF SM_AMIGA_6_.BLIF sm_amiga_i_2__n.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_135_i.BLIF \ - a_21__n.BLIF RST_DLY_0_.BLIF cpu_est_i_0__n.BLIF N_252_0.BLIF RST_DLY_1_.BLIF cpu_est_i_3__n.BLIF N_85_i.BLIF a_20__n.BLIF RST_DLY_2_.BLIF \ - cpu_est_i_2__n.BLIF RST_DLY_3_.BLIF cpu_est_i_1__n.BLIF N_38_0.BLIF a_15__n.BLIF RST_DLY_4_.BLIF VPA_D_i.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_5_.BLIF \ - VMA_INT_i.BLIF a_14__n.BLIF RST_DLY_6_.BLIF sm_amiga_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_i.BLIF a_13__n.BLIF pos_clk_un8_bg_030_n.BLIF \ - N_77_i_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF CLK_000_P_SYNC_0_.BLIF un1_rst_dly_i_2__n.BLIF a_12__n.BLIF CLK_000_P_SYNC_1_.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_i_5__n.BLIF CLK_000_P_SYNC_2_.BLIF \ - un1_rst_dly_i_4__n.BLIF a_11__n.BLIF CLK_000_P_SYNC_3_.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_i_6__n.BLIF CLK_000_P_SYNC_4_.BLIF un1_rst_dly_i_6__n.BLIF a_10__n.BLIF CLK_000_P_SYNC_5_.BLIF \ - un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_i_7__n.BLIF CLK_000_P_SYNC_6_.BLIF un1_rst_dly_i_8__n.BLIF a_9__n.BLIF CLK_000_P_SYNC_7_.BLIF RESET_OUT_i.BLIF un1_rst_dly_i_m_i_8__n.BLIF CLK_000_P_SYNC_8_.BLIF \ - AS_030_D0_i.BLIF a_8__n.BLIF CLK_000_N_SYNC_0_.BLIF AS_030_i.BLIF un3_as_030_i.BLIF CLK_000_N_SYNC_1_.BLIF A1_i.BLIF N_76_i.BLIF a_7__n.BLIF \ - CLK_000_N_SYNC_2_.BLIF CLK_000_D1_i.BLIF N_83_i.BLIF CLK_000_N_SYNC_3_.BLIF sm_amiga_i_i_7__n.BLIF a_6__n.BLIF CLK_000_N_SYNC_4_.BLIF N_248_i.BLIF N_84_i.BLIF \ - CLK_000_N_SYNC_5_.BLIF sm_amiga_i_5__n.BLIF N_115_0.BLIF a_5__n.BLIF CLK_000_N_SYNC_6_.BLIF RW_i.BLIF N_86_i.BLIF CLK_000_N_SYNC_7_.BLIF CLK_000_D0_i.BLIF \ - pos_clk_size_dma_6_0_1__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_8_.BLIF AS_030_000_SYNC_i.BLIF N_87_i.BLIF CLK_000_N_SYNC_9_.BLIF sm_amiga_i_6__n.BLIF pos_clk_size_dma_6_0_0__n.BLIF a_3__n.BLIF \ - CLK_000_N_SYNC_10_.BLIF sm_amiga_i_4__n.BLIF N_88_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF FPU_SENSE_i.BLIF a_2__n.BLIF inst_RW_000_INT.BLIF size_dma_i_0__n.BLIF N_241_0.BLIF \ - inst_RW_000_DMA.BLIF size_dma_i_1__n.BLIF N_242_0.BLIF inst_A0_DMA.BLIF a_i_16__n.BLIF N_243_0.BLIF inst_CLK_030_H.BLIF a_i_18__n.BLIF N_93_i.BLIF \ - SM_AMIGA_1_.BLIF a_i_19__n.BLIF N_94_i.BLIF SM_AMIGA_4_.BLIF a_i_30__n.BLIF N_244_0.BLIF SM_AMIGA_2_.BLIF a_i_31__n.BLIF N_245_0.BLIF \ - pos_clk_un3_as_030_d0_n.BLIF a_i_28__n.BLIF N_246_0.BLIF inst_DS_000_ENABLE.BLIF a_i_29__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF a_i_26__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF a_i_27__n.BLIF \ - N_249_i.BLIF pos_clk_a0_dma_3_n.BLIF a_i_24__n.BLIF N_251_0.BLIF a_i_25__n.BLIF N_71_0.BLIF LDS_000_INT_i.BLIF N_104_i.BLIF N_8.BLIF \ - DS_030_i.BLIF N_137_i.BLIF N_9.BLIF UDS_000_INT_i.BLIF N_10.BLIF N_224_i.BLIF N_160_i.BLIF N_11.BLIF N_225_i.BLIF \ - N_161_i.BLIF N_12.BLIF N_226_i.BLIF N_13.BLIF N_159_i.BLIF N_14.BLIF N_157_i.BLIF N_15.BLIF N_158_i.BLIF \ - N_16.BLIF N_91_i.BLIF N_19.BLIF N_90_i.BLIF N_155_i.BLIF N_20.BLIF un14_amiga_bus_data_dir_i.BLIF N_156_i.BLIF N_21.BLIF \ - N_80_i.BLIF N_23.BLIF un6_lds_000_i.BLIF N_154_i.BLIF N_24.BLIF un6_uds_000_i.BLIF N_152_i.BLIF N_25.BLIF un6_ds_030_i.BLIF \ - N_153_i.BLIF cpu_est_0_0_.BLIF un4_as_000_i.BLIF N_142_0.BLIF AS_000_INT_i.BLIF N_141_0.BLIF un6_as_030_i.BLIF N_138_0.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF \ - N_132_i.BLIF DS_030_D0_i.BLIF un1_as_030_i.BLIF AS_030_c.BLIF pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF AS_000_c.BLIF size_c_i_1__n.BLIF N_25_i.BLIF \ - RW_000_c.BLIF N_32_0.BLIF N_24_i.BLIF DS_030_c.BLIF N_31_0.BLIF N_23_i.BLIF UDS_000_c.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF \ - LDS_000_c.BLIF N_54_0.BLIF ipl_c_i_1__n.BLIF size_c_0__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF size_c_1__n.BLIF N_52_0.BLIF DTACK_c_i.BLIF \ - N_57_0.BLIF VPA_c_i.BLIF N_56_0.BLIF nEXP_SPACE_c_i.BLIF N_55_0.BLIF N_50_0.BLIF N_8_i.BLIF N_46_0.BLIF N_9_i.BLIF \ - N_45_0.BLIF N_10_i.BLIF SM_AMIGA_i_7_.BLIF N_44_0.BLIF N_115.BLIF N_12_i.BLIF pos_clk_size_dma_6_0__n.BLIF N_43_0.BLIF pos_clk_size_dma_6_1__n.BLIF \ - N_13_i.BLIF G_165.BLIF N_42_0.BLIF G_166.BLIF N_14_i.BLIF G_167.BLIF N_41_0.BLIF un6_uds_000_1.BLIF N_15_i.BLIF \ - N_241.BLIF N_40_0.BLIF N_242.BLIF N_16_i.BLIF N_243.BLIF N_39_0.BLIF N_244.BLIF N_19_i.BLIF N_245.BLIF \ - N_36_0.BLIF N_246.BLIF N_20_i.BLIF N_78.BLIF N_35_0.BLIF N_80.BLIF N_21_i.BLIF N_89.BLIF N_34_0.BLIF \ - N_90.BLIF a_c_16__n.BLIF BG_030_c_i.BLIF N_91.BLIF pos_clk_un6_bg_030_i_n.BLIF N_98.BLIF a_c_17__n.BLIF pos_clk_un8_bg_030_0_n.BLIF N_99.BLIF \ - N_251_0_1.BLIF N_249.BLIF a_c_18__n.BLIF N_121_i_1.BLIF N_248.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF N_135.BLIF a_c_19__n.BLIF pos_clk_cpu_est_11_0_1_1__n.BLIF \ - N_136.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_131_i_1.BLIF un22_berr_1.BLIF N_131_i_2.BLIF N_152.BLIF N_131_i_3.BLIF N_153.BLIF \ - pos_clk_un11_ds_030_d0_i_1_n.BLIF N_154.BLIF un8_ciin_1.BLIF N_155.BLIF un8_ciin_2.BLIF N_141.BLIF un8_ciin_3.BLIF N_156.BLIF un8_ciin_4.BLIF \ - N_157.BLIF a_c_24__n.BLIF un8_ciin_5.BLIF N_138.BLIF un8_ciin_6.BLIF N_158.BLIF a_c_25__n.BLIF un8_ciin_7.BLIF N_159.BLIF \ - un8_ciin_8.BLIF N_160.BLIF a_c_26__n.BLIF N_116_1.BLIF N_142.BLIF N_116_2.BLIF N_161.BLIF a_c_27__n.BLIF N_116_3.BLIF \ - N_132.BLIF N_116_4.BLIF N_104.BLIF a_c_28__n.BLIF un22_berr_1_0.BLIF N_76.BLIF un21_fpu_cs_1.BLIF N_71.BLIF a_c_29__n.BLIF \ - N_123_i_1.BLIF N_251.BLIF N_123_i_2.BLIF N_93.BLIF a_c_30__n.BLIF N_125_i_1.BLIF N_94.BLIF N_127_i_1.BLIF N_88.BLIF \ - a_c_31__n.BLIF N_127_i_2.BLIF N_87.BLIF N_129_i_1.BLIF N_86.BLIF A0_c.BLIF pos_clk_un6_bg_030_1_n.BLIF N_84.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF \ - N_83.BLIF A1_c.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF N_116.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF G_149.BLIF nEXP_SPACE_c.BLIF RESET_OUT_0_sqmuxa_7_3.BLIF G_147.BLIF \ - RESET_OUT_0_sqmuxa_5_1.BLIF N_213.BLIF BERR_c.BLIF N_135_i_1.BLIF G_145.BLIF pos_clk_un27_clk_000_ne_d0_1_n.BLIF N_211.BLIF BG_030_c.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF \ - G_143.BLIF pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_209.BLIF BG_000DFFreg.BLIF pos_clk_un5_clk_000_pe_1_n.BLIF G_141.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF G_139.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF \ - N_205.BLIF BGACK_000_c.BLIF pos_clk_un9_clk_000_ne_1_n.BLIF G_137.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF RESET_OUT_0_sqmuxa_1.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF RESET_OUT_0_sqmuxa.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF \ - RESET_OUT_0_sqmuxa_7.BLIF N_196_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF CLK_OSZI_c.BLIF N_195_1.BLIF un1_rst_dly_i_m_8__n.BLIF pos_clk_un24_bgack_030_int_1_n.BLIF un1_rst_dly_i_m_7__n.BLIF N_165_1.BLIF \ - un1_rst_dly_i_m_6__n.BLIF CLK_EXP_c.BLIF N_165_2.BLIF un1_rst_dly_i_m_5__n.BLIF N_165_3.BLIF un1_rst_dly_i_m_4__n.BLIF N_163_1.BLIF un1_rst_dly_i_m_3__n.BLIF FPU_SENSE_c.BLIF \ - N_162_1.BLIF un1_rst_dly_i_m_2__n.BLIF N_176_1_0.BLIF N_38.BLIF IPL_030DFF_0_reg.BLIF DS_000_DMA_2_sqmuxa_1.BLIF N_85.BLIF N_119_i_1.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF \ - IPL_030DFF_1_reg.BLIF N_115_0_1.BLIF N_252.BLIF pos_clk_ipl_1_n.BLIF N_97.BLIF IPL_030DFF_2_reg.BLIF as_000_dma_0_un3_n.BLIF pos_clk_un27_clk_000_ne_d0_n.BLIF as_000_dma_0_un1_n.BLIF \ - N_199_1.BLIF ipl_c_0__n.BLIF as_000_dma_0_un0_n.BLIF pos_clk_un5_clk_000_pe_n.BLIF ds_000_dma_0_un3_n.BLIF pos_clk_un9_clk_000_ne_n.BLIF ipl_c_1__n.BLIF ds_000_dma_0_un1_n.BLIF N_150.BLIF \ - ds_000_dma_0_un0_n.BLIF N_151.BLIF ipl_c_2__n.BLIF vma_int_0_un3_n.BLIF N_199.BLIF vma_int_0_un1_n.BLIF N_196.BLIF vma_int_0_un0_n.BLIF N_195.BLIF \ - DTACK_c.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF N_188.BLIF sm_amiga_srsts_i_0_m2_1__un1_n.BLIF pos_clk_cpu_est_11_3__n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_197.BLIF cpu_est_0_3__un3_n.BLIF N_198.BLIF \ - VPA_c.BLIF cpu_est_0_3__un1_n.BLIF pos_clk_cpu_est_11_1__n.BLIF cpu_est_0_3__un0_n.BLIF N_194.BLIF cpu_est_0_2__un3_n.BLIF N_192.BLIF RST_c.BLIF cpu_est_0_2__un1_n.BLIF \ - N_191.BLIF cpu_est_0_2__un0_n.BLIF AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN \ - SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN + FC_0_.BLIF SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_159.BLIF N_21_i.BLIF bgack_030_int_0_un0_n.BLIF N_157.BLIF N_34_0.BLIF as_030_000_sync_0_un3_n.BLIF N_158.BLIF N_20_i.BLIF \ + as_030_000_sync_0_un1_n.BLIF un1_rst_dly_i_m_1__n.BLIF N_35_0.BLIF as_030_000_sync_0_un0_n.BLIF N_138.BLIF N_16_i.BLIF ds_000_enable_0_un3_n.BLIF N_88.BLIF N_39_0.BLIF \ + ds_000_enable_0_un1_n.BLIF inst_BGACK_030_INTreg.BLIF N_256.BLIF N_13_i.BLIF ds_000_enable_0_un0_n.BLIF vcc_n_n.BLIF N_89.BLIF N_42_0.BLIF a0_dma_0_un3_n.BLIF \ + cpu_est_3_reg.BLIF N_91.BLIF N_12_i.BLIF a0_dma_0_un1_n.BLIF inst_VMA_INTreg.BLIF N_92.BLIF N_43_0.BLIF a0_dma_0_un0_n.BLIF inst_RESET_OUTreg.BLIF \ + pos_clk_a0_dma_3_n.BLIF N_8_i.BLIF size_dma_0_1__un3_n.BLIF gnd_n_n.BLIF N_96.BLIF N_46_0.BLIF size_dma_0_1__un1_n.BLIF un1_amiga_bus_enable_low.BLIF N_72.BLIF \ + N_76_i.BLIF size_dma_0_1__un0_n.BLIF un6_as_030.BLIF N_97.BLIF N_72_0.BLIF size_dma_0_0__un3_n.BLIF un3_size.BLIF N_116.BLIF UDS_000_c_i.BLIF \ + size_dma_0_0__un1_n.BLIF un4_size.BLIF N_104.BLIF LDS_000_c_i.BLIF size_dma_0_0__un0_n.BLIF un5_ciin.BLIF N_76.BLIF N_256_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ + un8_ciin.BLIF pos_clk_size_dma_6_1__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF un4_as_000.BLIF pos_clk_size_dma_6_0__n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF un1_SM_AMIGA_7.BLIF \ + N_250.BLIF N_104_i.BLIF cpu_est_0_1__un3_n.BLIF un21_fpu_cs.BLIF pos_clk_un6_bgack_000_n.BLIF N_252_0.BLIF cpu_est_0_1__un1_n.BLIF un22_berr.BLIF N_251.BLIF \ + N_251_0.BLIF cpu_est_0_1__un0_n.BLIF un6_ds_030.BLIF N_252.BLIF pos_clk_un6_bgack_000_0_n.BLIF cpu_est_0_2__un3_n.BLIF un6_uds_000.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF N_97_i.BLIF \ + cpu_est_0_2__un1_n.BLIF un6_lds_000.BLIF pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_96_i.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_0_.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF N_250_0.BLIF cpu_est_0_3__un3_n.BLIF \ + cpu_est_1_.BLIF un22_berr_1.BLIF N_89_i.BLIF cpu_est_0_3__un1_n.BLIF inst_AS_000_INT.BLIF N_8.BLIF pos_clk_size_dma_6_0_0__n.BLIF cpu_est_0_3__un0_n.BLIF SM_AMIGA_5_.BLIF \ + N_12.BLIF N_88_i.BLIF ipl_030_0_0__un3_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_13.BLIF pos_clk_size_dma_6_0_1__n.BLIF ipl_030_0_0__un1_n.BLIF inst_AS_030_D0.BLIF N_16.BLIF \ + N_138_0.BLIF ipl_030_0_0__un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_20.BLIF un1_rst_dly_i_m_i_1__n.BLIF ipl_030_0_1__un3_n.BLIF inst_DS_030_D0.BLIF N_21.BLIF ipl_030_0_1__un1_n.BLIF \ + inst_AS_030_000_SYNC.BLIF N_11.BLIF N_157_i.BLIF ipl_030_0_1__un0_n.BLIF inst_BGACK_030_INT_D.BLIF un1_amiga_bus_enable_low_i.BLIF N_158_i.BLIF ipl_030_0_2__un3_n.BLIF inst_AS_000_DMA.BLIF \ + un21_fpu_cs_i.BLIF N_159_i.BLIF ipl_030_0_2__un1_n.BLIF inst_DS_000_DMA.BLIF BGACK_030_INT_i.BLIF ipl_030_0_2__un0_n.BLIF CYCLE_DMA_0_.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_257_0.BLIF \ + dsack1_int_0_un3_n.BLIF CYCLE_DMA_1_.BLIF AS_030_i.BLIF un1_as_000_i.BLIF dsack1_int_0_un1_n.BLIF SIZE_DMA_0_.BLIF AS_000_INT_i.BLIF N_93_i.BLIF dsack1_int_0_un0_n.BLIF \ + SIZE_DMA_1_.BLIF N_105_i.BLIF as_000_int_0_un3_n.BLIF inst_VPA_D.BLIF N_91_i.BLIF N_87_i.BLIF as_000_int_0_un1_n.BLIF inst_UDS_000_INT.BLIF N_92_i.BLIF \ + N_86_i.BLIF as_000_int_0_un0_n.BLIF inst_LDS_000_INT.BLIF a_i_19__n.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF lds_000_int_0_un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF a_i_18__n.BLIF un1_rst_dly_i_m_i_2__n.BLIF \ + lds_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF a_i_16__n.BLIF lds_000_int_0_un0_n.BLIF inst_CLK_OUT_PRE_50.BLIF size_dma_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF rw_000_int_0_un3_n.BLIF inst_CLK_000_D1.BLIF \ + size_dma_i_0__n.BLIF rw_000_int_0_un1_n.BLIF inst_CLK_000_D0.BLIF FPU_SENSE_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF rw_000_int_0_un0_n.BLIF inst_CLK_000_PE.BLIF CLK_000_D1_i.BLIF uds_000_int_0_un3_n.BLIF \ + CLK_000_P_SYNC_9_.BLIF CLK_000_D0_i.BLIF un1_rst_dly_i_m_i_5__n.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF AS_030_000_SYNC_i.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_11_.BLIF AS_030_D0_i.BLIF \ + un1_rst_dly_i_m_i_6__n.BLIF vma_int_0_un3_n.BLIF cpu_est_2_.BLIF sm_amiga_i_i_7__n.BLIF vma_int_0_un1_n.BLIF IPL_D0_0_.BLIF AS_000_i.BLIF un1_rst_dly_i_m_i_7__n.BLIF vma_int_0_un0_n.BLIF \ + IPL_D0_1_.BLIF CLK_000_PE_i.BLIF bg_000_0_un3_n.BLIF IPL_D0_2_.BLIF nEXP_SPACE_D0_i.BLIF un1_rst_dly_i_m_i_8__n.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_3_.BLIF A1_i.BLIF \ + bg_000_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF sm_amiga_i_6__n.BLIF un3_as_030_i.BLIF ds_000_dma_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF BERR_i.BLIF un1_as_030_i.BLIF ds_000_dma_0_un1_n.BLIF \ + SM_AMIGA_0_.BLIF sm_amiga_i_5__n.BLIF clk_000_n_sync_i_10__n.BLIF ds_000_dma_0_un0_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF RESET_OUT_0_sqmuxa_i.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF as_000_dma_0_un3_n.BLIF inst_DSACK1_INTreg.BLIF \ + un1_rst_dly_i_1__n.BLIF pos_clk_un14_clk_000_n_sync_0_n.BLIF as_000_dma_0_un1_n.BLIF RESET_OUT_i.BLIF CLK_EXP_c_i.BLIF as_000_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF RW_000_i.BLIF N_244_0.BLIF \ + a_15__n.BLIF pos_clk_ipl_n.BLIF un1_rst_dly_i_2__n.BLIF N_83_i.BLIF pos_clk_un3_ds_030_d0_n.BLIF un1_rst_dly_i_3__n.BLIF N_115_0.BLIF a_14__n.BLIF SM_AMIGA_6_.BLIF \ + un1_rst_dly_i_4__n.BLIF N_84_i.BLIF RST_DLY_0_.BLIF un1_rst_dly_i_5__n.BLIF N_245_0.BLIF a_13__n.BLIF RST_DLY_1_.BLIF un1_rst_dly_i_6__n.BLIF N_85_i.BLIF \ + RST_DLY_2_.BLIF un1_rst_dly_i_7__n.BLIF pos_clk_ds_000_dma_4_0_n.BLIF a_12__n.BLIF RST_DLY_3_.BLIF un1_rst_dly_i_8__n.BLIF N_94_i.BLIF RST_DLY_4_.BLIF CLK_OUT_PRE_D_i.BLIF \ + a_11__n.BLIF RST_DLY_5_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF RST_DLY_6_.BLIF CLK_030_H_i.BLIF a_10__n.BLIF RST_DLY_7_.BLIF AS_000_DMA_i.BLIF N_73_0.BLIF \ + pos_clk_un8_bg_030_n.BLIF VMA_INT_i.BLIF a_9__n.BLIF CLK_000_P_SYNC_0_.BLIF VPA_D_i.BLIF N_160_i.BLIF CLK_000_P_SYNC_1_.BLIF cpu_est_i_0__n.BLIF N_161_i.BLIF \ + a_8__n.BLIF CLK_000_P_SYNC_2_.BLIF cpu_est_i_1__n.BLIF CLK_000_P_SYNC_3_.BLIF cpu_est_i_3__n.BLIF N_155_i.BLIF a_7__n.BLIF CLK_000_P_SYNC_4_.BLIF a_i_24__n.BLIF \ + N_156_i.BLIF CLK_000_P_SYNC_5_.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF a_6__n.BLIF CLK_000_P_SYNC_6_.BLIF sm_amiga_i_0__n.BLIF N_154_i.BLIF CLK_000_P_SYNC_7_.BLIF sm_amiga_i_3__n.BLIF \ + N_152_i.BLIF a_5__n.BLIF CLK_000_P_SYNC_8_.BLIF CLK_000_NE_i.BLIF N_153_i.BLIF CLK_000_N_SYNC_0_.BLIF cpu_est_i_2__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_1_.BLIF \ + sm_amiga_i_1__n.BLIF N_150_i.BLIF CLK_000_N_SYNC_2_.BLIF sm_amiga_i_4__n.BLIF N_151_i.BLIF a_3__n.BLIF CLK_000_N_SYNC_3_.BLIF sm_amiga_i_2__n.BLIF CLK_000_N_SYNC_4_.BLIF \ + pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_149_i.BLIF a_2__n.BLIF CLK_000_N_SYNC_5_.BLIF DSACK1_INT_0_sqmuxa_i.BLIF N_147_i.BLIF CLK_000_N_SYNC_6_.BLIF RW_i.BLIF N_148_i.BLIF \ + CLK_000_N_SYNC_7_.BLIF un5_ciin_i.BLIF CLK_000_N_SYNC_8_.BLIF DTACK_D0_i.BLIF N_164_i.BLIF CLK_000_N_SYNC_9_.BLIF a_i_31__n.BLIF N_165_i.BLIF CLK_000_N_SYNC_10_.BLIF \ + a_i_29__n.BLIF N_163_i.BLIF inst_RW_000_INT.BLIF a_i_30__n.BLIF N_199_i.BLIF inst_RW_000_DMA.BLIF a_i_27__n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_un7_clk_000_pe_n.BLIF \ + a_i_28__n.BLIF N_197_i.BLIF inst_A0_DMA.BLIF a_i_25__n.BLIF N_198_i.BLIF DS_000_ENABLE_1_sqmuxa.BLIF a_i_26__n.BLIF N_194_i.BLIF inst_CLK_030_H.BLIF \ + UDS_000_INT_i.BLIF pos_clk_cpu_est_11_0_1__n.BLIF SM_AMIGA_1_.BLIF LDS_000_INT_i.BLIF N_192_i.BLIF SM_AMIGA_4_.BLIF DS_030_i.BLIF N_135_i.BLIF SM_AMIGA_2_.BLIF \ + N_224_i.BLIF N_162_i.BLIF inst_DS_000_ENABLE.BLIF N_225_i.BLIF N_191_i.BLIF AS_000_INT_1_sqmuxa.BLIF N_226_i.BLIF N_193_i.BLIF DSACK1_INT_1_sqmuxa.BLIF \ + N_190_i.BLIF pos_clk_ds_000_dma_4_n.BLIF N_189_i.BLIF N_3.BLIF DS_000_ENABLE_1_sqmuxa_i.BLIF N_188_i.BLIF un6_lds_000_i.BLIF N_195_i.BLIF un6_uds_000_i.BLIF \ + N_196_i.BLIF N_6.BLIF un6_ds_030_i.BLIF N_186_i.BLIF N_9.BLIF DS_000_DMA_i.BLIF N_143_0.BLIF N_10.BLIF un4_as_000_i.BLIF \ + N_142_0.BLIF N_14.BLIF un8_ciin_i.BLIF N_141_0.BLIF N_15.BLIF un6_as_030_i.BLIF N_140_0.BLIF N_17.BLIF DS_030_D0_i.BLIF \ + N_139_0.BLIF N_19.BLIF AS_030_c.BLIF N_166_i.BLIF N_22.BLIF N_137_i.BLIF N_23.BLIF AS_000_c.BLIF N_136_i.BLIF \ + N_24.BLIF N_133_i.BLIF N_25.BLIF RW_000_c.BLIF N_132_i.BLIF cpu_est_0_0_.BLIF N_146_i.BLIF DS_030_c.BLIF N_144_i.BLIF \ + UDS_000_c.BLIF un1_SM_AMIGA_7_i.BLIF pos_clk_un21_clk_000_ne_d0_i_n.BLIF LDS_000_c.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF pos_clk_un23_clk_000_ne_d0_0_n.BLIF size_c_0__n.BLIF pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ + size_c_1__n.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF size_c_i_1__n.BLIF N_25_i.BLIF N_32_0.BLIF N_24_i.BLIF N_31_0.BLIF \ + N_23_i.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF N_54_0.BLIF ipl_c_i_1__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF N_52_0.BLIF DTACK_c_i.BLIF \ + N_57_0.BLIF VPA_c_i.BLIF N_56_0.BLIF nEXP_SPACE_c_i.BLIF SM_AMIGA_i_7_.BLIF N_55_0.BLIF N_115.BLIF N_50_0.BLIF pos_clk_cpu_est_11_1__n.BLIF \ + N_3_i.BLIF pos_clk_cpu_est_11_3__n.BLIF N_49_0.BLIF N_220.BLIF N_6_i.BLIF G_165.BLIF N_48_0.BLIF G_166.BLIF N_9_i.BLIF \ + G_167.BLIF N_45_0.BLIF un6_uds_000_1.BLIF N_10_i.BLIF pos_clk_un24_bgack_030_int_i_0_n.BLIF a_c_16__n.BLIF N_44_0.BLIF N_244.BLIF N_14_i.BLIF \ + N_245.BLIF a_c_17__n.BLIF N_41_0.BLIF N_15_i.BLIF N_80.BLIF a_c_18__n.BLIF N_40_0.BLIF N_90.BLIF N_17_i.BLIF \ + N_100.BLIF a_c_19__n.BLIF N_38_0.BLIF N_105.BLIF N_19_i.BLIF pos_clk_un11_clk_000_n_sync_n.BLIF a_c_20__n.BLIF N_36_0.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF \ + N_22_i.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.BLIF a_c_21__n.BLIF N_33_0.BLIF N_135.BLIF BG_030_c_i.BLIF N_133.BLIF a_c_22__n.BLIF pos_clk_un6_bg_030_i_n.BLIF \ + N_190.BLIF pos_clk_un8_bg_030_0_n.BLIF N_188.BLIF a_c_23__n.BLIF N_127_i_1.BLIF N_189.BLIF N_127_i_2.BLIF N_136.BLIF a_c_24__n.BLIF \ + pos_clk_un11_ds_030_d0_i_1_n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_135_i_1.BLIF pos_clk_un29_clk_000_ne_d0_n.BLIF a_c_25__n.BLIF pos_clk_cpu_est_11_0_1_1__n.BLIF DSACK1_INT_0_sqmuxa.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un21_clk_000_ne_d0_n.BLIF \ + a_c_26__n.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF pos_clk_un5_clk_000_pe_n.BLIF N_195_1.BLIF pos_clk_un9_clk_000_ne_n.BLIF a_c_27__n.BLIF N_196_1.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF N_131_i_1.BLIF \ + pos_clk_un27_clk_000_ne_d0_n.BLIF a_c_28__n.BLIF N_131_i_2.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF N_131_i_3.BLIF N_144.BLIF a_c_29__n.BLIF N_119_i_1.BLIF N_146.BLIF \ + N_119_i_2.BLIF N_143.BLIF a_c_30__n.BLIF N_121_i_1.BLIF N_147.BLIF N_123_i_1.BLIF N_139.BLIF a_c_31__n.BLIF N_123_i_2.BLIF \ + N_148.BLIF N_125_i_1.BLIF N_149.BLIF A0_c.BLIF N_129_i_1.BLIF N_150.BLIF N_162_1.BLIF N_140.BLIF A1_c.BLIF \ + N_163_1.BLIF N_151.BLIF N_165_1.BLIF N_152.BLIF nEXP_SPACE_c.BLIF N_165_2.BLIF N_153.BLIF N_165_3.BLIF N_154.BLIF \ + BERR_c.BLIF un5_ciin_1.BLIF N_155.BLIF un5_ciin_2.BLIF N_141.BLIF BG_030_c.BLIF un5_ciin_3.BLIF N_156.BLIF un5_ciin_4.BLIF \ + N_160.BLIF BG_000DFFreg.BLIF un5_ciin_5.BLIF N_142.BLIF un5_ciin_6.BLIF N_161.BLIF un5_ciin_7.BLIF N_164.BLIF BGACK_000_c.BLIF \ + un5_ciin_8.BLIF N_166.BLIF un5_ciin_9.BLIF N_132.BLIF un5_ciin_10.BLIF N_191.BLIF un5_ciin_11.BLIF N_192.BLIF pos_clk_un9_clk_000_ne_1_n.BLIF \ + N_193.BLIF CLK_OSZI_c.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF N_194.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF N_197.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF N_198.BLIF CLK_EXP_c.BLIF \ + pos_clk_un5_clk_000_pe_1_n.BLIF N_195.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF N_196.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF N_162.BLIF FPU_SENSE_c.BLIF pos_clk_un27_clk_000_ne_d0_1_n.BLIF N_199.BLIF \ + pos_clk_un27_clk_000_ne_d0_2_n.BLIF N_199_1.BLIF IPL_030DFF_0_reg.BLIF pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_163.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF N_165.BLIF IPL_030DFF_1_reg.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF \ + N_73.BLIF RESET_OUT_0_sqmuxa_7_3.BLIF N_94.BLIF IPL_030DFF_2_reg.BLIF RESET_OUT_0_sqmuxa_5_1.BLIF N_85.BLIF N_87_1.BLIF N_84.BLIF ipl_c_0__n.BLIF \ + N_87_2.BLIF N_83.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF pos_clk_un9_clk_000_n_sync_n.BLIF ipl_c_1__n.BLIF pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF G_149.BLIF N_72_0_1.BLIF G_147.BLIF \ + ipl_c_2__n.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF N_213.BLIF un21_fpu_cs_1.BLIF G_145.BLIF un22_berr_1_0.BLIF N_211.BLIF DTACK_c.BLIF N_116_1.BLIF \ + G_143.BLIF N_116_2.BLIF N_209.BLIF N_116_3.BLIF G_141.BLIF N_116_4.BLIF G_139.BLIF VPA_c.BLIF pos_clk_un6_bg_030_1_n.BLIF \ + N_205.BLIF N_115_0_1.BLIF G_137.BLIF N_245_0_1.BLIF RESET_OUT_0_sqmuxa_1.BLIF RST_c.BLIF N_247_i_1.BLIF RESET_OUT_0_sqmuxa.BLIF N_248_i_1.BLIF \ + RESET_OUT_0_sqmuxa_7.BLIF N_249_i_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF RW_c.BLIF pos_clk_ipl_1_n.BLIF un1_rst_dly_i_m_8__n.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF un1_rst_dly_i_m_7__n.BLIF fc_c_0__n.BLIF \ + amiga_bus_enable_dma_high_0_un1_n.BLIF un1_rst_dly_i_m_6__n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF un1_rst_dly_i_m_5__n.BLIF fc_c_1__n.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF un1_rst_dly_i_m_4__n.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF un1_rst_dly_i_m_3__n.BLIF \ + amiga_bus_enable_dma_low_0_un0_n.BLIF un1_rst_dly_i_m_2__n.BLIF AMIGA_BUS_DATA_DIR_c.BLIF rw_000_dma_0_un3_n.BLIF N_86.BLIF rw_000_dma_0_un1_n.BLIF N_93.BLIF rw_000_dma_0_un0_n.BLIF N_87.BLIF \ + bgack_030_int_0_un3_n.BLIF G_135.BLIF bgack_030_int_0_un1_n.BLIF AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN \ + SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E VMA RESET \ - AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C \ - SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D \ - SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C \ - IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C RST_DLY_0_.D \ - RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C \ - RST_DLY_6_.D RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ - SIZE_DMA_1_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C \ - CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ - CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C \ - CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D \ - CLK_000_P_SYNC_7_.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_A0_DMA.D inst_A0_DMA.C \ - inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D \ - inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUTreg.D inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ - BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_RW_000_DMA.D \ - inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ - inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D inst_CLK_000_D0.C G_159.X1 G_159.X2 cpu_est_0_0_.X1 \ - cpu_est_0_0_.X2 pos_clk_RST_DLY_5_iv_0_x2_0_.X1 pos_clk_RST_DLY_5_iv_0_x2_0_.X2 G_137.X1 G_137.X2 G_149.X1 G_149.X2 G_147.X1 G_147.X2 G_145.X1 G_145.X2 \ - G_143.X1 G_143.X2 G_141.X1 G_141.X2 G_139.X1 G_139.X2 G_167.X1 G_167.X2 G_165.X1 G_165.X2 G_166.X1 \ - G_166.X2 G_161.X1 G_161.X2 SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_193 cpu_est_0_1__un3_n N_190 RW_c cpu_est_0_1__un1_n N_189 cpu_est_0_1__un0_n N_140 \ - fc_c_0__n bgack_030_int_0_un3_n pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n pos_clk_un23_clk_000_ne_d0_n fc_c_1__n bgack_030_int_0_un0_n pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n vcc_n_n pos_clk_un7_clk_000_pe_n \ - un1_amiga_bus_enable_dma_high_i_m4_0__un1_n N_18 AMIGA_BUS_DATA_DIR_c un1_amiga_bus_enable_dma_high_i_m4_0__un0_n N_22 ds_000_enable_1_sqmuxa_1_i_m4_un3_n pos_clk_un11_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n pos_clk_un9_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un0_n \ - un1_amiga_bus_enable_low pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n un6_as_030 pos_clk_un22_bgack_030_int_n N_6_i size_dma_0_0__un1_n un3_size N_48_0 size_dma_0_0__un0_n un4_size \ - N_220 N_3_i size_dma_0_1__un3_n un8_ciin N_49_0 size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 \ - CLK_030_H_0_sqmuxa pos_clk_un26_bgack_030_int_i_n ipl_030_0_0__un3_n un21_fpu_cs AS_000_DMA_1_sqmuxa pos_clk_un27_bgack_030_int_0_n ipl_030_0_0__un1_n un22_berr pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i ipl_030_0_0__un0_n \ - un6_ds_030 pos_clk_un27_bgack_030_int_n N_7_i ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 ipl_030_0_1__un1_n un6_lds_000 N_165 N_133_i \ - ipl_030_0_1__un0_n N_133 N_176_i ipl_030_0_2__un3_n N_163 N_175_i ipl_030_0_2__un1_n N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n N_164 \ - pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n N_176 N_162_i dsack1_int_0_un1_n DS_000_DMA_2_sqmuxa N_163_i dsack1_int_0_un0_n pos_clk_ds_000_dma_4_n N_164_i as_000_int_0_un3_n \ - DS_000_DMA_0_sqmuxa N_165_i as_000_int_0_un1_n pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n N_175 pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n N_47 pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n \ - N_7 clk_000_n_sync_i_10__n ds_000_enable_0_un0_n un1_rst_2 pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n pos_clk_un29_bgack_030_int_n N_33_0 \ - as_030_000_sync_0_un0_n N_3 N_18_i lds_000_int_0_un3_n N_6 N_37_0 lds_000_int_0_un1_n un1_amiga_bus_enable_low_i pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n un21_fpu_cs_i \ - pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n AS_000_i pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n DS_000_DMA_i pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n pos_clk_un24_bgack_030_int_i_n pos_clk_un21_clk_000_ne_d0_i_n rw_000_dma_0_un3_n \ - cycle_dma_i_1__n pos_clk_un23_clk_000_ne_d0_0_n rw_000_dma_0_un1_n cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n AS_000_DMA_i N_140_0 uds_000_int_0_un3_n CLK_EXP_i N_195_i \ - uds_000_int_0_un1_n BERR_i N_196_i uds_000_int_0_un0_n RW_000_i N_186_i amiga_bus_enable_dma_low_0_un3_n DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n pos_clk_un40_bgack_030_int_1_i_n \ - N_189_i amiga_bus_enable_dma_low_0_un0_n BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n nEXP_SPACE_D0_i N_193_i amiga_bus_enable_dma_high_0_un1_n CLK_000_PE_i N_191_i amiga_bus_enable_dma_high_0_un0_n \ - CLK_000_NE_i N_192_i bg_000_0_un3_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n sm_amiga_i_0__n pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n pos_clk_un7_clk_000_d0_i_n \ - N_198_i a0_dma_0_un3_n UDS_000_i N_197_i a0_dma_0_un1_n LDS_000_i N_199_i a0_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n pos_clk_cpu_est_11_0_3__n \ - a_23__n pos_clk_ipl_n CLK_OUT_PRE_D_i N_151_i pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n sm_amiga_i_2__n AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n \ - N_135_i a_21__n cpu_est_i_0__n N_252_0 cpu_est_i_3__n N_85_i a_20__n cpu_est_i_2__n cpu_est_i_1__n N_38_0 a_15__n \ - VPA_D_i un1_rst_dly_i_m_i_2__n VMA_INT_i a_14__n sm_amiga_i_1__n un1_rst_dly_i_m_i_3__n RESET_OUT_0_sqmuxa_i a_13__n pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n \ - un1_rst_dly_i_2__n a_12__n un1_rst_dly_i_3__n un1_rst_dly_i_m_i_5__n un1_rst_dly_i_4__n a_11__n un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n un1_rst_dly_i_6__n a_10__n un1_rst_dly_i_7__n \ - un1_rst_dly_i_m_i_7__n un1_rst_dly_i_8__n a_9__n RESET_OUT_i un1_rst_dly_i_m_i_8__n AS_030_D0_i a_8__n AS_030_i un3_as_030_i A1_i N_76_i \ - a_7__n CLK_000_D1_i N_83_i sm_amiga_i_i_7__n a_6__n N_248_i N_84_i sm_amiga_i_5__n N_115_0 a_5__n RW_i \ - N_86_i CLK_000_D0_i pos_clk_size_dma_6_0_1__n a_4__n AS_030_000_SYNC_i N_87_i sm_amiga_i_6__n pos_clk_size_dma_6_0_0__n a_3__n sm_amiga_i_4__n N_88_i \ - pos_clk_un5_bgack_030_int_d_n FPU_SENSE_i a_2__n size_dma_i_0__n N_241_0 size_dma_i_1__n N_242_0 a_i_16__n N_243_0 a_i_18__n N_93_i \ - a_i_19__n N_94_i a_i_30__n N_244_0 a_i_31__n N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n N_246_0 a_i_29__n pos_clk_un3_as_030_d0_i_n \ - a_i_26__n pos_clk_un5_bgack_030_int_d_i_n a_i_27__n N_249_i pos_clk_a0_dma_3_n a_i_24__n N_251_0 a_i_25__n N_71_0 LDS_000_INT_i N_104_i \ - N_8 DS_030_i N_137_i N_9 UDS_000_INT_i N_10 N_224_i N_160_i N_11 N_225_i N_161_i \ - N_12 N_226_i N_13 N_159_i N_14 N_157_i N_15 N_158_i N_16 N_91_i N_19 \ - N_90_i N_155_i N_20 un14_amiga_bus_data_dir_i N_156_i N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 \ - un6_uds_000_i N_152_i N_25 un6_ds_030_i N_153_i un4_as_000_i N_142_0 AS_000_INT_i N_141_0 un6_as_030_i N_138_0 \ - AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i DS_030_D0_i un1_as_030_i AS_030_c pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c size_c_i_1__n N_25_i RW_000_c \ - N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 ipl_c_i_2__n LDS_000_c N_54_0 ipl_c_i_1__n \ - size_c_0__n N_53_0 ipl_c_i_0__n size_c_1__n N_52_0 DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 \ - N_50_0 N_8_i N_46_0 N_9_i N_45_0 N_10_i N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n N_43_0 \ - pos_clk_size_dma_6_1__n N_13_i N_42_0 N_14_i N_41_0 un6_uds_000_1 N_15_i N_241 N_40_0 N_242 N_16_i \ - N_243 N_39_0 N_244 N_19_i N_245 N_36_0 N_246 N_20_i N_78 N_35_0 N_80 \ - N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 pos_clk_un6_bg_030_i_n N_98 a_c_17__n pos_clk_un8_bg_030_0_n \ - N_99 N_251_0_1 N_249 a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n pos_clk_cpu_est_11_0_1_1__n N_136 \ - pos_clk_cpu_est_11_0_2_1__n pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 \ - N_155 un8_ciin_2 N_141 un8_ciin_3 N_156 un8_ciin_4 N_157 a_c_24__n un8_ciin_5 N_138 un8_ciin_6 \ - N_158 a_c_25__n un8_ciin_7 N_159 un8_ciin_8 N_160 a_c_26__n N_116_1 N_142 N_116_2 N_161 \ - a_c_27__n N_116_3 N_132 N_116_4 N_104 a_c_28__n un22_berr_1_0 N_76 un21_fpu_cs_1 N_71 a_c_29__n \ - N_123_i_1 N_251 N_123_i_2 N_93 a_c_30__n N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 \ - N_87 N_129_i_1 N_86 A0_c pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c RESET_OUT_0_sqmuxa_7_1 N_116 \ - RESET_OUT_0_sqmuxa_7_2 nEXP_SPACE_c RESET_OUT_0_sqmuxa_7_3 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 pos_clk_un27_clk_000_ne_d0_1_n N_211 BG_030_c pos_clk_un27_clk_000_ne_d0_2_n \ - pos_clk_un27_clk_000_ne_d0_3_n N_209 pos_clk_un5_clk_000_pe_1_n pos_clk_un5_clk_000_pe_2_n pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c pos_clk_un9_clk_000_ne_1_n pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 pos_clk_un9_clk_000_ne_3_n \ - RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n N_165_1 \ - un1_rst_dly_i_m_6__n CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 un1_rst_dly_i_m_2__n \ - N_176_1_0 N_38 DS_000_DMA_2_sqmuxa_1 N_85 N_119_i_1 N_115_0_1 N_252 pos_clk_ipl_1_n N_97 as_000_dma_0_un3_n pos_clk_un27_clk_000_ne_d0_n \ - as_000_dma_0_un1_n N_199_1 ipl_c_0__n as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n ds_000_dma_0_un3_n pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 ds_000_dma_0_un0_n \ - N_151 ipl_c_2__n vma_int_0_un3_n N_199 vma_int_0_un1_n N_196 vma_int_0_un0_n N_195 DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 \ - sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_cpu_est_11_3__n sm_amiga_srsts_i_0_m2_1__un0_n N_197 cpu_est_0_3__un3_n N_198 VPA_c cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 \ - cpu_est_0_2__un3_n N_192 RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n AS_030.OE AS_000.OE RW_000.OE \ - DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE \ - DSACK1.OE CIIN.OE + AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C \ + IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D \ + SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C \ + cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C CLK_000_N_SYNC_9_.D \ + CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ + RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C RST_DLY_6_.D RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D \ + CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C \ + CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ + CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ + CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D \ + CLK_000_P_SYNC_4_.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUTreg.D inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ + inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_A0_DMA.D \ + inst_A0_DMA.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C \ + inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D \ + inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ + inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D inst_CLK_000_D0.C pos_clk_un24_bgack_030_int_i_0_x2.X1 pos_clk_un24_bgack_030_int_i_0_x2.X2 G_135.X1 \ + G_135.X2 G_149.X1 G_149.X2 G_147.X1 G_147.X2 G_145.X1 G_145.X2 G_143.X1 G_143.X2 G_141.X1 G_141.X2 \ + G_139.X1 G_139.X2 G_137.X1 G_137.X2 cpu_est_0_0_.X1 cpu_est_0_0_.X2 pos_clk_CYCLE_DMA_5_0_i_x2.X1 pos_clk_CYCLE_DMA_5_0_i_x2.X2 pos_clk_CYCLE_DMA_5_1_i_x2.X1 pos_clk_CYCLE_DMA_5_1_i_x2.X2 G_165.X1 \ + G_165.X2 G_166.X1 G_166.X2 G_167.X1 G_167.X2 SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_159 N_21_i bgack_030_int_0_un0_n N_157 N_34_0 as_030_000_sync_0_un3_n \ + N_158 N_20_i as_030_000_sync_0_un1_n un1_rst_dly_i_m_1__n N_35_0 as_030_000_sync_0_un0_n N_138 N_16_i ds_000_enable_0_un3_n N_88 N_39_0 \ + ds_000_enable_0_un1_n N_256 N_13_i ds_000_enable_0_un0_n vcc_n_n N_89 N_42_0 a0_dma_0_un3_n N_91 N_12_i a0_dma_0_un1_n \ + N_92 N_43_0 a0_dma_0_un0_n pos_clk_a0_dma_3_n N_8_i size_dma_0_1__un3_n gnd_n_n N_96 N_46_0 size_dma_0_1__un1_n un1_amiga_bus_enable_low \ + N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 N_72_0 size_dma_0_0__un3_n un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n \ + un4_size N_104 LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 N_256_i un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n pos_clk_un5_bgack_030_int_d_i_n \ + un1_amiga_bus_enable_dma_high_i_m2_0__un1_n un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_SM_AMIGA_7 N_250 N_104_i cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n \ + N_252_0 cpu_est_0_1__un1_n un22_berr N_251 N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 \ + pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n un6_lds_000 N_96_i cpu_est_0_2__un0_n DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n un22_berr_1 N_89_i \ + cpu_est_0_3__un1_n N_8 pos_clk_size_dma_6_0_0__n cpu_est_0_3__un0_n N_12 N_88_i ipl_030_0_0__un3_n N_13 pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n N_16 \ + N_138_0 ipl_030_0_0__un0_n N_20 un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n N_21 ipl_030_0_1__un1_n N_11 N_157_i ipl_030_0_1__un0_n un1_amiga_bus_enable_low_i \ + N_158_i ipl_030_0_2__un3_n un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n BGACK_030_INT_i ipl_030_0_2__un0_n AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 dsack1_int_0_un3_n AS_030_i \ + un1_as_000_i dsack1_int_0_un1_n AS_000_INT_i N_93_i dsack1_int_0_un0_n N_105_i as_000_int_0_un3_n N_91_i N_87_i as_000_int_0_un1_n N_92_i \ + N_86_i as_000_int_0_un0_n a_i_19__n AMIGA_BUS_DATA_DIR_c_0 lds_000_int_0_un3_n a_i_18__n un1_rst_dly_i_m_i_2__n lds_000_int_0_un1_n a_i_16__n lds_000_int_0_un0_n size_dma_i_1__n \ + un1_rst_dly_i_m_i_3__n rw_000_int_0_un3_n size_dma_i_0__n rw_000_int_0_un1_n FPU_SENSE_i un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n CLK_000_D1_i uds_000_int_0_un3_n CLK_000_D0_i un1_rst_dly_i_m_i_5__n \ + uds_000_int_0_un1_n AS_030_000_SYNC_i uds_000_int_0_un0_n AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n sm_amiga_i_i_7__n vma_int_0_un1_n AS_000_i un1_rst_dly_i_m_i_7__n vma_int_0_un0_n \ + CLK_000_PE_i bg_000_0_un3_n nEXP_SPACE_D0_i un1_rst_dly_i_m_i_8__n bg_000_0_un1_n A1_i bg_000_0_un0_n sm_amiga_i_6__n un3_as_030_i ds_000_dma_0_un3_n pos_clk_un6_bg_030_n \ + BERR_i un1_as_030_i ds_000_dma_0_un1_n sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n RESET_OUT_0_sqmuxa_i pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n \ + as_000_dma_0_un1_n RESET_OUT_i CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n RW_000_i N_244_0 a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i \ + pos_clk_un3_ds_030_d0_n un1_rst_dly_i_3__n N_115_0 a_14__n un1_rst_dly_i_4__n N_84_i un1_rst_dly_i_5__n N_245_0 a_13__n un1_rst_dly_i_6__n N_85_i \ + un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n un1_rst_dly_i_8__n N_94_i CLK_OUT_PRE_D_i a_11__n pos_clk_un11_clk_000_n_sync_i_n CLK_030_H_i a_10__n AS_000_DMA_i \ + N_73_0 pos_clk_un8_bg_030_n VMA_INT_i a_9__n VPA_D_i N_160_i cpu_est_i_0__n N_161_i a_8__n cpu_est_i_1__n cpu_est_i_3__n \ + N_155_i a_7__n a_i_24__n N_156_i pos_clk_un7_clk_000_d0_i_n a_6__n sm_amiga_i_0__n N_154_i sm_amiga_i_3__n N_152_i a_5__n \ + CLK_000_NE_i N_153_i cpu_est_i_2__n a_4__n sm_amiga_i_1__n N_150_i sm_amiga_i_4__n N_151_i a_3__n sm_amiga_i_2__n pos_clk_un29_clk_000_ne_d0_i_n \ + N_149_i a_2__n DSACK1_INT_0_sqmuxa_i N_147_i RW_i N_148_i un5_ciin_i DTACK_D0_i N_164_i a_i_31__n N_165_i \ + a_i_29__n N_163_i a_i_30__n N_199_i a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n a_i_28__n N_197_i a_i_25__n N_198_i \ + DS_000_ENABLE_1_sqmuxa a_i_26__n N_194_i UDS_000_INT_i pos_clk_cpu_est_11_0_1__n LDS_000_INT_i N_192_i DS_030_i N_135_i N_224_i N_162_i \ + N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i N_3 DS_000_ENABLE_1_sqmuxa_i \ + N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i N_6 un6_ds_030_i N_186_i N_9 DS_000_DMA_i N_143_0 \ + N_10 un4_as_000_i N_142_0 N_14 un8_ciin_i N_141_0 N_15 un6_as_030_i N_140_0 N_17 DS_030_D0_i \ + N_139_0 N_19 AS_030_c N_166_i N_22 N_137_i N_23 AS_000_c N_136_i N_24 N_133_i \ + N_25 RW_000_c N_132_i N_146_i DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i pos_clk_un21_clk_000_ne_d0_i_n LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n \ + pos_clk_un23_clk_000_ne_d0_0_n size_c_0__n pos_clk_un5_clk_000_pe_i_n pos_clk_un9_clk_000_ne_i_n size_c_1__n pos_clk_un7_clk_000_pe_0_n pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i N_32_0 \ + N_24_i N_31_0 N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 DTACK_c_i \ + N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_115 N_50_0 pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n N_49_0 \ + N_220 N_6_i N_48_0 N_9_i N_45_0 un6_uds_000_1 N_10_i pos_clk_un24_bgack_030_int_i_0_n a_c_16__n N_44_0 N_244 \ + N_14_i N_245 a_c_17__n N_41_0 N_15_i N_80 a_c_18__n N_40_0 N_90 N_17_i N_100 \ + a_c_19__n N_38_0 N_105 N_19_i pos_clk_un11_clk_000_n_sync_n a_c_20__n N_36_0 N_22_i a_c_21__n N_33_0 N_135 \ + BG_030_c_i N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 pos_clk_un8_bg_030_0_n N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 \ + N_136 a_c_24__n pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n pos_clk_un21_clk_000_ne_d0_n \ + a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n N_131_i_1 pos_clk_un27_clk_000_ne_d0_n a_c_28__n \ + N_131_i_2 pos_clk_un14_clk_000_n_sync_n N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 N_119_i_2 N_143 a_c_30__n N_121_i_1 \ + N_147 N_123_i_1 N_139 a_c_31__n N_123_i_2 N_148 N_125_i_1 N_149 A0_c N_129_i_1 N_150 \ + N_162_1 N_140 A1_c N_163_1 N_151 N_165_1 N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 \ + N_154 BERR_c un5_ciin_1 N_155 un5_ciin_2 N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 \ + un5_ciin_5 N_142 un5_ciin_6 N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 N_166 un5_ciin_9 N_132 \ + un5_ciin_10 N_191 un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n N_193 CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 \ + pos_clk_un9_clk_000_ne_4_n N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c pos_clk_un27_clk_000_ne_d0_1_n \ + N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 RESET_OUT_0_sqmuxa_7_2 N_73 RESET_OUT_0_sqmuxa_7_3 N_94 \ + RESET_OUT_0_sqmuxa_5_1 N_85 N_87_1 N_84 ipl_c_0__n N_87_2 N_83 pos_clk_un24_bgack_030_int_i_0_0_1_n pos_clk_un9_clk_000_n_sync_n ipl_c_1__n pos_clk_un24_bgack_030_int_i_0_0_2_n \ + N_72_0_1 ipl_c_2__n pos_clk_un7_clk_000_d0_1_n N_213 un21_fpu_cs_1 un22_berr_1_0 N_211 DTACK_c N_116_1 N_116_2 N_209 \ + N_116_3 N_116_4 VPA_c pos_clk_un6_bg_030_1_n N_205 N_115_0_1 N_245_0_1 RESET_OUT_0_sqmuxa_1 RST_c N_247_i_1 RESET_OUT_0_sqmuxa \ + N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 RESET_OUT_0_sqmuxa_5 RW_c pos_clk_ipl_1_n un1_rst_dly_i_m_8__n amiga_bus_enable_dma_high_0_un3_n un1_rst_dly_i_m_7__n fc_c_0__n amiga_bus_enable_dma_high_0_un1_n \ + un1_rst_dly_i_m_6__n amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n fc_c_1__n amiga_bus_enable_dma_low_0_un3_n un1_rst_dly_i_m_4__n amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c \ + rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 rw_000_dma_0_un0_n N_87 bgack_030_int_0_un3_n bgack_030_int_0_un1_n AS_030.OE \ + AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE \ + RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE .names un6_as_030_i.BLIF AS_030 1 1 .names AS_030.PIN AS_030_c @@ -243,13 +243,13 @@ 1 1 .names AS_000.PIN AS_000_c 1 1 -.names N_98.BLIF AS_000.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 .names inst_RW_000_INT.BLIF RW_000 1 1 .names RW_000.PIN RW_000_c 1 1 -.names N_98.BLIF RW_000.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 .names un6_ds_030_i.BLIF DS_030 1 1 @@ -261,13 +261,13 @@ 1 1 .names UDS_000.PIN UDS_000_c 1 1 -.names N_98.BLIF UDS_000.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 .names un6_lds_000_i.BLIF LDS_000 1 1 .names LDS_000.PIN LDS_000_c 1 1 -.names N_98.BLIF LDS_000.OE +.names un1_as_000_i.BLIF LDS_000.OE 1 1 .names un4_size.BLIF SIZE_0_ 1 1 @@ -297,7 +297,7 @@ 1 1 .names RW.PIN RW_c 1 1 -.names N_99.BLIF RW.OE +.names N_100.BLIF RW.OE 1 1 .names gnd_n_n.BLIF CLK_DIV_OUT 1 1 @@ -307,1624 +307,1626 @@ 1 1 .names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE 1 1 -.names vcc_n_n.BLIF CIIN +.names un5_ciin.BLIF CIIN 1 1 -.names un8_ciin.BLIF CIIN.OE +.names un8_ciin_i.BLIF CIIN.OE 1 1 -.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n +.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 11 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_13 -1- 1 --1 1 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n -11 1 -.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF pos_clk_un40_bgack_030_int_1_n -11 1 -.names N_115.BLIF rw_000_int_0_un3_n +.names N_252.BLIF size_dma_0_0__un3_n 0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF pos_clk_un7_clk_000_d0_1_n +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n 11 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names N_245.BLIF N_115.BLIF rw_000_int_0_un1_n -11 1 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -.names pos_clk_un7_clk_000_d0_1_n.BLIF inst_nEXP_SPACE_D0reg.BLIF pos_clk_un7_clk_000_d0_n -11 1 -.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n -0 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 -11 1 -.names sm_amiga_i_2__n.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_151 -11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_14 -1- 1 --1 1 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -.names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 -11 1 -.names N_140.BLIF sm_amiga_i_3__n.BLIF N_150 -11 1 -.names un14_amiga_bus_data_dir.BLIF un14_amiga_bus_data_dir_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C -1 1 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -.names a_i_29__n.BLIF a_i_30__n.BLIF un8_ciin_5 -11 1 -.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_n -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n -0 1 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -.names un8_ciin_1.BLIF un8_ciin_2.BLIF un8_ciin_6 -11 1 -.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF pos_clk_un23_clk_000_ne_d0_0_n -11 1 -.names un14_amiga_bus_data_dir_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un1_n -11 1 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -.names un8_ciin_3.BLIF un8_ciin_4.BLIF un8_ciin_7 -11 1 -.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF pos_clk_un7_clk_000_pe_0_n -11 1 -.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -.names un8_ciin_6.BLIF un8_ciin_7.BLIF un8_ciin_8 -11 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_15 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -.names un8_ciin_8.BLIF un8_ciin_5.BLIF un8_ciin -11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n -11 1 -.names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n -0 1 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n -0 1 -.names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n -11 1 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 -11 1 -.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n -11 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C 1 1 .names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D 1 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 +.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 11 1 -.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +.names pos_clk_size_dma_6_0__n.BLIF N_252.BLIF size_dma_0_0__un1_n 11 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_16 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 1- 1 -1 1 .names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D 1 1 -.names N_116_1.BLIF N_116_2.BLIF N_116_4 +.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 11 1 -.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_reg.D +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n +11 1 +.names DS_000_ENABLE_1_sqmuxa.BLIF DS_000_ENABLE_1_sqmuxa_i +0 1 +.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D +1 1 +.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 +11 1 +.names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 -1 1 -.names N_90.BLIF N_90_i +.names N_115.BLIF rw_000_int_0_un3_n 0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C +.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D 1 1 -.names CLK_000_P_SYNC_9_.BLIF inst_CLK_000_PE.D +.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 +11 1 +.names N_8_i.BLIF RST_c.BLIF N_46_0 +11 1 +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_115.BLIF rw_000_int_0_un1_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C 1 1 -.names N_116_4.BLIF N_116_3.BLIF N_116 -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n -0 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D +.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D 1 1 -.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 +.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 11 1 -.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n +.names N_12_i.BLIF RST_c.BLIF N_43_0 11 1 -.names N_90_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un1_n +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n 11 1 -.names un22_berr_1_0.BLIF N_116.BLIF un22_berr -11 1 -.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n -11 1 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D +.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D 1 1 -.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 +.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 11 1 -.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D +.names N_13_i.BLIF RST_c.BLIF N_42_0 +11 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_15 1- 1 -1 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_19 +.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D +1 1 +.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 +11 1 +.names N_16_i.BLIF RST_c.BLIF N_39_0 +11 1 +.names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n +0 1 +.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D +1 1 +.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 +11 1 +.names N_20_i.BLIF RST_c.BLIF N_35_0 +11 1 +.names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C +1 1 +.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D +1 1 +.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 +11 1 +.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i +0 1 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D +1 1 +.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin +11 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_17 1- 1 -1 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs +.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D +1 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n 11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n +.names inst_CLK_000_PE.BLIF CLK_000_PE_i 0 1 -.names N_91.BLIF N_91_i +.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n 0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C +.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D +1 1 +.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n +11 1 +.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_76_i +11 1 +.names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C +1 1 +.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D +1 1 +.names N_121_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D +11 1 +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +0 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D 1 1 .names N_152_i.BLIF N_153_i.BLIF N_123_i_1 11 1 -.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n 0 1 -.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n -11 1 -.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un1_n -11 1 -.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n -11 1 -.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_19 1- 1 -1 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n -11 1 -.names N_194_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n -11 1 -.names N_18_i.BLIF RST_c.BLIF N_37_0 -11 1 -.names amiga_bus_enable_dma_high_0_un1_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C +.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D 1 1 -.names N_191_i.BLIF N_193_i.BLIF pos_clk_cpu_est_11_0_2_1__n +.names N_154_i.BLIF RST_c.BLIF N_123_i_2 11 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 +.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un1_n 11 1 .names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n 0 1 -.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_cpu_est_11_0_1__n +.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D +1 1 +.names N_123_i_1.BLIF N_123_i_2.BLIF SM_AMIGA_3_.D +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n 11 1 -.names N_97.BLIF bgack_030_int_0_un3_n -0 1 .names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n 11 1 -.names N_163_i.BLIF N_137_i.BLIF N_131_i_1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C +1 1 +.names CLK_000_P_SYNC_9_.BLIF inst_CLK_000_PE.D +1 1 +.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 11 1 -.names inst_BGACK_030_INTreg.BLIF N_97.BLIF bgack_030_int_0_un1_n -11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names N_162_i.BLIF N_164_i.BLIF N_131_i_2 -11 1 -.names BGACK_000_c.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n -11 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_21 +.names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_80 1- 1 -1 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D +1 1 +.names N_125_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D +11 1 +.names inst_AS_030_D0.BLIF AS_030_D0_i +0 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_22 +1- 1 +-1 1 +.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 +11 1 +.names AS_030_D0_i.BLIF BERR_c.BLIF N_105 +11 1 +.names N_22_i.BLIF RST_c.BLIF N_33_0 +11 1 +.names N_129_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D +11 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names N_19_i.BLIF RST_c.BLIF N_36_0 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C +1 1 +.names N_135.BLIF BERR_i.BLIF N_162_1 +11 1 +.names N_105.BLIF un1_SM_AMIGA_7.BLIF DS_000_ENABLE_1_sqmuxa_1 +11 1 +.names N_17_i.BLIF RST_c.BLIF N_38_0 +11 1 +.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 +11 1 +.names inst_CLK_000_D0.BLIF CLK_000_D0_i +0 1 +.names N_15_i.BLIF RST_c.BLIF N_40_0 +11 1 +.names N_133.BLIF BERR_i.BLIF N_163_1 +11 1 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n +11 1 +.names N_14_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 +11 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names N_10_i.BLIF RST_c.BLIF N_44_0 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C +1 1 +.names N_133_i.BLIF N_135_i.BLIF N_165_1 +11 1 +.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D +11 1 +.names N_9_i.BLIF RST_c.BLIF N_45_0 +11 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 +11 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names inst_DS_030_D0.BLIF DS_030_D0_i +0 1 +.names N_165_1.BLIF N_165_2.BLIF N_165_3 +11 1 +.names A1_c.BLIF A1_i +0 1 +.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n +11 1 +.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_cpu_est_11_0_1__n +11 1 +.names A1_i.BLIF BGACK_030_INT_i.BLIF N_92 +11 1 +.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C +1 1 +.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n +11 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 +.names un6_as_030.BLIF un6_as_030_i +0 1 +.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n +11 1 +.names N_72.BLIF N_105.BLIF N_96 +11 1 +.names un8_ciin.BLIF un8_ciin_i +0 1 +.names N_188.BLIF cpu_est_0_.BLIF N_195_1 +11 1 +.names N_105.BLIF N_116.BLIF N_97 +11 1 +.names un4_as_000.BLIF un4_as_000_i +0 1 +.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 +11 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_104 +11 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C +1 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 +11 1 +.names N_88_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +11 1 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 +11 1 +.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 +11 1 +.names N_89_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names un6_ds_030.BLIF un6_ds_030_i +0 1 +.names N_137_i.BLIF N_162_i.BLIF N_131_i_1 +11 1 +.names N_96_i.BLIF N_97_i.BLIF N_250_0 +11 1 +.names un6_uds_000.BLIF un6_uds_000_i +0 1 +.names N_163_i.BLIF N_164_i.BLIF N_131_i_2 +11 1 +.names BGACK_000_c.BLIF N_76.BLIF pos_clk_un6_bgack_000_0_n +11 1 +.names un6_lds_000.BLIF un6_lds_000_i +0 1 .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C 1 1 .names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 11 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_22 -1- 1 --1 1 -.names inst_DS_030_D0.BLIF DS_030_D0_i +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_251_0 +11 1 +.names N_245.BLIF ds_000_dma_0_un3_n 0 1 .names N_131_i_3.BLIF N_165_i.BLIF SM_AMIGA_i_7_.D 11 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i +.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_252_0 11 1 -.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n +.names pos_clk_ds_000_dma_4_n.BLIF N_245.BLIF ds_000_dma_0_un1_n 11 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n +.names N_147_i.BLIF N_148_i.BLIF N_119_i_1 11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names pos_clk_un11_ds_030_d0_i_1_n.BLIF size_c_0__n.BLIF pos_clk_un11_ds_030_d0_i_n +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n 11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i +.names N_149_i.BLIF RST_c.BLIF N_119_i_2 11 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF un1_amiga_bus_enable_low -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -.names a_i_31__n.BLIF inst_nEXP_SPACE_D0reg.BLIF un8_ciin_1 -11 1 -.names N_195_i.BLIF N_196_i.BLIF N_186_i -11 1 -.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n -11 1 -.names AS_030_D0_i.BLIF a_i_24__n.BLIF un8_ciin_2 -11 1 -.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 -11 1 -.names un6_as_030.BLIF un6_as_030_i -0 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un8_ciin_3 -11 1 -.names N_190.BLIF cpu_est_3_reg.BLIF N_197 -11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names a_i_27__n.BLIF a_i_28__n.BLIF un8_ciin_4 -11 1 -.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 -11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -.names N_16.BLIF N_16_i -0 1 -.names N_189_i.BLIF cpu_est_0_.BLIF N_193 -11 1 -.names un4_as_000.BLIF un4_as_000_i -0 1 -.names N_39_0.BLIF inst_UDS_000_INT.D -0 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names N_19.BLIF N_19_i -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names un6_uds_000.BLIF un6_uds_000_i -0 1 -.names N_36_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D -0 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 -11 1 -.names un6_lds_000.BLIF un6_lds_000_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C -1 1 -.names N_20.BLIF N_20_i -0 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n -0 1 -.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D -0 1 -.names N_189.BLIF cpu_est_i_0__n.BLIF N_191 -11 1 -.names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un1_n -11 1 -.names N_21.BLIF N_21_i -0 1 -.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 -11 1 -.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names N_34_0.BLIF BG_000DFFreg.D -0 1 -.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i -11 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C -1 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names N_211.BLIF RST_DLY_5_.BLIF N_213 -11 1 -.names vcc_n_n -1 -.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n -0 1 -.names N_209.BLIF RST_DLY_4_.BLIF N_211 -11 1 -.names gnd_n_n -.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n -0 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 -11 1 -.names A_23_.BLIF a_23__n -1 1 -.names sm_amiga_i_i_7__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_251_0_1 -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 -11 1 -.names A_22_.BLIF a_22__n -1 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C -1 1 -.names N_251_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_251_0 -11 1 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 -11 1 -.names A_21_.BLIF a_21__n -1 1 -.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 -11 1 -.names N_252.BLIF sm_amiga_i_2__n.BLIF N_83 -11 1 -.names A_20_.BLIF a_20__n -1 1 -.names N_121_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D -11 1 -.names BGACK_000_c.BLIF CLK_000_PE_i.BLIF N_97 -11 1 -.names A_15_.BLIF a_15__n -1 1 -.names N_50_0.BLIF inst_DS_030_D0.D -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names A_14_.BLIF a_14__n -1 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C -1 1 -.names N_8.BLIF N_8_i -0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_252_0 -11 1 -.names A_13_.BLIF a_13__n -1 1 -.names N_46_0.BLIF inst_A0_DMA.D -0 1 -.names A_12_.BLIF a_12__n -1 1 -.names N_9.BLIF N_9_i -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names A_11_.BLIF a_11__n -1 1 -.names N_45_0.BLIF inst_DSACK1_INTreg.D -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 -11 1 -.names A_10_.BLIF a_10__n -1 1 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -.names N_10.BLIF N_10_i -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names A_9_.BLIF a_9__n -1 1 -.names N_44_0.BLIF inst_AS_000_INT.D -0 1 -.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 -11 1 -.names A_8_.BLIF a_8__n -1 1 -.names N_12.BLIF N_12_i -0 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i -11 1 -.names A_7_.BLIF a_7__n -1 1 -.names N_43_0.BLIF inst_AS_030_000_SYNC.D -0 1 -.names G_141.BLIF un1_rst_dly_i_4__n -0 1 -.names A_6_.BLIF a_6__n -1 1 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -.names N_13.BLIF N_13_i -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n -11 1 -.names A_5_.BLIF a_5__n -1 1 -.names N_42_0.BLIF inst_LDS_000_INT.D -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_3__n.BLIF RST_DLY_2_.D -11 1 -.names A_4_.BLIF a_4__n -1 1 -.names N_14.BLIF N_14_i -0 1 -.names G_139.BLIF un1_rst_dly_i_3__n -0 1 -.names A_3_.BLIF a_3__n -1 1 -.names N_41_0.BLIF inst_RW_000_INT.D -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n -11 1 -.names A_2_.BLIF a_2__n -1 1 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C -1 1 -.names N_15.BLIF N_15_i -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_1_.D -11 1 -.names N_40_0.BLIF inst_RW_000_DMA.D -0 1 -.names G_137.BLIF un1_rst_dly_i_2__n -0 1 -.names N_31_0.BLIF IPL_030DFF_1_reg.D -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n -11 1 -.names N_23.BLIF N_23_i -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_38_0 -11 1 -.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C -1 1 -.names N_30_0.BLIF IPL_030DFF_0_reg.D -0 1 -.names N_38.BLIF RST_c.BLIF inst_RESET_OUTreg.D -11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n -0 1 -.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i -0 1 -.names N_54_0.BLIF IPL_D0_2_.D -0 1 -.names pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF N_77_i_i -0 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names N_77_i_i.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_85 -11 1 -.names CLK_OSZI_c.BLIF inst_A0_DMA.C -1 1 -.names N_53_0.BLIF IPL_D0_1_.D -0 1 -.names N_85_i.BLIF RST_c.BLIF RST_DLY_0_.D -11 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n -0 1 -.names N_52_0.BLIF IPL_D0_0_.D -0 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa -11 1 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C -1 1 -.names N_57_0.BLIF inst_DTACK_D0.D -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_8__n.BLIF RST_DLY_7_.D -11 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names G_149.BLIF un1_rst_dly_i_8__n -0 1 -.names N_56_0.BLIF inst_VPA_D.D -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n -11 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_7__n.BLIF RST_DLY_6_.D -11 1 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -.names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D -0 1 -.names G_147.BLIF un1_rst_dly_i_7__n -0 1 -.names N_158.BLIF N_158_i -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n -11 1 -.names N_155.BLIF N_155_i -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_6__n.BLIF RST_DLY_5_.D -11 1 -.names N_156.BLIF N_156_i -0 1 -.names G_145.BLIF un1_rst_dly_i_6__n -0 1 -.names CLK_OSZI_c.BLIF inst_DS_030_D0.C -1 1 -.names N_154.BLIF N_154_i -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n -11 1 -.names N_152.BLIF N_152_i -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_5__n.BLIF RST_DLY_4_.D -11 1 -.names N_153.BLIF N_153_i -0 1 -.names G_143.BLIF un1_rst_dly_i_5__n -0 1 -.names N_142_0.BLIF N_142 -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n -11 1 -.names CLK_OSZI_c.BLIF inst_AS_030_D0.C -1 1 -.names N_141_0.BLIF N_141 -0 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_4__n.BLIF RST_DLY_3_.D -11 1 -.names N_138_0.BLIF N_138 -0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names N_132_i.BLIF N_132 -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_89 -11 1 -.names A0_c.BLIF A0_c_i -0 1 -.names N_71.BLIF sm_amiga_i_0__n.BLIF N_88 -11 1 -.names CLK_OSZI_c.BLIF inst_nEXP_SPACE_D0reg.C -1 1 -.names size_c_1__n.BLIF size_c_i_1__n -0 1 -.names BGACK_030_INT_i.BLIF N_249.BLIF N_87 -11 1 -.names N_25.BLIF N_25_i -0 1 -.names BGACK_030_INT_i.BLIF N_249_i.BLIF N_86 -11 1 -.names N_32_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_84 -11 1 -.names N_24.BLIF N_24_i -0 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 -.names N_93.BLIF N_93_i -0 1 -.names inst_RESET_OUTreg.BLIF RESET_OUT_i -0 1 -.names N_94.BLIF N_94_i -0 1 -.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i -11 1 -.names N_244_0.BLIF N_244 -0 1 -.names N_245_0.BLIF N_245 -0 1 -.names CLK_OSZI_c.BLIF inst_DTACK_D0.C -1 1 -.names N_246_0.BLIF N_246 -0 1 -.names CLK_OSZI_c.BLIF IPL_D0_2_.C -1 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n -0 1 -.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n -0 1 -.names N_249_i.BLIF N_249 -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -.names N_251_0.BLIF N_251 -0 1 -.names N_248.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_241_0 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C -1 1 -.names N_71_0.BLIF N_71 -0 1 -.names N_88_i.BLIF N_137_i.BLIF SM_AMIGA_0_.D -11 1 -.names N_104.BLIF N_104_i -0 1 -.names N_87_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names N_160.BLIF N_160_i -0 1 -.names N_86_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C -1 1 -.names N_161.BLIF N_161_i -0 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -.names N_159.BLIF N_159_i -0 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names N_157.BLIF N_157_i -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D -11 1 -.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n -0 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_99 -11 1 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 -.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF N_98 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n -0 1 -.names N_116.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_94 -11 1 -.names N_76.BLIF N_76_i -0 1 -.names N_251.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_93 -11 1 -.names N_83.BLIF N_83_i -0 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -.names N_84.BLIF N_84_i -0 1 -.names A1_c.BLIF A1_i -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -.names N_115_0.BLIF N_115 -0 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_91 -11 1 -.names N_86.BLIF N_86_i -0 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_90 -11 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names N_132.BLIF SM_AMIGA_0_.BLIF N_104 -11 1 -.names CLK_OSZI_c.BLIF BG_000DFFreg.C -1 1 -.names N_87.BLIF N_87_i -0 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un3_n -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un1_n -11 1 -.names N_88.BLIF N_88_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un0_n -11 1 -.names N_241_0.BLIF N_241 -0 1 -.names un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF N_78 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C -1 1 -.names N_242_0.BLIF N_242 -0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_71_0 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 -.names N_243_0.BLIF N_243 -0 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF N_249_i -11 1 -.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n -0 1 .names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF pos_clk_un5_bgack_030_int_d_i_n 11 1 -.names N_198.BLIF N_198_i -0 1 -.names RW_c.BLIF RW_i -0 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C -1 1 -.names N_197.BLIF N_197_i -0 1 -.names SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C -1 1 -.names N_199.BLIF N_199_i -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n -11 1 -.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n -0 1 -.names sm_amiga_i_3__n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un0_n -11 1 -.names N_151.BLIF N_151_i -0 1 -.names ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF N_248 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 1- 1 -1 1 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C 1 1 -.names N_150.BLIF N_150_i -0 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n +.names N_119_i_1.BLIF N_119_i_2.BLIF SM_AMIGA_1_.D 11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C -1 1 -.names N_135_i.BLIF N_135 -0 1 -.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_246_0 +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_256_i 11 1 -.names N_252_0.BLIF N_252 +.names N_244.BLIF as_000_dma_0_un3_n 0 1 +.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 +11 1 .names SM_AMIGA_5_.BLIF sm_amiga_i_5__n 0 1 -.names N_85.BLIF N_85_i -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF N_245_0 +.names pos_clk_un24_bgack_030_int_i_0_n.BLIF N_244.BLIF as_000_dma_0_un1_n 11 1 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C -1 1 -.names N_38_0.BLIF N_38 -0 1 -.names N_93_i.BLIF N_94_i.BLIF N_244_0 -11 1 -.names CLK_OSZI_c.BLIF cpu_est_0_.C -1 1 -.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n -0 1 -.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_243_0 -11 1 -.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n -0 1 -.names N_80_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_242_0 -11 1 -.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n -0 1 -.names N_248.BLIF N_248_i -0 1 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n -0 1 -.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 -11 1 -.names CLK_OSZI_c.BLIF cpu_est_1_.C -1 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n -0 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 -11 1 -.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n +.names N_36_0.BLIF inst_VMA_INTreg.D 0 1 .names CLK_000_PE_i.BLIF sm_amiga_i_5__n.BLIF N_159 11 1 -.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n -0 1 -.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 +.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n 11 1 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +.names N_22.BLIF N_22_i +0 1 +.names BERR_c.BLIF BERR_i +0 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C 1 1 -.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n +.names N_33_0.BLIF BG_000DFFreg.D 0 1 -.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 +.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 11 1 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -.names N_136_i.BLIF N_136 -0 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i -11 1 -.names N_140_0.BLIF N_140 -0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 -11 1 -.names N_195.BLIF N_195_i -0 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C -1 1 -.names N_196.BLIF N_196_i -0 1 -.names N_132_i.BLIF SM_AMIGA_4_.BLIF N_141_0 -11 1 -.names CLK_OSZI_c.BLIF cpu_est_3_reg.C -1 1 -.names N_188_i.BLIF N_188 +.names vcc_n_n +1 +.names BG_030_c.BLIF BG_030_c_i 0 1 .names SM_AMIGA_6_.BLIF sm_amiga_i_6__n 0 1 -.names N_189_i.BLIF N_189 +.names gnd_n_n +.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n 0 1 -.names N_132_i.BLIF SM_AMIGA_6_.BLIF N_142_0 +.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 11 1 -.names A_16_.BLIF a_c_16__n +.names A_15_.BLIF a_15__n 1 1 -.names N_190_i.BLIF N_190 +.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n 0 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_PE.C -1 1 -.names A_17_.BLIF a_c_17__n -1 1 -.names N_193.BLIF N_193_i -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C -1 1 -.names A_18_.BLIF a_c_18__n -1 1 -.names N_191.BLIF N_191_i -0 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n +.names N_211.BLIF RST_DLY_5_.BLIF N_213 11 1 -.names A_19_.BLIF a_c_19__n +.names A_14_.BLIF a_14__n 1 1 -.names N_192.BLIF N_192_i -0 1 -.names N_104_i.BLIF RST_c.BLIF N_137_i +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C +1 1 +.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 11 1 -.names N_194.BLIF N_194_i -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -.names pos_clk_ds_000_dma_4_f1_0_n.BLIF pos_clk_ds_000_dma_4_f1_n -0 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C -1 1 -.names N_162.BLIF N_162_i -0 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names N_163.BLIF N_163_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size +.names N_209.BLIF RST_DLY_4_.BLIF N_211 11 1 -.names A_24_.BLIF a_c_24__n +.names A_13_.BLIF a_13__n 1 1 -.names N_164.BLIF N_164_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C -1 1 -.names A_25_.BLIF a_c_25__n -1 1 -.names N_165.BLIF N_165_i -0 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size +.names N_159_i.BLIF RST_c.BLIF N_127_i_2 11 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C -1 1 -.names A_26_.BLIF a_c_26__n -1 1 -.names pos_clk_un22_bgack_030_int_0_n.BLIF pos_clk_un22_bgack_030_int_n -0 1 -.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 +.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 11 1 -.names A_27_.BLIF a_c_27__n +.names A_12_.BLIF a_12__n 1 1 -.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n -0 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D -1 1 -.names A_28_.BLIF a_c_28__n -1 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n -0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i +.names N_127_i_1.BLIF N_127_i_2.BLIF SM_AMIGA_5_.D 11 1 -.names A_29_.BLIF a_c_29__n -1 1 -.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n -0 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF un14_amiga_bus_data_dir +.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 11 1 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C +.names A_11_.BLIF a_11__n 1 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C -1 1 -.names A_30_.BLIF a_c_30__n -1 1 -.names N_22.BLIF N_22_i -0 1 -.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 +.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n 11 1 -.names A_31_.BLIF a_c_31__n -1 1 -.names N_33_0.BLIF inst_BGACK_030_INTreg.D -0 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 +.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 11 1 -.names N_18.BLIF N_18_i -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 +.names A_10_.BLIF a_10__n +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_030_H.C +1 1 +.names pos_clk_un11_ds_030_d0_i_1_n.BLIF size_c_0__n.BLIF pos_clk_un11_ds_030_d0_i_n 11 1 -.names inst_CLK_000_NE.BLIF inst_CLK_000_NE_D0.D -1 1 -.names A1.BLIF A1_c -1 1 -.names N_37_0.BLIF inst_VMA_INTreg.D -0 1 -.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 +.names BGACK_030_INT_i.BLIF N_256_i.BLIF N_88 11 1 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C +.names A_9_.BLIF a_9__n 1 1 -.names nEXP_SPACE.BLIF nEXP_SPACE_c -1 1 -.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n -0 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 +.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_135_i_1 11 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE_D0.C -1 1 -.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n -0 1 -.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 +.names BGACK_030_INT_i.BLIF N_256.BLIF N_89 11 1 -.names BG_030.BLIF BG_030_c +.names A_8_.BLIF a_8__n 1 1 -.names N_6.BLIF N_6_i -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names BG_000DFFreg.BLIF BG_000 -1 1 -.names N_48_0.BLIF inst_AS_000_DMA.D -0 1 -.names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 +.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i 11 1 -.names CLK_OSZI_c.BLIF RST_DLY_0_.C +.names AS_030_i.BLIF RST_c.BLIF N_90 +11 1 +.names A_7_.BLIF a_7__n 1 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D +.names N_191_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n +11 1 +.names A1_c.BLIF BGACK_030_INT_i.BLIF N_91 +11 1 +.names A_6_.BLIF a_6__n 1 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 +.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C +1 1 +.names N_193_i.BLIF N_194_i.BLIF pos_clk_cpu_est_11_0_2_1__n +11 1 +.names RW_000_c.BLIF RW_000_i +0 1 +.names A_5_.BLIF a_5__n 1 1 .names N_3.BLIF N_3_i 0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names BGACK_000.BLIF BGACK_000_c +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_86 +11 1 +.names A_4_.BLIF a_4__n 1 1 .names N_49_0.BLIF inst_DS_000_DMA.D 0 1 -.names a_c_25__n.BLIF a_i_25__n +.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_93 +11 1 +.names A_3_.BLIF a_3__n +1 1 +.names N_6.BLIF N_6_i +0 1 +.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_100 +11 1 +.names A_2_.BLIF a_2__n +1 1 +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +1 1 +.names N_48_0.BLIF inst_AS_000_DMA.D +0 1 +.names N_86_i.BLIF N_87_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names N_9.BLIF N_9_i +0 1 +.names N_93_i.BLIF RST_c.BLIF inst_RESET_OUTreg.D +11 1 +.names N_45_0.BLIF inst_DSACK1_INTreg.D +0 1 +.names inst_RESET_OUTreg.BLIF RESET_OUT_i +0 1 +.names N_10.BLIF N_10_i +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF un1_as_000_i +11 1 +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +1 1 +.names N_44_0.BLIF inst_AS_000_INT.D +0 1 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_257_0 +11 1 +.names N_14.BLIF N_14_i +0 1 +.names N_41_0.BLIF inst_LDS_000_INT.D +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_1__n.BLIF RST_DLY_0_.D +11 1 +.names N_15.BLIF N_15_i +0 1 +.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i +0 1 +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +1 1 +.names N_40_0.BLIF inst_RW_000_INT.D +0 1 +.names G_135.BLIF un1_rst_dly_i_1__n +0 1 +.names N_17.BLIF N_17_i +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_1__n.BLIF un1_rst_dly_i_m_1__n +11 1 +.names N_38_0.BLIF inst_UDS_000_INT.D +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 +11 1 +.names N_19.BLIF N_19_i +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_6__n.BLIF RST_DLY_5_.D +11 1 +.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C +1 1 +.names N_23.BLIF N_23_i +0 1 +.names G_145.BLIF un1_rst_dly_i_6__n +0 1 +.names N_30_0.BLIF IPL_030DFF_0_reg.D +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n +11 1 +.names ipl_c_2__n.BLIF ipl_c_i_2__n +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_5__n.BLIF RST_DLY_4_.D +11 1 +.names N_54_0.BLIF IPL_D0_2_.D +0 1 +.names G_143.BLIF un1_rst_dly_i_5__n +0 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +.names ipl_c_1__n.BLIF ipl_c_i_1__n +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n +11 1 +.names N_53_0.BLIF IPL_D0_1_.D +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_4__n.BLIF RST_DLY_3_.D +11 1 +.names ipl_c_0__n.BLIF ipl_c_i_0__n +0 1 +.names G_141.BLIF un1_rst_dly_i_4__n +0 1 +.names N_52_0.BLIF IPL_D0_0_.D +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n +11 1 +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C +1 1 +.names DTACK_c.BLIF DTACK_c_i +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_3__n.BLIF RST_DLY_2_.D +11 1 +.names N_57_0.BLIF inst_DTACK_D0.D +0 1 +.names G_139.BLIF un1_rst_dly_i_3__n +0 1 +.names VPA_c.BLIF VPA_c_i +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n +11 1 +.names N_56_0.BLIF inst_VPA_D.D +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_1_.D +11 1 +.names CLK_OSZI_c.BLIF inst_AS_000_INT.C +1 1 +.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i +0 1 +.names G_137.BLIF un1_rst_dly_i_2__n +0 1 +.names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n +11 1 +.names N_50_0.BLIF inst_DS_030_D0.D +0 1 +.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i +11 1 +.names N_146.BLIF N_146_i +0 1 +.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C +1 1 +.names N_144.BLIF N_144_i +0 1 +.names un1_SM_AMIGA_7_i.BLIF un1_SM_AMIGA_7 +0 1 +.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n +0 1 +.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n +0 1 +.names CLK_OSZI_c.BLIF inst_A0_DMA.C +1 1 +.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n +0 1 +.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n +0 1 +.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n +0 1 +.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa +11 1 +.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_8__n.BLIF RST_DLY_7_.D +11 1 +.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C +1 1 +.names A0_c.BLIF A0_c_i +0 1 +.names G_149.BLIF un1_rst_dly_i_8__n +0 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C +1 1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n +11 1 +.names N_25.BLIF N_25_i +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_7__n.BLIF RST_DLY_6_.D +11 1 +.names N_32_0.BLIF IPL_030DFF_2_reg.D +0 1 +.names G_147.BLIF un1_rst_dly_i_7__n +0 1 +.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C +1 1 +.names N_24.BLIF N_24_i +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_0_.C +1 1 +.names N_31_0.BLIF IPL_030DFF_1_reg.D +0 1 +.names N_85_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF pos_clk_ds_000_dma_4_0_n +11 1 +.names N_193.BLIF N_193_i +0 1 +.names CLK_EXP_c_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_244_0 +11 1 +.names N_190_i.BLIF N_190 +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names CLK_OSZI_c.BLIF inst_DS_030_D0.C +1 1 +.names N_189_i.BLIF N_189 +0 1 +.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_1_.C +1 1 +.names N_188_i.BLIF N_188 +0 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names N_195.BLIF N_195_i +0 1 +.names CLK_030_H_i.BLIF N_73.BLIF N_94 +11 1 +.names N_196.BLIF N_196_i +0 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_85 +11 1 +.names CLK_OSZI_c.BLIF inst_AS_030_D0.C +1 1 +.names N_143_0.BLIF N_143 +0 1 +.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF N_84 +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_2_.C +1 1 +.names N_142_0.BLIF N_142 +0 1 +.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_83 +11 1 +.names N_141_0.BLIF N_141 +0 1 +.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF pos_clk_un14_clk_000_n_sync_0_n +11 1 +.names N_140_0.BLIF N_140 +0 1 +.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n +0 1 +.names CLK_OSZI_c.BLIF inst_nEXP_SPACE_D0reg.C +1 1 +.names N_139_0.BLIF N_139 +0 1 +.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_un9_clk_000_n_sync_n +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C +1 1 +.names N_166.BLIF N_166_i +0 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i +0 1 +.names N_136_i.BLIF N_136 +0 1 +.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n +11 1 +.names N_133_i.BLIF N_133 +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i +11 1 +.names CLK_OSZI_c.BLIF inst_VPA_D.C +1 1 +.names N_132_i.BLIF N_132 +0 1 +.names inst_CLK_000_NE.BLIF CLK_000_NE_i +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +1 1 +.names N_147.BLIF N_147_i +0 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names N_148.BLIF N_148_i +0 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names N_164.BLIF N_164_i +0 1 +.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n +0 1 +.names CLK_OSZI_c.BLIF inst_DTACK_D0.C +1 1 +.names N_165.BLIF N_165_i +0 1 +.names a_c_24__n.BLIF a_i_24__n +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C +1 1 +.names N_163.BLIF N_163_i +0 1 +.names cpu_est_3_reg.BLIF cpu_est_i_3__n +0 1 +.names N_199.BLIF N_199_i +0 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n +0 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names CLK_OSZI_c.BLIF BG_000DFFreg.C +1 1 +.names N_197.BLIF N_197_i +0 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C +1 1 +.names N_198.BLIF N_198_i +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names N_194.BLIF N_194_i +0 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n +0 1 +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C +1 1 +.names N_192.BLIF N_192_i +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +1 1 +.names N_135_i.BLIF N_135 +0 1 +.names N_162.BLIF N_162_i +0 1 +.names AS_000_DMA_i.BLIF CLK_EXP_c_i.BLIF N_73_0 +11 1 +.names N_191.BLIF N_191_i +0 1 +.names N_132_i.BLIF SM_AMIGA_6_.BLIF N_142_0 +11 1 +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C +1 1 +.names N_245_0.BLIF N_245 +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +1 1 +.names N_85.BLIF N_85_i +0 1 +.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_143_0 +11 1 +.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n +0 1 +.names N_189.BLIF cpu_est_i_0__n.BLIF N_191 +11 1 +.names N_94.BLIF N_94_i +0 1 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 +11 1 +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C +1 1 +.names N_73_0.BLIF N_73 +0 1 +.names N_189_i.BLIF cpu_est_0_.BLIF N_193 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C +1 1 +.names N_160.BLIF N_160_i +0 1 +.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 +11 1 +.names N_161.BLIF N_161_i +0 1 +.names N_190.BLIF cpu_est_3_reg.BLIF N_197 +11 1 +.names N_155.BLIF N_155_i +0 1 +.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 +11 1 +.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C +1 1 +.names N_156.BLIF N_156_i +0 1 +.names N_195_i.BLIF N_196_i.BLIF N_186_i +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C +1 1 +.names N_154.BLIF N_154_i +0 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i +11 1 +.names N_152.BLIF N_152_i +0 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i +11 1 +.names N_153.BLIF N_153_i +0 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i +11 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C +1 1 +.names N_150.BLIF N_150_i +0 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names CLK_OSZI_c.BLIF cpu_est_0_.C +1 1 +.names N_151.BLIF N_151_i +0 1 +.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 +11 1 +.names N_149.BLIF N_149_i +0 1 +.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 +11 1 +.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n +0 1 +.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_PE.C +1 1 +.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n +0 1 +.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_1_.C +1 1 +.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n +0 1 +.names BERR_i.BLIF N_136_i.BLIF N_164 +11 1 +.names A_16_.BLIF a_c_16__n +1 1 +.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n +0 1 +.names N_132.BLIF SM_AMIGA_0_.BLIF N_166 +11 1 +.names A_17_.BLIF a_c_17__n +1 1 +.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n +0 1 +.names N_137_i.BLIF N_146_i.BLIF SM_AMIGA_0_.D +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C +1 1 +.names A_18_.BLIF a_c_18__n +1 1 +.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n +0 1 +.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i +11 1 +.names CLK_OSZI_c.BLIF cpu_est_2_.C +1 1 +.names A_19_.BLIF a_c_19__n +1 1 +.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n +0 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i +11 1 +.names A_20_.BLIF a_c_20__n +1 1 +.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n +0 1 +.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i +11 1 +.names A_21_.BLIF a_c_21__n +1 1 +.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n +0 1 +.names N_166_i.BLIF RST_c.BLIF N_137_i +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C +1 1 +.names A_22_.BLIF a_c_22__n +1 1 +.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_139_0 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_3_reg.C +1 1 +.names A_23_.BLIF a_c_23__n +1 1 +.names CLK_EXP_c.BLIF CLK_EXP_c_i +0 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names A_24_.BLIF a_c_24__n +1 1 +.names N_244_0.BLIF N_244 +0 1 +.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 +11 1 +.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D +1 1 +.names A_25_.BLIF a_c_25__n +1 1 +.names N_83.BLIF N_83_i +0 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names A_26_.BLIF a_c_26__n +1 1 +.names N_115_0.BLIF N_115 +0 1 +.names N_132_i.BLIF SM_AMIGA_4_.BLIF N_141_0 +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C +1 1 +.names A_27_.BLIF a_c_27__n +1 1 +.names N_84.BLIF N_84_i +0 1 +.names N_105.BLIF sm_amiga_i_5__n.BLIF AS_000_INT_1_sqmuxa +11 1 +.names A_28_.BLIF a_c_28__n +1 1 +.names N_250_0.BLIF N_250 +0 1 +.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i +0 1 +.names A_29_.BLIF a_c_29__n +1 1 +.names N_89.BLIF N_89_i +0 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF N_105.BLIF DSACK1_INT_1_sqmuxa +11 1 +.names inst_CLK_000_NE.BLIF inst_CLK_000_NE_D0.D +1 1 +.names A_30_.BLIF a_c_30__n +1 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n +0 1 +.names sm_amiga_i_3__n.BLIF sm_amiga_i_5__n.BLIF N_144 +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C +1 1 +.names A_31_.BLIF a_c_31__n +1 1 +.names N_88.BLIF N_88_i +0 1 +.names N_143.BLIF sm_amiga_i_0__n.BLIF N_146 +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_NE_D0.C +1 1 +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n +0 1 +.names N_139.BLIF sm_amiga_i_2__n.BLIF N_147 +11 1 +.names A1.BLIF A1_c +1 1 +.names N_138_0.BLIF N_138 +0 1 +.names BERR_i.BLIF SM_AMIGA_1_.BLIF N_148 +11 1 +.names nEXP_SPACE.BLIF nEXP_SPACE_c +1 1 +.names un1_rst_dly_i_m_1__n.BLIF un1_rst_dly_i_m_i_1__n +0 1 +.names CLK_000_PE_i.BLIF sm_amiga_i_1__n.BLIF N_149 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C +1 1 +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D +1 1 +.names N_157.BLIF N_157_i +0 1 +.names N_140.BLIF sm_amiga_i_3__n.BLIF N_150 +11 1 +.names BG_030.BLIF BG_030_c +1 1 +.names N_158.BLIF N_158_i +0 1 +.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n 0 1 .names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C 1 1 +.names BG_000DFFreg.BLIF BG_000 +1 1 +.names N_159.BLIF N_159_i +0 1 +.names sm_amiga_i_2__n.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_151 +11 1 +.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D +1 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030 +1 1 +.names N_257_0.BLIF inst_BGACK_030_INT_D.D +0 1 +.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 +11 1 +.names BGACK_000.BLIF BGACK_000_c +1 1 +.names N_93.BLIF N_93_i +0 1 +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C +1 1 .names CLK_030.BLIF CLK_EXP_c 1 1 -.names pos_clk_un29_bgack_030_int_n.BLIF pos_clk_un29_bgack_030_int_i_n -0 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names CLK_000.BLIF inst_CLK_000_D0.D -1 1 -.names pos_clk_un26_bgack_030_int_n.BLIF pos_clk_un26_bgack_030_int_i_n -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_1_.C -1 1 -.names CLK_OSZI.BLIF CLK_OSZI_c -1 1 -.names pos_clk_un27_bgack_030_int_0_n.BLIF pos_clk_un27_bgack_030_int_n -0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names CLK_030_H_0_sqmuxa.BLIF CLK_030_H_0_sqmuxa_i -0 1 -.names a_c_29__n.BLIF a_i_29__n +.names N_87.BLIF N_87_i 0 1 +.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 +11 1 .names CLK_OSZI_c.BLIF inst_CLK_000_D0.C 1 1 -.names CLK_EXP_c.BLIF CLK_EXP +.names CLK_000.BLIF inst_CLK_000_D0.D 1 1 -.names N_7.BLIF N_7_i +.names N_86.BLIF N_86_i 0 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names un21_fpu_cs_i.BLIF FPU_CS -1 1 -.names N_47_0.BLIF N_47 -0 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_2_.C -1 1 -.names FPU_SENSE.BLIF FPU_SENSE_c -1 1 -.names N_133_i.BLIF N_133 -0 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 -.names inst_CLK_000_PE.BLIF G_159.X1 -1 1 -.names IPL_030DFF_0_reg.BLIF IPL_030_0_ -1 1 -.names N_176.BLIF N_176_i -0 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names IPL_030DFF_1_reg.BLIF IPL_030_1_ -1 1 -.names N_175.BLIF N_175_i -0 1 -.names CYCLE_DMA_0_.BLIF G_159.X2 -1 1 -.names IPL_030DFF_2_reg.BLIF IPL_030_2_ +.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 +11 1 +.names CLK_OSZI.BLIF CLK_OSZI_c 1 1 .names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c 0 1 -.names CLK_OSZI_c.BLIF RST_DLY_3_.C +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D 1 1 +.names N_8.BLIF N_8_i +0 1 +.names CYCLE_DMA_0_.BLIF pos_clk_un24_bgack_030_int_i_0_x2.X1 +1 1 +.names CLK_EXP_c.BLIF CLK_EXP +1 1 +.names N_46_0.BLIF inst_A0_DMA.D +0 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C +1 1 +.names un21_fpu_cs_i.BLIF FPU_CS +1 1 +.names N_76_i.BLIF N_76 +0 1 +.names CYCLE_DMA_1_.BLIF pos_clk_un24_bgack_030_int_i_0_x2.X2 +1 1 +.names FPU_SENSE.BLIF FPU_SENSE_c +1 1 +.names N_72_0.BLIF N_72 +0 1 +.names inst_DTACK_D0.BLIF DTACK_D0_i +0 1 +.names IPL_030DFF_0_reg.BLIF IPL_030_0_ +1 1 +.names UDS_000_c.BLIF UDS_000_c_i +0 1 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n +11 1 +.names IPL_030DFF_1_reg.BLIF IPL_030_1_ +1 1 +.names LDS_000_c.BLIF LDS_000_c_i +0 1 +.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF pos_clk_un7_clk_000_pe_0_n +11 1 +.names CLK_OSZI_c.BLIF RST_DLY_0_.C +1 1 +.names inst_CLK_000_NE.BLIF G_135.X1 +1 1 +.names IPL_030DFF_2_reg.BLIF IPL_030_2_ +1 1 +.names N_256_i.BLIF N_256 +0 1 +.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF pos_clk_un23_clk_000_ne_d0_0_n +11 1 .names IPL_0_.BLIF ipl_c_0__n 1 1 +.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n +0 1 +.names un5_ciin.BLIF un5_ciin_i +0 1 +.names RST_DLY_0_.BLIF G_135.X2 +1 1 +.names IPL_1_.BLIF ipl_c_1__n +1 1 +.names pos_clk_un24_bgack_030_int_i_0_0_n.BLIF pos_clk_un24_bgack_030_int_i_0_n +0 1 +.names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF un8_ciin +11 1 +.names IPL_2_.BLIF ipl_c_2__n +1 1 +.names N_104.BLIF N_104_i +0 1 +.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_n +11 1 +.names CLK_OSZI_c.BLIF RST_DLY_1_.C +1 1 +.names N_252_0.BLIF N_252 +0 1 +.names RW_c.BLIF RW_i +0 1 +.names RESET_OUT_0_sqmuxa_7.BLIF G_149.X1 +1 1 +.names DTACK.BLIF DTACK_c +1 1 +.names N_251_0.BLIF N_251 +0 1 +.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa +11 1 +.names vcc_n_n.BLIF AVEC +1 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF DSACK1_INT_0_sqmuxa +11 1 +.names RST_DLY_7_.BLIF G_149.X2 +1 1 +.names cpu_est_3_reg.BLIF E +1 1 +.names N_97.BLIF N_97_i +0 1 +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_144_i.BLIF un1_SM_AMIGA_7_i +11 1 +.names CLK_OSZI_c.BLIF RST_DLY_2_.C +1 1 +.names VPA.BLIF VPA_c +1 1 +.names N_96.BLIF N_96_i +0 1 +.names G_165.BLIF N_224_i +0 1 +.names inst_VMA_INTreg.BLIF VMA +1 1 +.names N_21.BLIF N_21_i +0 1 +.names G_166.BLIF N_225_i +0 1 +.names N_213.BLIF G_147.X1 +1 1 +.names RST.BLIF RST_c +1 1 +.names N_34_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D +0 1 +.names G_167.BLIF N_226_i +0 1 +.names inst_RESET_OUTreg.BLIF RESET +1 1 +.names N_20.BLIF N_20_i +0 1 +.names DS_030_c.BLIF DS_030_i +0 1 +.names CLK_OSZI_c.BLIF RST_DLY_3_.C +1 1 +.names RST_DLY_6_.BLIF G_147.X2 +1 1 +.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D +0 1 +.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 +11 1 +.names FC_0_.BLIF fc_c_0__n +1 1 +.names N_16.BLIF N_16_i +0 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names FC_1_.BLIF fc_c_1__n +1 1 +.names N_39_0.BLIF inst_RW_000_DMA.D +0 1 +.names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 +11 1 +.names N_211.BLIF G_145.X1 +1 1 +.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE +1 1 +.names N_13.BLIF N_13_i +0 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names CLK_OSZI_c.BLIF RST_DLY_4_.C +1 1 +.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR +1 1 +.names N_42_0.BLIF inst_BGACK_030_INTreg.D +0 1 +.names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 +11 1 +.names RST_DLY_5_.BLIF G_145.X2 +1 1 +.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW +1 1 +.names N_12.BLIF N_12_i +0 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names N_80.BLIF AMIGA_BUS_ENABLE_HIGH +1 1 +.names N_43_0.BLIF inst_AS_030_000_SYNC.D +0 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names CLK_OSZI_c.BLIF RST_DLY_5_.C +1 1 +.names N_209.BLIF G_143.X1 +1 1 +.names N_248_i_1.BLIF N_257_0.BLIF CYCLE_DMA_1_.D +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.BLIF N_249_i_1 +11 1 +.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF un1_amiga_bus_enable_low +11 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names RST_DLY_4_.BLIF G_143.X2 +1 1 +.names N_249_i_1.BLIF N_257_0.BLIF CYCLE_DMA_0_.D +11 1 +.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +0 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n +11 1 .names un21_fpu_cs.BLIF un21_fpu_cs_i 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names IPL_1_.BLIF ipl_c_1__n -1 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 -11 1 -.names G_165.BLIF N_224_i -0 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_0_.X1 -1 1 -.names IPL_2_.BLIF ipl_c_2__n -1 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n -0 1 -.names G_166.BLIF N_225_i -0 1 -.names CYCLE_DMA_1_.BLIF cycle_dma_i_0__n.BLIF pos_clk_un26_bgack_030_int_n -11 1 -.names G_167.BLIF N_226_i -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_4_.C -1 1 -.names cpu_est_0_.BLIF cpu_est_0_0_.X2 -1 1 -.names DTACK.BLIF DTACK_c -1 1 -.names CYCLE_DMA_1_.BLIF cycle_dma_i_1__n -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names vcc_n_n.BLIF AVEC -1 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_1__n.BLIF pos_clk_un29_bgack_030_int_n -11 1 -.names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 -11 1 -.names cpu_est_3_reg.BLIF E -1 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 -11 1 -.names DS_030_c.BLIF DS_030_i -0 1 -.names inst_CLK_000_NE.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_.X1 -1 1 -.names VPA.BLIF VPA_c -1 1 -.names N_3_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF inst_BGACK_030_INT_D.D -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_5_.C -1 1 -.names inst_VMA_INTreg.BLIF VMA -1 1 -.names N_6_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names N_89.BLIF inst_AS_030_D0.D -0 1 -.names RST_DLY_0_.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_.X2 -1 1 -.names RST.BLIF RST_c -1 1 -.names pos_clk_un24_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_i_n -0 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 -11 1 -.names inst_RESET_OUTreg.BLIF RESET -1 1 -.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n -0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 -11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n -11 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 -11 1 .names CLK_OSZI_c.BLIF RST_DLY_6_.C 1 1 -.names RESET_OUT_0_sqmuxa_1.BLIF G_137.X1 -1 1 -.names FC_0_.BLIF fc_c_0__n -1 1 -.names pos_clk_un24_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 -11 1 -.names FC_1_.BLIF fc_c_1__n -1 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 -1- 1 --1 1 -.names N_23_i.BLIF RST_c.BLIF N_30_0 -11 1 -.names RST_DLY_1_.BLIF G_137.X2 -1 1 -.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE -1 1 -.names DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un3_n -0 1 -.names N_24_i.BLIF RST_c.BLIF N_31_0 -11 1 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un1_n -11 1 -.names N_25_i.BLIF RST_c.BLIF N_32_0 -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_7_.C -1 1 -.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -.names pos_clk_ds_000_dma_4_n.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names N_246.BLIF size_dma_0_0__un3_n -0 1 -.names RESET_OUT_0_sqmuxa_7.BLIF G_149.X1 -1 1 -.names N_78.BLIF AMIGA_BUS_ENABLE_HIGH -1 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names pos_clk_size_dma_6_0__n.BLIF N_246.BLIF size_dma_0_0__un1_n -11 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n -11 1 -.names RST_DLY_7_.BLIF G_149.X2 -1 1 -.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 -11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D -1- 1 --1 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C -1 1 -.names N_135.BLIF BERR_i.BLIF N_162_1 -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names N_246.BLIF size_dma_0_1__un3_n -0 1 -.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 -11 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names pos_clk_size_dma_6_1__n.BLIF N_246.BLIF size_dma_0_1__un1_n -11 1 -.names N_213.BLIF G_147.X1 -1 1 -.names N_176_1.BLIF RW_000_c.BLIF N_176_1_0 -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_175 -11 1 -.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n -11 1 -.names N_176_1_0.BLIF nEXP_SPACE_D0_i.BLIF N_176 -11 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i -11 1 -.names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D -1- 1 --1 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C -1 1 -.names RST_DLY_6_.BLIF G_147.X2 -1 1 -.names RW_000_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_2_sqmuxa_1 -11 1 -.names BERR_c.BLIF BERR_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n -0 1 -.names DS_000_DMA_2_sqmuxa_1.BLIF pos_clk_un40_bgack_030_int_1_i_n.BLIF DS_000_DMA_2_sqmuxa -11 1 -.names BERR_i.BLIF N_136_i.BLIF N_164 -11 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n -11 1 -.names N_76_i.BLIF N_83_i.BLIF N_119_i_1 -11 1 -.names CLK_030_H_0_sqmuxa_i.BLIF N_7_i.BLIF N_47_0 -11 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names N_211.BLIF G_145.X1 -1 1 -.names N_119_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D -11 1 -.names N_47.BLIF RST_c.BLIF inst_CLK_030_H.D -11 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C -1 1 -.names N_84_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 -11 1 -.names inst_CLK_030_H.BLIF pos_clk_un24_bgack_030_int_n.BLIF N_7 -11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n -0 1 -.names RST_DLY_5_.BLIF G_145.X2 -1 1 -.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 -11 1 -.names G_161.BLIF un1_rst_2.BLIF CYCLE_DMA_1_.D -11 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 -.names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n -11 1 -.names G_159.BLIF un1_rst_2.BLIF CYCLE_DMA_0_.D -11 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n -11 1 .names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n 11 1 -.names RW_000_c.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_0_sqmuxa -11 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C -1 1 -.names N_209.BLIF G_143.X1 -1 1 -.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n -11 1 -.names CLK_EXP_c.BLIF CLK_EXP_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n -0 1 -.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n -11 1 -.names CLK_EXP_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF AS_000_DMA_1_sqmuxa -11 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names RST_DLY_4_.BLIF G_143.X2 -1 1 -.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF pos_clk_un9_clk_000_ne_4_n -11 1 -.names N_176_1.BLIF RST_c.BLIF un1_rst_2 -11 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names pos_clk_un9_clk_000_ne_4_n.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF pos_clk_un9_clk_000_ne_n -11 1 -.names pos_clk_un26_bgack_030_int_i_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF pos_clk_un27_bgack_030_int_0_n -11 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C -1 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 -11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D -11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF G_141.X1 -1 1 -.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 -11 1 -.names AS_000_DMA_1_sqmuxa.BLIF AS_000_DMA_i.BLIF CLK_030_H_0_sqmuxa -11 1 .names N_21_i.BLIF RST_c.BLIF N_34_0 11 1 -.names N_188.BLIF cpu_est_0_.BLIF N_195_1 +.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 11 1 -.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n +.names RESET_OUT_0_sqmuxa_5.BLIF G_141.X1 +1 1 +.names un22_berr_1_0.BLIF N_116.BLIF un22_berr +11 1 +.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D +11 1 +.names N_90.BLIF inst_AS_030_D0.D 0 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 11 1 +.names N_92.BLIF N_92_i +0 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n +0 1 .names RST_DLY_3_.BLIF G_141.X2 1 1 -.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 +.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n 0 1 -.names N_19_i.BLIF RST_c.BLIF N_36_0 +.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n 11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C +.names CLK_OSZI_c.BLIF RST_DLY_7_.C 1 1 -.names N_176_1.BLIF pos_clk_un22_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_1_n +.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names N_16_i.BLIF RST_c.BLIF N_39_0 +.names N_92_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un1_n 11 1 -.names pos_clk_un24_bgack_030_int_1_n.BLIF pos_clk_un27_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_n +.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n 11 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names N_15_i.BLIF RST_c.BLIF N_40_0 +.names N_116_1.BLIF N_116_2.BLIF N_116_4 11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D +1- 1 +-1 1 .names N_205.BLIF G_139.X1 1 1 -.names N_133_i.BLIF N_135_i.BLIF N_165_1 +.names N_116_4.BLIF N_116_3.BLIF N_116 11 1 -.names inst_CLK_000_PE.BLIF CLK_000_PE_i +.names amiga_bus_enable_dma_high_0_un1_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_21 +1- 1 +-1 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n 0 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 +.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n 11 1 -.names pos_clk_clk_000_n_sync_2_0__n.BLIF CLK_000_N_SYNC_0_.D +.names N_91.BLIF N_91_i +0 1 +.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n +11 1 +.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C 1 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 -11 1 -.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i -0 1 -.names N_13_i.BLIF RST_c.BLIF N_42_0 -11 1 .names RST_DLY_2_.BLIF G_139.X2 1 1 -.names N_165_1.BLIF N_165_2.BLIF N_165_3 +.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n 11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n 0 1 -.names N_12_i.BLIF RST_c.BLIF N_43_0 +.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n 11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C -1 1 -.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 +.names N_83_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_176_1 +.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un1_n 11 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 +.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D +1- 1 +-1 1 +.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 11 1 -.names N_133.BLIF BERR_i.BLIF N_163_1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n 11 1 -.names pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un40_bgack_030_int_1_i_n +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n 0 1 -.names N_9_i.BLIF RST_c.BLIF N_45_0 -11 1 -.names IPL_D0_2_.BLIF G_167.X1 +.names RESET_OUT_0_sqmuxa_1.BLIF G_137.X1 1 1 -.names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF RESET_OUT_0_sqmuxa_7_3 +.names N_84_i.BLIF RW_000_i.BLIF N_245_0_1 11 1 -.names DS_000_DMA_0_sqmuxa.BLIF DS_000_DMA_0_sqmuxa_i +.names amiga_bus_enable_dma_low_0_un1_n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_20 +1- 1 +-1 1 +.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n +11 1 +.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C +1 1 +.names N_245_0_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_245_0 +11 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n 0 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 +.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n 11 1 -.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 -11 1 -.names DS_000_DMA_0_sqmuxa_i.BLIF pos_clk_ds_000_dma_4_f1_n.BLIF pos_clk_ds_000_dma_4_n -11 1 -.names DS_030_i.BLIF RST_c.BLIF N_50_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C +.names RST_DLY_1_.BLIF G_137.X2 1 1 -.names ipl_c_2__n.BLIF G_167.X2 +.names N_94_i.BLIF RST_c.BLIF N_247_i_1 +11 1 +.names N_251.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un1_n +11 1 +.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_reg.D +1- 1 +-1 1 +.names N_247_i_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF inst_CLK_030_H.D +11 1 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names N_6_i.BLIF RST_c.BLIF N_48_0 +11 1 +.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_248_i_1 +11 1 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_16 +1- 1 +-1 1 +.names N_3_i.BLIF RST_c.BLIF N_49_0 +11 1 +.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C +1 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_0_.X1 1 1 .names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 11 1 -.names AS_000_DMA_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF pos_clk_ds_000_dma_4_f1_0_n -11 1 -.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 +.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 +.names DS_030_i.BLIF RST_c.BLIF N_50_0 11 1 .names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 11 1 -.names N_175_i.BLIF N_176_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n +11 1 +.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 +11 1 +.names cpu_est_0_.BLIF cpu_est_0_0_.X2 +1 1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_87_1 +11 1 +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n 11 1 .names RST_c.BLIF VPA_c_i.BLIF N_56_0 11 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_135_i_1 +.names RW_000_c.BLIF nEXP_SPACE_D0_i.BLIF N_87_2 11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_80.BLIF N_80_i -0 1 -.names IPL_D0_0_.BLIF G_165.X1 -1 1 -.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i -11 1 -.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names N_242.BLIF dsack1_int_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C -1 1 -.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n -11 1 -.names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names N_80_i.BLIF N_242.BLIF dsack1_int_0_un1_n -11 1 -.names ipl_c_0__n.BLIF G_165.X2 -1 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n -11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF pos_clk_un27_clk_000_ne_d0_3_n -11 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_13 1- 1 -1 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 -1- 1 --1 1 -.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF pos_clk_un27_clk_000_ne_d0_n +.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 11 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names N_243.BLIF as_000_int_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C +.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C 1 1 -.names IPL_D0_1_.BLIF G_166.X1 -1 1 -.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n +.names N_87_1.BLIF N_87_2.BLIF N_87 11 1 -.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n -11 1 -.names sm_amiga_i_5__n.BLIF N_243.BLIF as_000_int_0_un1_n -11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n -11 1 -.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n +.names N_105.BLIF N_105_i 0 1 -.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 11 1 -.names ipl_c_1__n.BLIF G_166.X2 +.names inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.X1 1 1 -.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF pos_clk_un5_clk_000_pe_3_n +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n 11 1 -.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_un9_clk_000_n_sync_n -11 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names pos_clk_un5_clk_000_pe_3_n.BLIF cpu_est_i_0__n.BLIF pos_clk_un5_clk_000_pe_n -11 1 -.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF pos_clk_un14_clk_000_n_sync_0_n -11 1 -.names N_241.BLIF ds_000_enable_0_un3_n +.names N_250.BLIF as_030_000_sync_0_un3_n 0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C +.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 +11 1 +.names pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_104_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_2_n +11 1 +.names inst_AS_030_000_SYNC.BLIF N_250.BLIF as_030_000_sync_0_un1_n +11 1 +.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 +11 1 +.names CYCLE_DMA_0_.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.X2 1 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n +.names pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n 11 1 -.names UDS_000_c.BLIF UDS_000_i -0 1 -.names N_248_i.BLIF N_241.BLIF ds_000_enable_0_un1_n +.names N_105_i.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n 11 1 -.names CYCLE_DMA_1_.BLIF G_161.X1 +.names N_23_i.BLIF RST_c.BLIF N_30_0 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C 1 1 -.names N_154_i.BLIF RST_c.BLIF N_123_i_2 -11 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n -11 1 -.names N_123_i_1.BLIF N_123_i_2.BLIF SM_AMIGA_3_.D -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un22_bgack_030_int_0_n -11 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 -1- 1 --1 1 -.names N_220.BLIF G_161.X2 -1 1 -.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 -11 1 -.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF N_80 -11 1 -.names N_244.BLIF as_030_000_sync_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -.names N_125_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D -11 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa -11 1 -.names inst_AS_030_000_SYNC.BLIF N_244.BLIF as_030_000_sync_0_un1_n -11 1 -.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 -11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n -0 1 -.names pos_clk_un3_as_030_d0_n.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n -11 1 -.names cpu_est_0_0_.BLIF cpu_est_0_.D -1 1 -.names N_159_i.BLIF RST_c.BLIF N_127_i_2 -11 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n +.names sm_amiga_i_i_7__n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_72_0_1 11 1 .names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_12 1- 1 -1 1 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D -1 1 -.names N_127_i_1.BLIF N_127_i_2.BLIF SM_AMIGA_5_.D +.names N_24_i.BLIF RST_c.BLIF N_31_0 11 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n +.names N_72_0_1.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_72_0 +11 1 +.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n +0 1 +.names N_25_i.BLIF RST_c.BLIF N_32_0 +11 1 +.names CYCLE_DMA_1_.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X1 +1 1 +.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF pos_clk_un7_clk_000_d0_1_n +11 1 +.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un1_n +11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +0 1 +.names pos_clk_un7_clk_000_d0_1_n.BLIF inst_nEXP_SPACE_D0reg.BLIF pos_clk_un7_clk_000_d0_n +11 1 +.names un1_SM_AMIGA_7_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n +11 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C +1 1 +.names N_220.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X2 +1 1 +.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 +11 1 +.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 +1- 1 +-1 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs +11 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n +0 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 +1- 1 +-1 1 +.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 +11 1 +.names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un1_n +11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +0 1 +.names IPL_D0_0_.BLIF G_165.X1 +1 1 +.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n +11 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C +1 1 +.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF pos_clk_un9_clk_000_ne_4_n +11 1 +.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_8 +1- 1 +-1 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names ipl_c_0__n.BLIF G_165.X2 +1 1 +.names pos_clk_un9_clk_000_ne_4_n.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF pos_clk_un9_clk_000_ne_n +11 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 +1- 1 +-1 1 +.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n +11 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +0 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n +11 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +11 1 +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C +1 1 +.names IPL_D0_1_.BLIF G_166.X1 +1 1 +.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF pos_clk_un5_clk_000_pe_3_n +11 1 +.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 +11 1 +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names pos_clk_un5_clk_000_pe_3_n.BLIF cpu_est_i_0__n.BLIF pos_clk_un5_clk_000_pe_n +11 1 +.names SIZE_DMA_0_.BLIF size_dma_i_0__n +0 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 +1- 1 +-1 1 +.names ipl_c_1__n.BLIF G_166.X2 +1 1 +.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n +11 1 +.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size +11 1 +.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n +0 1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n +11 1 +.names SIZE_DMA_1_.BLIF size_dma_i_1__n +0 1 +.names inst_DSACK1_INTreg.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C +1 1 +.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF pos_clk_un27_clk_000_ne_d0_3_n +11 1 +.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size +11 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 +.names IPL_D0_2_.BLIF G_167.X1 +1 1 +.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF pos_clk_un27_clk_000_ne_d0_n +11 1 +.names a_c_16__n.BLIF a_i_16__n +0 1 +.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 +1- 1 +-1 1 +.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 +11 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n +0 1 +.names pos_clk_clk_000_n_sync_2_0__n.BLIF CLK_000_N_SYNC_0_.D +1 1 +.names ipl_c_2__n.BLIF G_167.X2 +1 1 +.names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 +11 1 +.names a_c_18__n.BLIF a_i_18__n +0 1 +.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n +11 1 +.names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF RESET_OUT_0_sqmuxa_7_3 +11 1 +.names N_252.BLIF size_dma_0_1__un3_n +0 1 +.names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C +1 1 +.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 +11 1 +.names pos_clk_size_dma_6_1__n.BLIF N_252.BLIF size_dma_0_1__un1_n +11 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 +1- 1 +-1 1 +.names cpu_est_0_0_.BLIF cpu_est_0_.D +1 1 +.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 +11 1 +.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n 11 1 .names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n 0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C +.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D 1 1 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 +.names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 11 1 -.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_76 +.names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D 1- 1 -1 1 .names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un1_n 11 1 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -.names N_129_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D -11 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D +.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D 1 1 .end diff --git a/Logic/BUS68030.bl1 b/Logic/BUS68030.bl1 index 91458a4..a36a727 100644 --- a/Logic/BUS68030.bl1 +++ b/Logic/BUS68030.bl1 @@ -1,141 +1,138 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Thu Jul 09 18:48:59 2015 +#$ DATE Thu Sep 24 16:20:59 2015 #$ MODULE bus68030 -#$ PINS 75 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ IPL_030_2_ A_25_ A_24_ \ -# IPL_2_ A_23_ A_22_ FC_1_ A_21_ AS_030 A_20_ AS_000 A_19_ RW_000 A_18_ DS_030 A_17_ UDS_000 \ -# A_16_ LDS_000 A_15_ A0 A_14_ A1 A_13_ nEXP_SPACE A_12_ BERR A_11_ BG_030 A_10_ BG_000 A_9_ \ -# BGACK_030 A_8_ BGACK_000 A_7_ CLK_030 A_6_ CLK_000 A_5_ CLK_OSZI A_4_ CLK_DIV_OUT A_3_ \ -# CLK_EXP A_2_ FPU_CS IPL_030_1_ FPU_SENSE IPL_030_0_ DSACK1 IPL_1_ DTACK IPL_0_ AVEC FC_0_ \ -# E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -# AMIGA_BUS_ENABLE_HIGH CIIN -#$ NODES 694 N_193 cpu_est_0_1__un3_n N_190 RW_c cpu_est_0_1__un1_n N_189 \ -# cpu_est_0_1__un0_n N_140 fc_c_0__n bgack_030_int_0_un3_n \ -# pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n pos_clk_un23_clk_000_ne_d0_n \ -# fc_c_1__n bgack_030_int_0_un0_n inst_BGACK_030_INTreg \ -# pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n vcc_n_n \ -# pos_clk_un7_clk_000_pe_n un1_amiga_bus_enable_dma_high_i_m4_0__un1_n \ -# cpu_est_3_reg N_18 AMIGA_BUS_DATA_DIR_c \ -# un1_amiga_bus_enable_dma_high_i_m4_0__un0_n inst_VMA_INTreg N_22 \ -# ds_000_enable_1_sqmuxa_1_i_m4_un3_n inst_RESET_OUTreg \ -# pos_clk_un11_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n \ -# pos_clk_un9_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un0_n \ -# un1_amiga_bus_enable_low pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n \ -# un6_as_030 pos_clk_un22_bgack_030_int_n N_6_i size_dma_0_0__un1_n un3_size G_161 \ -# N_48_0 size_dma_0_0__un0_n un4_size N_220 N_3_i size_dma_0_1__un3_n un8_ciin G_159 \ -# N_49_0 size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n \ -# pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 CLK_030_H_0_sqmuxa \ -# pos_clk_un26_bgack_030_int_i_n ipl_030_0_0__un3_n un21_fpu_cs AS_000_DMA_1_sqmuxa \ -# pos_clk_un27_bgack_030_int_0_n ipl_030_0_0__un1_n un22_berr \ -# pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i ipl_030_0_0__un0_n un6_ds_030 \ -# pos_clk_un27_bgack_030_int_n N_7_i ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 \ -# ipl_030_0_1__un1_n un6_lds_000 N_165 N_133_i ipl_030_0_1__un0_n cpu_est_0_ N_133 \ -# N_176_i ipl_030_0_2__un3_n cpu_est_1_ N_163 N_175_i ipl_030_0_2__un1_n \ -# inst_AS_000_INT N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n SM_AMIGA_5_ N_164 \ -# pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n inst_AMIGA_BUS_ENABLE_DMA_LOW \ -# N_176 N_162_i dsack1_int_0_un1_n inst_AS_030_D0 DS_000_DMA_2_sqmuxa N_163_i \ -# dsack1_int_0_un0_n inst_nEXP_SPACE_D0reg pos_clk_ds_000_dma_4_n N_164_i \ -# as_000_int_0_un3_n inst_DS_030_D0 DS_000_DMA_0_sqmuxa N_165_i as_000_int_0_un1_n \ -# inst_AS_030_000_SYNC pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n \ -# inst_BGACK_030_INT_D N_175 pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n \ -# inst_AS_000_DMA N_47 pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n \ -# inst_DS_000_DMA N_7 clk_000_n_sync_i_10__n ds_000_enable_0_un0_n CYCLE_DMA_0_ \ -# un1_rst_2 pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n CYCLE_DMA_1_ \ -# pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n SIZE_DMA_0_ \ -# pos_clk_un29_bgack_030_int_n N_33_0 as_030_000_sync_0_un0_n SIZE_DMA_1_ N_3 N_18_i \ -# lds_000_int_0_un3_n inst_VPA_D N_6 N_37_0 lds_000_int_0_un1_n inst_UDS_000_INT \ -# un1_amiga_bus_enable_low_i pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n \ -# inst_LDS_000_INT un21_fpu_cs_i pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n \ -# inst_CLK_OUT_PRE_D AS_000_i pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n \ -# inst_DTACK_D0 DS_000_DMA_i pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n \ -# inst_CLK_OUT_PRE_50 pos_clk_un24_bgack_030_int_i_n \ -# pos_clk_un21_clk_000_ne_d0_i_n rw_000_dma_0_un3_n inst_CLK_000_D1 \ -# cycle_dma_i_1__n pos_clk_un23_clk_000_ne_d0_0_n rw_000_dma_0_un1_n \ -# inst_CLK_000_D0 cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n inst_CLK_000_PE \ -# AS_000_DMA_i N_140_0 uds_000_int_0_un3_n CLK_000_P_SYNC_9_ CLK_EXP_i N_195_i \ -# uds_000_int_0_un1_n inst_CLK_000_NE BERR_i N_196_i uds_000_int_0_un0_n \ -# CLK_000_N_SYNC_11_ RW_000_i N_186_i amiga_bus_enable_dma_low_0_un3_n cpu_est_2_ \ -# DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n IPL_D0_0_ \ -# pos_clk_un40_bgack_030_int_1_i_n N_189_i amiga_bus_enable_dma_low_0_un0_n \ -# IPL_D0_1_ BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n IPL_D0_2_ \ -# nEXP_SPACE_D0_i N_193_i amiga_bus_enable_dma_high_0_un1_n SM_AMIGA_3_ CLK_000_PE_i \ -# N_191_i amiga_bus_enable_dma_high_0_un0_n inst_CLK_000_NE_D0 CLK_000_NE_i N_192_i \ -# bg_000_0_un3_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n \ -# SM_AMIGA_0_ sm_amiga_i_0__n pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n \ -# inst_AMIGA_BUS_ENABLE_DMA_HIGH pos_clk_un7_clk_000_d0_i_n N_198_i a0_dma_0_un3_n \ -# inst_DSACK1_INTreg UDS_000_i N_197_i a0_dma_0_un1_n LDS_000_i N_199_i a0_dma_0_un0_n \ -# pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n \ -# pos_clk_cpu_est_11_0_3__n a_23__n pos_clk_ipl_n CLK_OUT_PRE_D_i N_151_i \ -# pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n SM_AMIGA_6_ sm_amiga_i_2__n \ -# AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n N_135_i a_21__n \ -# RST_DLY_0_ cpu_est_i_0__n N_252_0 RST_DLY_1_ cpu_est_i_3__n N_85_i a_20__n RST_DLY_2_ \ -# cpu_est_i_2__n RST_DLY_3_ cpu_est_i_1__n N_38_0 a_15__n RST_DLY_4_ VPA_D_i \ -# un1_rst_dly_i_m_i_2__n RST_DLY_5_ VMA_INT_i a_14__n RST_DLY_6_ sm_amiga_i_1__n \ -# un1_rst_dly_i_m_i_3__n RST_DLY_7_ RESET_OUT_0_sqmuxa_i a_13__n \ -# pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n CLK_000_P_SYNC_0_ \ -# un1_rst_dly_i_2__n a_12__n CLK_000_P_SYNC_1_ un1_rst_dly_i_3__n \ -# un1_rst_dly_i_m_i_5__n CLK_000_P_SYNC_2_ un1_rst_dly_i_4__n a_11__n \ -# CLK_000_P_SYNC_3_ un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n CLK_000_P_SYNC_4_ \ -# un1_rst_dly_i_6__n a_10__n CLK_000_P_SYNC_5_ un1_rst_dly_i_7__n \ -# un1_rst_dly_i_m_i_7__n CLK_000_P_SYNC_6_ un1_rst_dly_i_8__n a_9__n \ -# CLK_000_P_SYNC_7_ RESET_OUT_i un1_rst_dly_i_m_i_8__n CLK_000_P_SYNC_8_ AS_030_D0_i \ -# a_8__n CLK_000_N_SYNC_0_ AS_030_i un3_as_030_i CLK_000_N_SYNC_1_ A1_i N_76_i a_7__n \ -# CLK_000_N_SYNC_2_ CLK_000_D1_i N_83_i CLK_000_N_SYNC_3_ sm_amiga_i_i_7__n a_6__n \ -# CLK_000_N_SYNC_4_ N_248_i N_84_i CLK_000_N_SYNC_5_ sm_amiga_i_5__n N_115_0 a_5__n \ -# CLK_000_N_SYNC_6_ RW_i N_86_i CLK_000_N_SYNC_7_ CLK_000_D0_i \ -# pos_clk_size_dma_6_0_1__n a_4__n CLK_000_N_SYNC_8_ AS_030_000_SYNC_i N_87_i \ -# CLK_000_N_SYNC_9_ sm_amiga_i_6__n pos_clk_size_dma_6_0_0__n a_3__n \ -# CLK_000_N_SYNC_10_ sm_amiga_i_4__n N_88_i pos_clk_un5_bgack_030_int_d_n \ -# FPU_SENSE_i a_2__n inst_RW_000_INT size_dma_i_0__n N_241_0 inst_RW_000_DMA \ -# size_dma_i_1__n N_242_0 inst_A0_DMA a_i_16__n N_243_0 inst_CLK_030_H a_i_18__n N_93_i \ -# SM_AMIGA_1_ a_i_19__n N_94_i SM_AMIGA_4_ a_i_30__n N_244_0 SM_AMIGA_2_ a_i_31__n \ -# N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n N_246_0 inst_DS_000_ENABLE a_i_29__n \ -# pos_clk_un3_as_030_d0_i_n a_i_26__n pos_clk_un5_bgack_030_int_d_i_n a_i_27__n \ -# N_249_i pos_clk_a0_dma_3_n a_i_24__n N_251_0 a_i_25__n N_71_0 LDS_000_INT_i N_104_i \ -# N_8 DS_030_i N_137_i N_9 UDS_000_INT_i N_10 N_224_i N_160_i N_11 N_225_i N_161_i N_12 \ -# N_226_i N_13 N_159_i N_14 N_157_i N_15 N_158_i N_16 N_91_i N_19 N_90_i N_155_i N_20 \ -# un14_amiga_bus_data_dir_i N_156_i N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 \ -# un6_uds_000_i N_152_i N_25 un6_ds_030_i N_153_i cpu_est_0_0_ un4_as_000_i N_142_0 \ -# AS_000_INT_i N_141_0 un6_as_030_i N_138_0 AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i \ -# DS_030_D0_i un1_as_030_i AS_030_c pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c \ -# size_c_i_1__n N_25_i RW_000_c N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 \ -# ipl_c_i_2__n LDS_000_c N_54_0 ipl_c_i_1__n size_c_0__n N_53_0 ipl_c_i_0__n \ -# size_c_1__n N_52_0 DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_8_i \ -# N_46_0 N_9_i N_45_0 N_10_i SM_AMIGA_i_7_ N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n \ -# N_43_0 pos_clk_size_dma_6_1__n N_13_i G_165 N_42_0 G_166 N_14_i G_167 N_41_0 \ -# un6_uds_000_1 N_15_i N_241 N_40_0 N_242 N_16_i N_243 N_39_0 N_244 N_19_i N_245 N_36_0 \ -# N_246 N_20_i N_78 N_35_0 N_80 N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 \ -# pos_clk_un6_bg_030_i_n N_98 a_c_17__n pos_clk_un8_bg_030_0_n N_99 N_251_0_1 N_249 \ -# a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n \ -# pos_clk_cpu_est_11_0_1_1__n N_136 pos_clk_cpu_est_11_0_2_1__n \ -# pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 \ -# pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 N_155 un8_ciin_2 N_141 un8_ciin_3 N_156 \ -# un8_ciin_4 N_157 a_c_24__n un8_ciin_5 N_138 un8_ciin_6 N_158 a_c_25__n un8_ciin_7 N_159 \ -# un8_ciin_8 N_160 a_c_26__n N_116_1 N_142 N_116_2 N_161 a_c_27__n N_116_3 N_132 N_116_4 \ -# N_104 a_c_28__n un22_berr_1_0 N_76 un21_fpu_cs_1 N_71 a_c_29__n N_123_i_1 N_251 \ -# N_123_i_2 N_93 a_c_30__n N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 N_87 \ -# N_129_i_1 N_86 A0_c pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c \ -# RESET_OUT_0_sqmuxa_7_1 N_116 RESET_OUT_0_sqmuxa_7_2 G_149 nEXP_SPACE_c \ -# RESET_OUT_0_sqmuxa_7_3 G_147 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 G_145 \ -# pos_clk_un27_clk_000_ne_d0_1_n N_211 BG_030_c pos_clk_un27_clk_000_ne_d0_2_n G_143 \ -# pos_clk_un27_clk_000_ne_d0_3_n N_209 BG_000DFFreg pos_clk_un5_clk_000_pe_1_n G_141 \ -# pos_clk_un5_clk_000_pe_2_n G_139 pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c \ -# pos_clk_un9_clk_000_ne_1_n G_137 pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 \ -# pos_clk_un9_clk_000_ne_3_n RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n \ -# RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 \ -# un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n N_165_1 \ -# un1_rst_dly_i_m_6__n CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 \ -# un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 \ -# un1_rst_dly_i_m_2__n N_176_1_0 N_38 IPL_030DFF_0_reg DS_000_DMA_2_sqmuxa_1 N_85 \ -# N_119_i_1 pos_clk_RST_DLY_5_iv_0_x2_0_ IPL_030DFF_1_reg N_115_0_1 N_252 \ -# pos_clk_ipl_1_n N_97 IPL_030DFF_2_reg as_000_dma_0_un3_n \ -# pos_clk_un27_clk_000_ne_d0_n as_000_dma_0_un1_n N_199_1 ipl_c_0__n \ -# as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n ds_000_dma_0_un3_n \ -# pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 ds_000_dma_0_un0_n \ -# N_151 ipl_c_2__n vma_int_0_un3_n N_199 vma_int_0_un1_n N_196 vma_int_0_un0_n N_195 \ -# DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 sm_amiga_srsts_i_0_m2_1__un1_n \ -# pos_clk_cpu_est_11_3__n sm_amiga_srsts_i_0_m2_1__un0_n N_197 cpu_est_0_3__un3_n \ -# N_198 VPA_c cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 \ -# cpu_est_0_2__un3_n N_192 RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n +#$ PINS 75 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ +# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 A_15_ DS_030 A_14_ UDS_000 \ +# A_13_ LDS_000 A_12_ A0 A_11_ A1 A_10_ nEXP_SPACE A_9_ BERR A_8_ BG_030 A_7_ BG_000 A_6_ \ +# BGACK_030 A_5_ BGACK_000 A_4_ CLK_030 A_3_ CLK_000 A_2_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT \ +# IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E VPA VMA RST \ +# RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ +# AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ +#$ NODES 695 N_159 N_21_i bgack_030_int_0_un0_n N_157 N_34_0 as_030_000_sync_0_un3_n \ +# N_158 N_20_i as_030_000_sync_0_un1_n un1_rst_dly_i_m_1__n N_35_0 \ +# as_030_000_sync_0_un0_n N_138 N_16_i ds_000_enable_0_un3_n N_88 N_39_0 \ +# ds_000_enable_0_un1_n inst_BGACK_030_INTreg N_256 N_13_i ds_000_enable_0_un0_n \ +# vcc_n_n N_89 N_42_0 a0_dma_0_un3_n cpu_est_3_reg N_91 N_12_i a0_dma_0_un1_n \ +# inst_VMA_INTreg N_92 N_43_0 a0_dma_0_un0_n inst_RESET_OUTreg pos_clk_a0_dma_3_n \ +# N_8_i size_dma_0_1__un3_n gnd_n_n N_96 N_46_0 size_dma_0_1__un1_n \ +# un1_amiga_bus_enable_low N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 N_72_0 \ +# size_dma_0_0__un3_n un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n un4_size N_104 \ +# LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 N_256_i \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n \ +# pos_clk_un5_bgack_030_int_d_i_n un1_amiga_bus_enable_dma_high_i_m2_0__un1_n \ +# un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_SM_AMIGA_7 N_250 N_104_i \ +# cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n N_252_0 cpu_est_0_1__un1_n \ +# un22_berr N_251 N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 \ +# pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 \ +# pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n un6_lds_000 \ +# pos_clk_un24_bgack_030_int_i_0_x2 N_96_i cpu_est_0_2__un0_n cpu_est_0_ \ +# DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n cpu_est_1_ un22_berr_1 N_89_i \ +# cpu_est_0_3__un1_n inst_AS_000_INT N_8 pos_clk_size_dma_6_0_0__n \ +# cpu_est_0_3__un0_n SM_AMIGA_5_ N_12 N_88_i ipl_030_0_0__un3_n \ +# inst_AMIGA_BUS_ENABLE_DMA_LOW N_13 pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n \ +# inst_AS_030_D0 N_16 N_138_0 ipl_030_0_0__un0_n inst_nEXP_SPACE_D0reg N_20 \ +# un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n inst_DS_030_D0 N_21 ipl_030_0_1__un1_n \ +# inst_AS_030_000_SYNC N_11 N_157_i ipl_030_0_1__un0_n inst_BGACK_030_INT_D \ +# un1_amiga_bus_enable_low_i N_158_i ipl_030_0_2__un3_n inst_AS_000_DMA \ +# un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n inst_DS_000_DMA BGACK_030_INT_i \ +# ipl_030_0_2__un0_n CYCLE_DMA_0_ AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 \ +# dsack1_int_0_un3_n CYCLE_DMA_1_ AS_030_i un1_as_000_i dsack1_int_0_un1_n \ +# SIZE_DMA_0_ AS_000_INT_i N_93_i dsack1_int_0_un0_n SIZE_DMA_1_ N_105_i \ +# as_000_int_0_un3_n inst_VPA_D N_91_i N_87_i as_000_int_0_un1_n inst_UDS_000_INT \ +# N_92_i N_86_i as_000_int_0_un0_n inst_LDS_000_INT a_i_19__n AMIGA_BUS_DATA_DIR_c_0 \ +# lds_000_int_0_un3_n inst_CLK_OUT_PRE_D a_i_18__n un1_rst_dly_i_m_i_2__n \ +# lds_000_int_0_un1_n inst_DTACK_D0 a_i_16__n lds_000_int_0_un0_n \ +# inst_CLK_OUT_PRE_50 size_dma_i_1__n un1_rst_dly_i_m_i_3__n rw_000_int_0_un3_n \ +# inst_CLK_000_D1 size_dma_i_0__n rw_000_int_0_un1_n inst_CLK_000_D0 FPU_SENSE_i \ +# un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n inst_CLK_000_PE CLK_000_D1_i \ +# uds_000_int_0_un3_n CLK_000_P_SYNC_9_ CLK_000_D0_i un1_rst_dly_i_m_i_5__n \ +# uds_000_int_0_un1_n inst_CLK_000_NE AS_030_000_SYNC_i uds_000_int_0_un0_n \ +# CLK_000_N_SYNC_11_ AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n cpu_est_2_ \ +# sm_amiga_i_i_7__n vma_int_0_un1_n IPL_D0_0_ AS_000_i un1_rst_dly_i_m_i_7__n \ +# vma_int_0_un0_n IPL_D0_1_ CLK_000_PE_i bg_000_0_un3_n IPL_D0_2_ nEXP_SPACE_D0_i \ +# un1_rst_dly_i_m_i_8__n bg_000_0_un1_n SM_AMIGA_3_ A1_i bg_000_0_un0_n \ +# inst_CLK_000_NE_D0 sm_amiga_i_6__n un3_as_030_i ds_000_dma_0_un3_n \ +# pos_clk_un6_bg_030_n BERR_i un1_as_030_i ds_000_dma_0_un1_n SM_AMIGA_0_ \ +# sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n \ +# inst_AMIGA_BUS_ENABLE_DMA_HIGH RESET_OUT_0_sqmuxa_i \ +# pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n inst_DSACK1_INTreg \ +# un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n as_000_dma_0_un1_n RESET_OUT_i \ +# CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n RW_000_i N_244_0 \ +# a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i pos_clk_un3_ds_030_d0_n \ +# un1_rst_dly_i_3__n N_115_0 a_14__n SM_AMIGA_6_ un1_rst_dly_i_4__n N_84_i RST_DLY_0_ \ +# un1_rst_dly_i_5__n N_245_0 a_13__n RST_DLY_1_ un1_rst_dly_i_6__n N_85_i RST_DLY_2_ \ +# un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n RST_DLY_3_ un1_rst_dly_i_8__n \ +# N_94_i RST_DLY_4_ CLK_OUT_PRE_D_i a_11__n RST_DLY_5_ \ +# pos_clk_un11_clk_000_n_sync_i_n RST_DLY_6_ CLK_030_H_i a_10__n RST_DLY_7_ \ +# AS_000_DMA_i N_73_0 pos_clk_un8_bg_030_n VMA_INT_i a_9__n CLK_000_P_SYNC_0_ VPA_D_i \ +# N_160_i CLK_000_P_SYNC_1_ cpu_est_i_0__n N_161_i a_8__n CLK_000_P_SYNC_2_ \ +# cpu_est_i_1__n CLK_000_P_SYNC_3_ cpu_est_i_3__n N_155_i a_7__n CLK_000_P_SYNC_4_ \ +# a_i_24__n N_156_i CLK_000_P_SYNC_5_ pos_clk_un7_clk_000_d0_i_n a_6__n \ +# CLK_000_P_SYNC_6_ sm_amiga_i_0__n N_154_i CLK_000_P_SYNC_7_ sm_amiga_i_3__n N_152_i \ +# a_5__n CLK_000_P_SYNC_8_ CLK_000_NE_i N_153_i CLK_000_N_SYNC_0_ cpu_est_i_2__n \ +# a_4__n CLK_000_N_SYNC_1_ sm_amiga_i_1__n N_150_i CLK_000_N_SYNC_2_ sm_amiga_i_4__n \ +# N_151_i a_3__n CLK_000_N_SYNC_3_ sm_amiga_i_2__n CLK_000_N_SYNC_4_ \ +# pos_clk_un29_clk_000_ne_d0_i_n N_149_i a_2__n CLK_000_N_SYNC_5_ \ +# DSACK1_INT_0_sqmuxa_i N_147_i CLK_000_N_SYNC_6_ RW_i N_148_i CLK_000_N_SYNC_7_ \ +# un5_ciin_i CLK_000_N_SYNC_8_ DTACK_D0_i N_164_i CLK_000_N_SYNC_9_ a_i_31__n N_165_i \ +# CLK_000_N_SYNC_10_ a_i_29__n N_163_i inst_RW_000_INT a_i_30__n N_199_i \ +# inst_RW_000_DMA a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n \ +# a_i_28__n N_197_i inst_A0_DMA a_i_25__n N_198_i DS_000_ENABLE_1_sqmuxa a_i_26__n \ +# N_194_i inst_CLK_030_H UDS_000_INT_i pos_clk_cpu_est_11_0_1__n SM_AMIGA_1_ \ +# LDS_000_INT_i N_192_i SM_AMIGA_4_ DS_030_i N_135_i SM_AMIGA_2_ N_224_i N_162_i \ +# inst_DS_000_ENABLE N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i \ +# DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i N_3 \ +# DS_000_ENABLE_1_sqmuxa_i N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i N_6 \ +# un6_ds_030_i N_186_i N_9 DS_000_DMA_i N_143_0 N_10 un4_as_000_i N_142_0 N_14 un8_ciin_i \ +# N_141_0 N_15 un6_as_030_i N_140_0 N_17 DS_030_D0_i N_139_0 N_19 AS_030_c N_166_i N_22 \ +# N_137_i N_23 AS_000_c N_136_i N_24 N_133_i N_25 RW_000_c N_132_i cpu_est_0_0_ N_146_i \ +# DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i pos_clk_un21_clk_000_ne_d0_i_n \ +# LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n pos_clk_un23_clk_000_ne_d0_0_n \ +# size_c_0__n pos_clk_un5_clk_000_pe_i_n pos_clk_un9_clk_000_ne_i_n size_c_1__n \ +# pos_clk_un7_clk_000_pe_0_n pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i \ +# N_32_0 N_24_i N_31_0 N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n \ +# N_52_0 DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i SM_AMIGA_i_7_ N_55_0 N_115 N_50_0 \ +# pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n N_49_0 N_220 N_6_i G_165 N_48_0 \ +# G_166 N_9_i G_167 N_45_0 un6_uds_000_1 N_10_i pos_clk_un24_bgack_030_int_i_0_n \ +# a_c_16__n N_44_0 N_244 N_14_i N_245 a_c_17__n N_41_0 N_15_i N_80 a_c_18__n N_40_0 N_90 \ +# N_17_i N_100 a_c_19__n N_38_0 N_105 N_19_i pos_clk_un11_clk_000_n_sync_n a_c_20__n \ +# N_36_0 pos_clk_CYCLE_DMA_5_1_i_x2 N_22_i pos_clk_CYCLE_DMA_5_0_i_x2 a_c_21__n \ +# N_33_0 N_135 BG_030_c_i N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 \ +# pos_clk_un8_bg_030_0_n N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 N_136 a_c_24__n \ +# pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 \ +# pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n \ +# DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n pos_clk_un21_clk_000_ne_d0_n \ +# a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 \ +# pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n N_131_i_1 \ +# pos_clk_un27_clk_000_ne_d0_n a_c_28__n N_131_i_2 pos_clk_un14_clk_000_n_sync_n \ +# N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 N_119_i_2 N_143 a_c_30__n N_121_i_1 N_147 \ +# N_123_i_1 N_139 a_c_31__n N_123_i_2 N_148 N_125_i_1 N_149 A0_c N_129_i_1 N_150 N_162_1 \ +# N_140 A1_c N_163_1 N_151 N_165_1 N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 N_154 BERR_c \ +# un5_ciin_1 N_155 un5_ciin_2 N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 \ +# BG_000DFFreg un5_ciin_5 N_142 un5_ciin_6 N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 \ +# N_166 un5_ciin_9 N_132 un5_ciin_10 N_191 un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n \ +# N_193 CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 \ +# pos_clk_un9_clk_000_ne_4_n N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 \ +# pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c \ +# pos_clk_un27_clk_000_ne_d0_1_n N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 \ +# IPL_030DFF_0_reg pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 \ +# IPL_030DFF_1_reg RESET_OUT_0_sqmuxa_7_2 N_73 RESET_OUT_0_sqmuxa_7_3 N_94 \ +# IPL_030DFF_2_reg RESET_OUT_0_sqmuxa_5_1 N_85 N_87_1 N_84 ipl_c_0__n N_87_2 N_83 \ +# pos_clk_un24_bgack_030_int_i_0_0_1_n pos_clk_un9_clk_000_n_sync_n ipl_c_1__n \ +# pos_clk_un24_bgack_030_int_i_0_0_2_n G_149 N_72_0_1 G_147 ipl_c_2__n \ +# pos_clk_un7_clk_000_d0_1_n N_213 un21_fpu_cs_1 G_145 un22_berr_1_0 N_211 DTACK_c \ +# N_116_1 G_143 N_116_2 N_209 N_116_3 G_141 N_116_4 G_139 VPA_c pos_clk_un6_bg_030_1_n \ +# N_205 N_115_0_1 G_137 N_245_0_1 RESET_OUT_0_sqmuxa_1 RST_c N_247_i_1 \ +# RESET_OUT_0_sqmuxa N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 RESET_OUT_0_sqmuxa_5 \ +# RW_c pos_clk_ipl_1_n un1_rst_dly_i_m_8__n amiga_bus_enable_dma_high_0_un3_n \ +# un1_rst_dly_i_m_7__n fc_c_0__n amiga_bus_enable_dma_high_0_un1_n \ +# un1_rst_dly_i_m_6__n amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n \ +# fc_c_1__n amiga_bus_enable_dma_low_0_un3_n un1_rst_dly_i_m_4__n \ +# amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n \ +# amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c \ +# rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 rw_000_dma_0_un0_n N_87 \ +# bgack_030_int_0_un3_n G_135 bgack_030_int_0_un1_n .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ @@ -145,374 +142,366 @@ A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF \ A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF A_7_.BLIF A_6_.BLIF \ A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF \ -LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_193.BLIF \ -cpu_est_0_1__un3_n.BLIF N_190.BLIF RW_c.BLIF cpu_est_0_1__un1_n.BLIF \ -N_189.BLIF cpu_est_0_1__un0_n.BLIF N_140.BLIF fc_c_0__n.BLIF \ -bgack_030_int_0_un3_n.BLIF pos_clk_un29_clk_000_ne_d0_n.BLIF \ -bgack_030_int_0_un1_n.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF fc_c_1__n.BLIF \ -bgack_030_int_0_un0_n.BLIF inst_BGACK_030_INTreg.BLIF \ -pos_clk_un21_clk_000_ne_d0_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF vcc_n_n.BLIF \ -pos_clk_un7_clk_000_pe_n.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF \ -cpu_est_3_reg.BLIF N_18.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF inst_VMA_INTreg.BLIF \ -N_22.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF inst_RESET_OUTreg.BLIF \ -pos_clk_un11_clk_000_n_sync_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF \ -gnd_n_n.BLIF pos_clk_un9_clk_000_n_sync_n.BLIF \ -ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF un1_amiga_bus_enable_low.BLIF \ -pos_clk_un14_clk_000_n_sync_n.BLIF size_dma_0_0__un3_n.BLIF un6_as_030.BLIF \ -pos_clk_un22_bgack_030_int_n.BLIF N_6_i.BLIF size_dma_0_0__un1_n.BLIF \ -un3_size.BLIF G_161.BLIF N_48_0.BLIF size_dma_0_0__un0_n.BLIF un4_size.BLIF \ -N_220.BLIF N_3_i.BLIF size_dma_0_1__un3_n.BLIF un8_ciin.BLIF G_159.BLIF \ -N_49_0.BLIF size_dma_0_1__un1_n.BLIF un14_amiga_bus_data_dir.BLIF \ -pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF \ -size_dma_0_1__un0_n.BLIF un4_as_000.BLIF CLK_030_H_0_sqmuxa.BLIF \ -pos_clk_un26_bgack_030_int_i_n.BLIF ipl_030_0_0__un3_n.BLIF un21_fpu_cs.BLIF \ -AS_000_DMA_1_sqmuxa.BLIF pos_clk_un27_bgack_030_int_0_n.BLIF \ -ipl_030_0_0__un1_n.BLIF un22_berr.BLIF pos_clk_un24_bgack_030_int_n.BLIF \ -CLK_030_H_0_sqmuxa_i.BLIF ipl_030_0_0__un0_n.BLIF un6_ds_030.BLIF \ -pos_clk_un27_bgack_030_int_n.BLIF N_7_i.BLIF ipl_030_0_1__un3_n.BLIF \ -un6_uds_000.BLIF N_176_1.BLIF N_47_0.BLIF ipl_030_0_1__un1_n.BLIF \ -un6_lds_000.BLIF N_165.BLIF N_133_i.BLIF ipl_030_0_1__un0_n.BLIF \ -cpu_est_0_.BLIF N_133.BLIF N_176_i.BLIF ipl_030_0_2__un3_n.BLIF \ -cpu_est_1_.BLIF N_163.BLIF N_175_i.BLIF ipl_030_0_2__un1_n.BLIF \ -inst_AS_000_INT.BLIF N_162.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ -ipl_030_0_2__un0_n.BLIF SM_AMIGA_5_.BLIF N_164.BLIF \ -pos_clk_ds_000_dma_4_f1_0_n.BLIF dsack1_int_0_un3_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_176.BLIF N_162_i.BLIF \ -dsack1_int_0_un1_n.BLIF inst_AS_030_D0.BLIF DS_000_DMA_2_sqmuxa.BLIF \ -N_163_i.BLIF dsack1_int_0_un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF \ -pos_clk_ds_000_dma_4_n.BLIF N_164_i.BLIF as_000_int_0_un3_n.BLIF \ -inst_DS_030_D0.BLIF DS_000_DMA_0_sqmuxa.BLIF N_165_i.BLIF \ -as_000_int_0_un1_n.BLIF inst_AS_030_000_SYNC.BLIF \ -pos_clk_ds_000_dma_4_f1_n.BLIF as_000_int_0_un0_n.BLIF \ -inst_BGACK_030_INT_D.BLIF N_175.BLIF pos_clk_un22_bgack_030_int_0_n.BLIF \ -ds_000_enable_0_un3_n.BLIF inst_AS_000_DMA.BLIF N_47.BLIF \ -pos_clk_un9_clk_000_n_sync_i_n.BLIF ds_000_enable_0_un1_n.BLIF \ -inst_DS_000_DMA.BLIF N_7.BLIF clk_000_n_sync_i_10__n.BLIF \ -ds_000_enable_0_un0_n.BLIF CYCLE_DMA_0_.BLIF un1_rst_2.BLIF \ -pos_clk_un14_clk_000_n_sync_0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ -CYCLE_DMA_1_.BLIF pos_clk_un26_bgack_030_int_n.BLIF N_22_i.BLIF \ -as_030_000_sync_0_un1_n.BLIF SIZE_DMA_0_.BLIF \ -pos_clk_un29_bgack_030_int_n.BLIF N_33_0.BLIF as_030_000_sync_0_un0_n.BLIF \ -SIZE_DMA_1_.BLIF N_3.BLIF N_18_i.BLIF lds_000_int_0_un3_n.BLIF inst_VPA_D.BLIF \ -N_6.BLIF N_37_0.BLIF lds_000_int_0_un1_n.BLIF inst_UDS_000_INT.BLIF \ -un1_amiga_bus_enable_low_i.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ -lds_000_int_0_un0_n.BLIF inst_LDS_000_INT.BLIF un21_fpu_cs_i.BLIF \ -pos_clk_un5_clk_000_pe_i_n.BLIF rw_000_int_0_un3_n.BLIF \ -inst_CLK_OUT_PRE_D.BLIF AS_000_i.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF \ -rw_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF DS_000_DMA_i.BLIF \ -pos_clk_un27_clk_000_ne_d0_i_n.BLIF rw_000_int_0_un0_n.BLIF \ -inst_CLK_OUT_PRE_50.BLIF pos_clk_un24_bgack_030_int_i_n.BLIF \ -pos_clk_un21_clk_000_ne_d0_i_n.BLIF rw_000_dma_0_un3_n.BLIF \ -inst_CLK_000_D1.BLIF cycle_dma_i_1__n.BLIF pos_clk_un23_clk_000_ne_d0_0_n.BLIF \ -rw_000_dma_0_un1_n.BLIF inst_CLK_000_D0.BLIF cycle_dma_i_0__n.BLIF \ -N_136_i.BLIF rw_000_dma_0_un0_n.BLIF inst_CLK_000_PE.BLIF AS_000_DMA_i.BLIF \ -N_140_0.BLIF uds_000_int_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF CLK_EXP_i.BLIF \ -N_195_i.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF BERR_i.BLIF \ -N_196_i.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_11_.BLIF RW_000_i.BLIF \ -N_186_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF cpu_est_2_.BLIF \ -DS_000_DMA_0_sqmuxa_i.BLIF N_188_i.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF \ -IPL_D0_0_.BLIF pos_clk_un40_bgack_030_int_1_i_n.BLIF N_189_i.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF IPL_D0_1_.BLIF BGACK_030_INT_i.BLIF \ -N_190_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF IPL_D0_2_.BLIF \ -nEXP_SPACE_D0_i.BLIF N_193_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF \ -SM_AMIGA_3_.BLIF CLK_000_PE_i.BLIF N_191_i.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF \ -CLK_000_NE_i.BLIF N_192_i.BLIF bg_000_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF \ -sm_amiga_i_3__n.BLIF N_194_i.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_0_.BLIF \ -sm_amiga_i_0__n.BLIF pos_clk_cpu_est_11_0_1__n.BLIF bg_000_0_un0_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF \ -N_198_i.BLIF a0_dma_0_un3_n.BLIF inst_DSACK1_INTreg.BLIF UDS_000_i.BLIF \ -N_197_i.BLIF a0_dma_0_un1_n.BLIF LDS_000_i.BLIF N_199_i.BLIF \ -a0_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF \ -pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF \ -a_23__n.BLIF pos_clk_ipl_n.BLIF CLK_OUT_PRE_D_i.BLIF N_151_i.BLIF \ -pos_clk_un3_ds_030_d0_n.BLIF DTACK_D0_i.BLIF N_150_i.BLIF a_22__n.BLIF \ -SM_AMIGA_6_.BLIF sm_amiga_i_2__n.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF \ -pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_135_i.BLIF a_21__n.BLIF RST_DLY_0_.BLIF \ -cpu_est_i_0__n.BLIF N_252_0.BLIF RST_DLY_1_.BLIF cpu_est_i_3__n.BLIF \ -N_85_i.BLIF a_20__n.BLIF RST_DLY_2_.BLIF cpu_est_i_2__n.BLIF RST_DLY_3_.BLIF \ -cpu_est_i_1__n.BLIF N_38_0.BLIF a_15__n.BLIF RST_DLY_4_.BLIF VPA_D_i.BLIF \ -un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_5_.BLIF VMA_INT_i.BLIF a_14__n.BLIF \ -RST_DLY_6_.BLIF sm_amiga_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF \ -RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_i.BLIF a_13__n.BLIF \ -pos_clk_un8_bg_030_n.BLIF N_77_i_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF \ -CLK_000_P_SYNC_0_.BLIF un1_rst_dly_i_2__n.BLIF a_12__n.BLIF \ -CLK_000_P_SYNC_1_.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_i_5__n.BLIF \ -CLK_000_P_SYNC_2_.BLIF un1_rst_dly_i_4__n.BLIF a_11__n.BLIF \ -CLK_000_P_SYNC_3_.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_i_6__n.BLIF \ -CLK_000_P_SYNC_4_.BLIF un1_rst_dly_i_6__n.BLIF a_10__n.BLIF \ -CLK_000_P_SYNC_5_.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_i_7__n.BLIF \ -CLK_000_P_SYNC_6_.BLIF un1_rst_dly_i_8__n.BLIF a_9__n.BLIF \ -CLK_000_P_SYNC_7_.BLIF RESET_OUT_i.BLIF un1_rst_dly_i_m_i_8__n.BLIF \ -CLK_000_P_SYNC_8_.BLIF AS_030_D0_i.BLIF a_8__n.BLIF CLK_000_N_SYNC_0_.BLIF \ -AS_030_i.BLIF un3_as_030_i.BLIF CLK_000_N_SYNC_1_.BLIF A1_i.BLIF N_76_i.BLIF \ -a_7__n.BLIF CLK_000_N_SYNC_2_.BLIF CLK_000_D1_i.BLIF N_83_i.BLIF \ -CLK_000_N_SYNC_3_.BLIF sm_amiga_i_i_7__n.BLIF a_6__n.BLIF \ -CLK_000_N_SYNC_4_.BLIF N_248_i.BLIF N_84_i.BLIF CLK_000_N_SYNC_5_.BLIF \ -sm_amiga_i_5__n.BLIF N_115_0.BLIF a_5__n.BLIF CLK_000_N_SYNC_6_.BLIF RW_i.BLIF \ -N_86_i.BLIF CLK_000_N_SYNC_7_.BLIF CLK_000_D0_i.BLIF \ -pos_clk_size_dma_6_0_1__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_8_.BLIF \ -AS_030_000_SYNC_i.BLIF N_87_i.BLIF CLK_000_N_SYNC_9_.BLIF sm_amiga_i_6__n.BLIF \ -pos_clk_size_dma_6_0_0__n.BLIF a_3__n.BLIF CLK_000_N_SYNC_10_.BLIF \ -sm_amiga_i_4__n.BLIF N_88_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -FPU_SENSE_i.BLIF a_2__n.BLIF inst_RW_000_INT.BLIF size_dma_i_0__n.BLIF \ -N_241_0.BLIF inst_RW_000_DMA.BLIF size_dma_i_1__n.BLIF N_242_0.BLIF \ -inst_A0_DMA.BLIF a_i_16__n.BLIF N_243_0.BLIF inst_CLK_030_H.BLIF \ -a_i_18__n.BLIF N_93_i.BLIF SM_AMIGA_1_.BLIF a_i_19__n.BLIF N_94_i.BLIF \ -SM_AMIGA_4_.BLIF a_i_30__n.BLIF N_244_0.BLIF SM_AMIGA_2_.BLIF a_i_31__n.BLIF \ -N_245_0.BLIF pos_clk_un3_as_030_d0_n.BLIF a_i_28__n.BLIF N_246_0.BLIF \ -inst_DS_000_ENABLE.BLIF a_i_29__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF \ -a_i_26__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF a_i_27__n.BLIF \ -N_249_i.BLIF pos_clk_a0_dma_3_n.BLIF a_i_24__n.BLIF N_251_0.BLIF \ -a_i_25__n.BLIF N_71_0.BLIF LDS_000_INT_i.BLIF N_104_i.BLIF N_8.BLIF \ -DS_030_i.BLIF N_137_i.BLIF N_9.BLIF UDS_000_INT_i.BLIF N_10.BLIF N_224_i.BLIF \ -N_160_i.BLIF N_11.BLIF N_225_i.BLIF N_161_i.BLIF N_12.BLIF N_226_i.BLIF \ -N_13.BLIF N_159_i.BLIF N_14.BLIF N_157_i.BLIF N_15.BLIF N_158_i.BLIF N_16.BLIF \ -N_91_i.BLIF N_19.BLIF N_90_i.BLIF N_155_i.BLIF N_20.BLIF \ -un14_amiga_bus_data_dir_i.BLIF N_156_i.BLIF N_21.BLIF N_80_i.BLIF N_23.BLIF \ -un6_lds_000_i.BLIF N_154_i.BLIF N_24.BLIF un6_uds_000_i.BLIF N_152_i.BLIF \ -N_25.BLIF un6_ds_030_i.BLIF N_153_i.BLIF cpu_est_0_0_.BLIF un4_as_000_i.BLIF \ -N_142_0.BLIF AS_000_INT_i.BLIF N_141_0.BLIF un6_as_030_i.BLIF N_138_0.BLIF \ -AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_132_i.BLIF DS_030_D0_i.BLIF \ -un1_as_030_i.BLIF AS_030_c.BLIF pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF \ -AS_000_c.BLIF size_c_i_1__n.BLIF N_25_i.BLIF RW_000_c.BLIF N_32_0.BLIF \ -N_24_i.BLIF DS_030_c.BLIF N_31_0.BLIF N_23_i.BLIF UDS_000_c.BLIF N_30_0.BLIF \ -ipl_c_i_2__n.BLIF LDS_000_c.BLIF N_54_0.BLIF ipl_c_i_1__n.BLIF \ -size_c_0__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF size_c_1__n.BLIF N_52_0.BLIF \ +LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_159.BLIF N_21_i.BLIF \ +bgack_030_int_0_un0_n.BLIF N_157.BLIF N_34_0.BLIF as_030_000_sync_0_un3_n.BLIF \ +N_158.BLIF N_20_i.BLIF as_030_000_sync_0_un1_n.BLIF un1_rst_dly_i_m_1__n.BLIF \ +N_35_0.BLIF as_030_000_sync_0_un0_n.BLIF N_138.BLIF N_16_i.BLIF \ +ds_000_enable_0_un3_n.BLIF N_88.BLIF N_39_0.BLIF ds_000_enable_0_un1_n.BLIF \ +inst_BGACK_030_INTreg.BLIF N_256.BLIF N_13_i.BLIF ds_000_enable_0_un0_n.BLIF \ +vcc_n_n.BLIF N_89.BLIF N_42_0.BLIF a0_dma_0_un3_n.BLIF cpu_est_3_reg.BLIF \ +N_91.BLIF N_12_i.BLIF a0_dma_0_un1_n.BLIF inst_VMA_INTreg.BLIF N_92.BLIF \ +N_43_0.BLIF a0_dma_0_un0_n.BLIF inst_RESET_OUTreg.BLIF pos_clk_a0_dma_3_n.BLIF \ +N_8_i.BLIF size_dma_0_1__un3_n.BLIF gnd_n_n.BLIF N_96.BLIF N_46_0.BLIF \ +size_dma_0_1__un1_n.BLIF un1_amiga_bus_enable_low.BLIF N_72.BLIF N_76_i.BLIF \ +size_dma_0_1__un0_n.BLIF un6_as_030.BLIF N_97.BLIF N_72_0.BLIF \ +size_dma_0_0__un3_n.BLIF un3_size.BLIF N_116.BLIF UDS_000_c_i.BLIF \ +size_dma_0_0__un1_n.BLIF un4_size.BLIF N_104.BLIF LDS_000_c_i.BLIF \ +size_dma_0_0__un0_n.BLIF un5_ciin.BLIF N_76.BLIF N_256_i.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF un8_ciin.BLIF \ +pos_clk_size_dma_6_1__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF un4_as_000.BLIF \ +pos_clk_size_dma_6_0__n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF un1_SM_AMIGA_7.BLIF \ +N_250.BLIF N_104_i.BLIF cpu_est_0_1__un3_n.BLIF un21_fpu_cs.BLIF \ +pos_clk_un6_bgack_000_n.BLIF N_252_0.BLIF cpu_est_0_1__un1_n.BLIF \ +un22_berr.BLIF N_251.BLIF N_251_0.BLIF cpu_est_0_1__un0_n.BLIF un6_ds_030.BLIF \ +N_252.BLIF pos_clk_un6_bgack_000_0_n.BLIF cpu_est_0_2__un3_n.BLIF \ +un6_uds_000.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF N_97_i.BLIF \ +cpu_est_0_2__un1_n.BLIF un6_lds_000.BLIF \ +pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_96_i.BLIF cpu_est_0_2__un0_n.BLIF \ +cpu_est_0_.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF N_250_0.BLIF \ +cpu_est_0_3__un3_n.BLIF cpu_est_1_.BLIF un22_berr_1.BLIF N_89_i.BLIF \ +cpu_est_0_3__un1_n.BLIF inst_AS_000_INT.BLIF N_8.BLIF \ +pos_clk_size_dma_6_0_0__n.BLIF cpu_est_0_3__un0_n.BLIF SM_AMIGA_5_.BLIF \ +N_12.BLIF N_88_i.BLIF ipl_030_0_0__un3_n.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_13.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ +ipl_030_0_0__un1_n.BLIF inst_AS_030_D0.BLIF N_16.BLIF N_138_0.BLIF \ +ipl_030_0_0__un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_20.BLIF \ +un1_rst_dly_i_m_i_1__n.BLIF ipl_030_0_1__un3_n.BLIF inst_DS_030_D0.BLIF \ +N_21.BLIF ipl_030_0_1__un1_n.BLIF inst_AS_030_000_SYNC.BLIF N_11.BLIF \ +N_157_i.BLIF ipl_030_0_1__un0_n.BLIF inst_BGACK_030_INT_D.BLIF \ +un1_amiga_bus_enable_low_i.BLIF N_158_i.BLIF ipl_030_0_2__un3_n.BLIF \ +inst_AS_000_DMA.BLIF un21_fpu_cs_i.BLIF N_159_i.BLIF ipl_030_0_2__un1_n.BLIF \ +inst_DS_000_DMA.BLIF BGACK_030_INT_i.BLIF ipl_030_0_2__un0_n.BLIF \ +CYCLE_DMA_0_.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_257_0.BLIF \ +dsack1_int_0_un3_n.BLIF CYCLE_DMA_1_.BLIF AS_030_i.BLIF un1_as_000_i.BLIF \ +dsack1_int_0_un1_n.BLIF SIZE_DMA_0_.BLIF AS_000_INT_i.BLIF N_93_i.BLIF \ +dsack1_int_0_un0_n.BLIF SIZE_DMA_1_.BLIF N_105_i.BLIF as_000_int_0_un3_n.BLIF \ +inst_VPA_D.BLIF N_91_i.BLIF N_87_i.BLIF as_000_int_0_un1_n.BLIF \ +inst_UDS_000_INT.BLIF N_92_i.BLIF N_86_i.BLIF as_000_int_0_un0_n.BLIF \ +inst_LDS_000_INT.BLIF a_i_19__n.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ +lds_000_int_0_un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF a_i_18__n.BLIF \ +un1_rst_dly_i_m_i_2__n.BLIF lds_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF \ +a_i_16__n.BLIF lds_000_int_0_un0_n.BLIF inst_CLK_OUT_PRE_50.BLIF \ +size_dma_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF rw_000_int_0_un3_n.BLIF \ +inst_CLK_000_D1.BLIF size_dma_i_0__n.BLIF rw_000_int_0_un1_n.BLIF \ +inst_CLK_000_D0.BLIF FPU_SENSE_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF \ +rw_000_int_0_un0_n.BLIF inst_CLK_000_PE.BLIF CLK_000_D1_i.BLIF \ +uds_000_int_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF CLK_000_D0_i.BLIF \ +un1_rst_dly_i_m_i_5__n.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF \ +AS_030_000_SYNC_i.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_11_.BLIF \ +AS_030_D0_i.BLIF un1_rst_dly_i_m_i_6__n.BLIF vma_int_0_un3_n.BLIF \ +cpu_est_2_.BLIF sm_amiga_i_i_7__n.BLIF vma_int_0_un1_n.BLIF IPL_D0_0_.BLIF \ +AS_000_i.BLIF un1_rst_dly_i_m_i_7__n.BLIF vma_int_0_un0_n.BLIF IPL_D0_1_.BLIF \ +CLK_000_PE_i.BLIF bg_000_0_un3_n.BLIF IPL_D0_2_.BLIF nEXP_SPACE_D0_i.BLIF \ +un1_rst_dly_i_m_i_8__n.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_3_.BLIF A1_i.BLIF \ +bg_000_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF sm_amiga_i_6__n.BLIF \ +un3_as_030_i.BLIF ds_000_dma_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF \ +BERR_i.BLIF un1_as_030_i.BLIF ds_000_dma_0_un1_n.BLIF SM_AMIGA_0_.BLIF \ +sm_amiga_i_5__n.BLIF clk_000_n_sync_i_10__n.BLIF ds_000_dma_0_un0_n.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF RESET_OUT_0_sqmuxa_i.BLIF \ +pos_clk_un9_clk_000_n_sync_i_n.BLIF as_000_dma_0_un3_n.BLIF \ +inst_DSACK1_INTreg.BLIF un1_rst_dly_i_1__n.BLIF \ +pos_clk_un14_clk_000_n_sync_0_n.BLIF as_000_dma_0_un1_n.BLIF RESET_OUT_i.BLIF \ +CLK_EXP_c_i.BLIF as_000_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF \ +RW_000_i.BLIF N_244_0.BLIF a_15__n.BLIF pos_clk_ipl_n.BLIF \ +un1_rst_dly_i_2__n.BLIF N_83_i.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ +un1_rst_dly_i_3__n.BLIF N_115_0.BLIF a_14__n.BLIF SM_AMIGA_6_.BLIF \ +un1_rst_dly_i_4__n.BLIF N_84_i.BLIF RST_DLY_0_.BLIF un1_rst_dly_i_5__n.BLIF \ +N_245_0.BLIF a_13__n.BLIF RST_DLY_1_.BLIF un1_rst_dly_i_6__n.BLIF N_85_i.BLIF \ +RST_DLY_2_.BLIF un1_rst_dly_i_7__n.BLIF pos_clk_ds_000_dma_4_0_n.BLIF \ +a_12__n.BLIF RST_DLY_3_.BLIF un1_rst_dly_i_8__n.BLIF N_94_i.BLIF \ +RST_DLY_4_.BLIF CLK_OUT_PRE_D_i.BLIF a_11__n.BLIF RST_DLY_5_.BLIF \ +pos_clk_un11_clk_000_n_sync_i_n.BLIF RST_DLY_6_.BLIF CLK_030_H_i.BLIF \ +a_10__n.BLIF RST_DLY_7_.BLIF AS_000_DMA_i.BLIF N_73_0.BLIF \ +pos_clk_un8_bg_030_n.BLIF VMA_INT_i.BLIF a_9__n.BLIF CLK_000_P_SYNC_0_.BLIF \ +VPA_D_i.BLIF N_160_i.BLIF CLK_000_P_SYNC_1_.BLIF cpu_est_i_0__n.BLIF \ +N_161_i.BLIF a_8__n.BLIF CLK_000_P_SYNC_2_.BLIF cpu_est_i_1__n.BLIF \ +CLK_000_P_SYNC_3_.BLIF cpu_est_i_3__n.BLIF N_155_i.BLIF a_7__n.BLIF \ +CLK_000_P_SYNC_4_.BLIF a_i_24__n.BLIF N_156_i.BLIF CLK_000_P_SYNC_5_.BLIF \ +pos_clk_un7_clk_000_d0_i_n.BLIF a_6__n.BLIF CLK_000_P_SYNC_6_.BLIF \ +sm_amiga_i_0__n.BLIF N_154_i.BLIF CLK_000_P_SYNC_7_.BLIF sm_amiga_i_3__n.BLIF \ +N_152_i.BLIF a_5__n.BLIF CLK_000_P_SYNC_8_.BLIF CLK_000_NE_i.BLIF N_153_i.BLIF \ +CLK_000_N_SYNC_0_.BLIF cpu_est_i_2__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_1_.BLIF \ +sm_amiga_i_1__n.BLIF N_150_i.BLIF CLK_000_N_SYNC_2_.BLIF sm_amiga_i_4__n.BLIF \ +N_151_i.BLIF a_3__n.BLIF CLK_000_N_SYNC_3_.BLIF sm_amiga_i_2__n.BLIF \ +CLK_000_N_SYNC_4_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_149_i.BLIF \ +a_2__n.BLIF CLK_000_N_SYNC_5_.BLIF DSACK1_INT_0_sqmuxa_i.BLIF N_147_i.BLIF \ +CLK_000_N_SYNC_6_.BLIF RW_i.BLIF N_148_i.BLIF CLK_000_N_SYNC_7_.BLIF \ +un5_ciin_i.BLIF CLK_000_N_SYNC_8_.BLIF DTACK_D0_i.BLIF N_164_i.BLIF \ +CLK_000_N_SYNC_9_.BLIF a_i_31__n.BLIF N_165_i.BLIF CLK_000_N_SYNC_10_.BLIF \ +a_i_29__n.BLIF N_163_i.BLIF inst_RW_000_INT.BLIF a_i_30__n.BLIF N_199_i.BLIF \ +inst_RW_000_DMA.BLIF a_i_27__n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF \ +pos_clk_un7_clk_000_pe_n.BLIF a_i_28__n.BLIF N_197_i.BLIF inst_A0_DMA.BLIF \ +a_i_25__n.BLIF N_198_i.BLIF DS_000_ENABLE_1_sqmuxa.BLIF a_i_26__n.BLIF \ +N_194_i.BLIF inst_CLK_030_H.BLIF UDS_000_INT_i.BLIF \ +pos_clk_cpu_est_11_0_1__n.BLIF SM_AMIGA_1_.BLIF LDS_000_INT_i.BLIF \ +N_192_i.BLIF SM_AMIGA_4_.BLIF DS_030_i.BLIF N_135_i.BLIF SM_AMIGA_2_.BLIF \ +N_224_i.BLIF N_162_i.BLIF inst_DS_000_ENABLE.BLIF N_225_i.BLIF N_191_i.BLIF \ +AS_000_INT_1_sqmuxa.BLIF N_226_i.BLIF N_193_i.BLIF DSACK1_INT_1_sqmuxa.BLIF \ +N_190_i.BLIF pos_clk_ds_000_dma_4_n.BLIF N_189_i.BLIF N_3.BLIF \ +DS_000_ENABLE_1_sqmuxa_i.BLIF N_188_i.BLIF un6_lds_000_i.BLIF N_195_i.BLIF \ +un6_uds_000_i.BLIF N_196_i.BLIF N_6.BLIF un6_ds_030_i.BLIF N_186_i.BLIF \ +N_9.BLIF DS_000_DMA_i.BLIF N_143_0.BLIF N_10.BLIF un4_as_000_i.BLIF \ +N_142_0.BLIF N_14.BLIF un8_ciin_i.BLIF N_141_0.BLIF N_15.BLIF \ +un6_as_030_i.BLIF N_140_0.BLIF N_17.BLIF DS_030_D0_i.BLIF N_139_0.BLIF \ +N_19.BLIF AS_030_c.BLIF N_166_i.BLIF N_22.BLIF N_137_i.BLIF N_23.BLIF \ +AS_000_c.BLIF N_136_i.BLIF N_24.BLIF N_133_i.BLIF N_25.BLIF RW_000_c.BLIF \ +N_132_i.BLIF cpu_est_0_0_.BLIF N_146_i.BLIF DS_030_c.BLIF N_144_i.BLIF \ +UDS_000_c.BLIF un1_SM_AMIGA_7_i.BLIF pos_clk_un21_clk_000_ne_d0_i_n.BLIF \ +LDS_000_c.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ +pos_clk_un23_clk_000_ne_d0_0_n.BLIF size_c_0__n.BLIF \ +pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ +size_c_1__n.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF \ +pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF size_c_i_1__n.BLIF N_25_i.BLIF \ +N_32_0.BLIF N_24_i.BLIF N_31_0.BLIF N_23_i.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF \ +N_54_0.BLIF ipl_c_i_1__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF N_52_0.BLIF \ DTACK_c_i.BLIF N_57_0.BLIF VPA_c_i.BLIF N_56_0.BLIF nEXP_SPACE_c_i.BLIF \ -N_55_0.BLIF N_50_0.BLIF N_8_i.BLIF N_46_0.BLIF N_9_i.BLIF N_45_0.BLIF \ -N_10_i.BLIF SM_AMIGA_i_7_.BLIF N_44_0.BLIF N_115.BLIF N_12_i.BLIF \ -pos_clk_size_dma_6_0__n.BLIF N_43_0.BLIF pos_clk_size_dma_6_1__n.BLIF \ -N_13_i.BLIF G_165.BLIF N_42_0.BLIF G_166.BLIF N_14_i.BLIF G_167.BLIF \ -N_41_0.BLIF un6_uds_000_1.BLIF N_15_i.BLIF N_241.BLIF N_40_0.BLIF N_242.BLIF \ -N_16_i.BLIF N_243.BLIF N_39_0.BLIF N_244.BLIF N_19_i.BLIF N_245.BLIF \ -N_36_0.BLIF N_246.BLIF N_20_i.BLIF N_78.BLIF N_35_0.BLIF N_80.BLIF N_21_i.BLIF \ -N_89.BLIF N_34_0.BLIF N_90.BLIF a_c_16__n.BLIF BG_030_c_i.BLIF N_91.BLIF \ -pos_clk_un6_bg_030_i_n.BLIF N_98.BLIF a_c_17__n.BLIF \ -pos_clk_un8_bg_030_0_n.BLIF N_99.BLIF N_251_0_1.BLIF N_249.BLIF a_c_18__n.BLIF \ -N_121_i_1.BLIF N_248.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF N_135.BLIF \ -a_c_19__n.BLIF pos_clk_cpu_est_11_0_1_1__n.BLIF N_136.BLIF \ -pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_131_i_1.BLIF \ -un22_berr_1.BLIF N_131_i_2.BLIF N_152.BLIF N_131_i_3.BLIF N_153.BLIF \ -pos_clk_un11_ds_030_d0_i_1_n.BLIF N_154.BLIF un8_ciin_1.BLIF N_155.BLIF \ -un8_ciin_2.BLIF N_141.BLIF un8_ciin_3.BLIF N_156.BLIF un8_ciin_4.BLIF \ -N_157.BLIF a_c_24__n.BLIF un8_ciin_5.BLIF N_138.BLIF un8_ciin_6.BLIF \ -N_158.BLIF a_c_25__n.BLIF un8_ciin_7.BLIF N_159.BLIF un8_ciin_8.BLIF \ -N_160.BLIF a_c_26__n.BLIF N_116_1.BLIF N_142.BLIF N_116_2.BLIF N_161.BLIF \ -a_c_27__n.BLIF N_116_3.BLIF N_132.BLIF N_116_4.BLIF N_104.BLIF a_c_28__n.BLIF \ -un22_berr_1_0.BLIF N_76.BLIF un21_fpu_cs_1.BLIF N_71.BLIF a_c_29__n.BLIF \ -N_123_i_1.BLIF N_251.BLIF N_123_i_2.BLIF N_93.BLIF a_c_30__n.BLIF \ -N_125_i_1.BLIF N_94.BLIF N_127_i_1.BLIF N_88.BLIF a_c_31__n.BLIF \ -N_127_i_2.BLIF N_87.BLIF N_129_i_1.BLIF N_86.BLIF A0_c.BLIF \ -pos_clk_un6_bg_030_1_n.BLIF N_84.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF \ -N_83.BLIF A1_c.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF N_116.BLIF \ -RESET_OUT_0_sqmuxa_7_2.BLIF G_149.BLIF nEXP_SPACE_c.BLIF \ -RESET_OUT_0_sqmuxa_7_3.BLIF G_147.BLIF RESET_OUT_0_sqmuxa_5_1.BLIF N_213.BLIF \ -BERR_c.BLIF N_135_i_1.BLIF G_145.BLIF pos_clk_un27_clk_000_ne_d0_1_n.BLIF \ -N_211.BLIF BG_030_c.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF G_143.BLIF \ -pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_209.BLIF BG_000DFFreg.BLIF \ -pos_clk_un5_clk_000_pe_1_n.BLIF G_141.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ -G_139.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF N_205.BLIF BGACK_000_c.BLIF \ -pos_clk_un9_clk_000_ne_1_n.BLIF G_137.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ -RESET_OUT_0_sqmuxa_1.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ -RESET_OUT_0_sqmuxa.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF \ -RESET_OUT_0_sqmuxa_7.BLIF N_196_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF \ -CLK_OSZI_c.BLIF N_195_1.BLIF un1_rst_dly_i_m_8__n.BLIF \ -pos_clk_un24_bgack_030_int_1_n.BLIF un1_rst_dly_i_m_7__n.BLIF N_165_1.BLIF \ -un1_rst_dly_i_m_6__n.BLIF CLK_EXP_c.BLIF N_165_2.BLIF \ -un1_rst_dly_i_m_5__n.BLIF N_165_3.BLIF un1_rst_dly_i_m_4__n.BLIF N_163_1.BLIF \ -un1_rst_dly_i_m_3__n.BLIF FPU_SENSE_c.BLIF N_162_1.BLIF \ -un1_rst_dly_i_m_2__n.BLIF N_176_1_0.BLIF N_38.BLIF IPL_030DFF_0_reg.BLIF \ -DS_000_DMA_2_sqmuxa_1.BLIF N_85.BLIF N_119_i_1.BLIF \ -pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF IPL_030DFF_1_reg.BLIF N_115_0_1.BLIF \ -N_252.BLIF pos_clk_ipl_1_n.BLIF N_97.BLIF IPL_030DFF_2_reg.BLIF \ -as_000_dma_0_un3_n.BLIF pos_clk_un27_clk_000_ne_d0_n.BLIF \ -as_000_dma_0_un1_n.BLIF N_199_1.BLIF ipl_c_0__n.BLIF as_000_dma_0_un0_n.BLIF \ -pos_clk_un5_clk_000_pe_n.BLIF ds_000_dma_0_un3_n.BLIF \ -pos_clk_un9_clk_000_ne_n.BLIF ipl_c_1__n.BLIF ds_000_dma_0_un1_n.BLIF \ -N_150.BLIF ds_000_dma_0_un0_n.BLIF N_151.BLIF ipl_c_2__n.BLIF \ -vma_int_0_un3_n.BLIF N_199.BLIF vma_int_0_un1_n.BLIF N_196.BLIF \ -vma_int_0_un0_n.BLIF N_195.BLIF DTACK_c.BLIF \ -sm_amiga_srsts_i_0_m2_1__un3_n.BLIF N_188.BLIF \ -sm_amiga_srsts_i_0_m2_1__un1_n.BLIF pos_clk_cpu_est_11_3__n.BLIF \ -sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_197.BLIF cpu_est_0_3__un3_n.BLIF \ -N_198.BLIF VPA_c.BLIF cpu_est_0_3__un1_n.BLIF pos_clk_cpu_est_11_1__n.BLIF \ -cpu_est_0_3__un0_n.BLIF N_194.BLIF cpu_est_0_2__un3_n.BLIF N_192.BLIF \ -RST_c.BLIF cpu_est_0_2__un1_n.BLIF N_191.BLIF cpu_est_0_2__un0_n.BLIF \ +SM_AMIGA_i_7_.BLIF N_55_0.BLIF N_115.BLIF N_50_0.BLIF \ +pos_clk_cpu_est_11_1__n.BLIF N_3_i.BLIF pos_clk_cpu_est_11_3__n.BLIF \ +N_49_0.BLIF N_220.BLIF N_6_i.BLIF G_165.BLIF N_48_0.BLIF G_166.BLIF N_9_i.BLIF \ +G_167.BLIF N_45_0.BLIF un6_uds_000_1.BLIF N_10_i.BLIF \ +pos_clk_un24_bgack_030_int_i_0_n.BLIF a_c_16__n.BLIF N_44_0.BLIF N_244.BLIF \ +N_14_i.BLIF N_245.BLIF a_c_17__n.BLIF N_41_0.BLIF N_15_i.BLIF N_80.BLIF \ +a_c_18__n.BLIF N_40_0.BLIF N_90.BLIF N_17_i.BLIF N_100.BLIF a_c_19__n.BLIF \ +N_38_0.BLIF N_105.BLIF N_19_i.BLIF pos_clk_un11_clk_000_n_sync_n.BLIF \ +a_c_20__n.BLIF N_36_0.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_22_i.BLIF \ +pos_clk_CYCLE_DMA_5_0_i_x2.BLIF a_c_21__n.BLIF N_33_0.BLIF N_135.BLIF \ +BG_030_c_i.BLIF N_133.BLIF a_c_22__n.BLIF pos_clk_un6_bg_030_i_n.BLIF \ +N_190.BLIF pos_clk_un8_bg_030_0_n.BLIF N_188.BLIF a_c_23__n.BLIF \ +N_127_i_1.BLIF N_189.BLIF N_127_i_2.BLIF N_136.BLIF a_c_24__n.BLIF \ +pos_clk_un11_ds_030_d0_i_1_n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_135_i_1.BLIF \ +pos_clk_un29_clk_000_ne_d0_n.BLIF a_c_25__n.BLIF \ +pos_clk_cpu_est_11_0_1_1__n.BLIF DSACK1_INT_0_sqmuxa.BLIF \ +pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un21_clk_000_ne_d0_n.BLIF \ +a_c_26__n.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF pos_clk_un5_clk_000_pe_n.BLIF \ +N_195_1.BLIF pos_clk_un9_clk_000_ne_n.BLIF a_c_27__n.BLIF N_196_1.BLIF \ +pos_clk_un23_clk_000_ne_d0_n.BLIF N_131_i_1.BLIF \ +pos_clk_un27_clk_000_ne_d0_n.BLIF a_c_28__n.BLIF N_131_i_2.BLIF \ +pos_clk_un14_clk_000_n_sync_n.BLIF N_131_i_3.BLIF N_144.BLIF a_c_29__n.BLIF \ +N_119_i_1.BLIF N_146.BLIF N_119_i_2.BLIF N_143.BLIF a_c_30__n.BLIF \ +N_121_i_1.BLIF N_147.BLIF N_123_i_1.BLIF N_139.BLIF a_c_31__n.BLIF \ +N_123_i_2.BLIF N_148.BLIF N_125_i_1.BLIF N_149.BLIF A0_c.BLIF N_129_i_1.BLIF \ +N_150.BLIF N_162_1.BLIF N_140.BLIF A1_c.BLIF N_163_1.BLIF N_151.BLIF \ +N_165_1.BLIF N_152.BLIF nEXP_SPACE_c.BLIF N_165_2.BLIF N_153.BLIF N_165_3.BLIF \ +N_154.BLIF BERR_c.BLIF un5_ciin_1.BLIF N_155.BLIF un5_ciin_2.BLIF N_141.BLIF \ +BG_030_c.BLIF un5_ciin_3.BLIF N_156.BLIF un5_ciin_4.BLIF N_160.BLIF \ +BG_000DFFreg.BLIF un5_ciin_5.BLIF N_142.BLIF un5_ciin_6.BLIF N_161.BLIF \ +un5_ciin_7.BLIF N_164.BLIF BGACK_000_c.BLIF un5_ciin_8.BLIF N_166.BLIF \ +un5_ciin_9.BLIF N_132.BLIF un5_ciin_10.BLIF N_191.BLIF un5_ciin_11.BLIF \ +N_192.BLIF pos_clk_un9_clk_000_ne_1_n.BLIF N_193.BLIF CLK_OSZI_c.BLIF \ +pos_clk_un9_clk_000_ne_2_n.BLIF N_194.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ +N_197.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF N_198.BLIF CLK_EXP_c.BLIF \ +pos_clk_un5_clk_000_pe_1_n.BLIF N_195.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ +N_196.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF N_162.BLIF FPU_SENSE_c.BLIF \ +pos_clk_un27_clk_000_ne_d0_1_n.BLIF N_199.BLIF \ +pos_clk_un27_clk_000_ne_d0_2_n.BLIF N_199_1.BLIF IPL_030DFF_0_reg.BLIF \ +pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_163.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF \ +N_165.BLIF IPL_030DFF_1_reg.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF N_73.BLIF \ +RESET_OUT_0_sqmuxa_7_3.BLIF N_94.BLIF IPL_030DFF_2_reg.BLIF \ +RESET_OUT_0_sqmuxa_5_1.BLIF N_85.BLIF N_87_1.BLIF N_84.BLIF ipl_c_0__n.BLIF \ +N_87_2.BLIF N_83.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF \ +pos_clk_un9_clk_000_n_sync_n.BLIF ipl_c_1__n.BLIF \ +pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF G_149.BLIF N_72_0_1.BLIF G_147.BLIF \ +ipl_c_2__n.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF N_213.BLIF un21_fpu_cs_1.BLIF \ +G_145.BLIF un22_berr_1_0.BLIF N_211.BLIF DTACK_c.BLIF N_116_1.BLIF G_143.BLIF \ +N_116_2.BLIF N_209.BLIF N_116_3.BLIF G_141.BLIF N_116_4.BLIF G_139.BLIF \ +VPA_c.BLIF pos_clk_un6_bg_030_1_n.BLIF N_205.BLIF N_115_0_1.BLIF G_137.BLIF \ +N_245_0_1.BLIF RESET_OUT_0_sqmuxa_1.BLIF RST_c.BLIF N_247_i_1.BLIF \ +RESET_OUT_0_sqmuxa.BLIF N_248_i_1.BLIF RESET_OUT_0_sqmuxa_7.BLIF \ +N_249_i_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF RW_c.BLIF pos_clk_ipl_1_n.BLIF \ +un1_rst_dly_i_m_8__n.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF \ +un1_rst_dly_i_m_7__n.BLIF fc_c_0__n.BLIF \ +amiga_bus_enable_dma_high_0_un1_n.BLIF un1_rst_dly_i_m_6__n.BLIF \ +amiga_bus_enable_dma_high_0_un0_n.BLIF un1_rst_dly_i_m_5__n.BLIF \ +fc_c_1__n.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF un1_rst_dly_i_m_4__n.BLIF \ +amiga_bus_enable_dma_low_0_un1_n.BLIF un1_rst_dly_i_m_3__n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF un1_rst_dly_i_m_2__n.BLIF \ +AMIGA_BUS_DATA_DIR_c.BLIF rw_000_dma_0_un3_n.BLIF N_86.BLIF \ +rw_000_dma_0_un1_n.BLIF N_93.BLIF rw_000_dma_0_un0_n.BLIF N_87.BLIF \ +bgack_030_int_0_un3_n.BLIF G_135.BLIF bgack_030_int_0_un1_n.BLIF \ AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF DS_030.PIN.BLIF \ UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E \ VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_D0_2_.D IPL_D0_2_.C \ -SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ -SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C \ -SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D \ -SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D \ -cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C IPL_030DFF_0_reg.D \ -IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D \ -IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C \ -RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ -RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C \ -RST_DLY_6_.D RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D \ -CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C \ -SIZE_DMA_1_.D SIZE_DMA_1_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C \ -CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D \ -CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C \ -CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D \ -CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C \ -CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D \ -CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D \ -CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.D \ -CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C \ -CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D \ -CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C \ -CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ -CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C inst_LDS_000_INT.D \ -inst_LDS_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ -inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ -inst_A0_DMA.D inst_A0_DMA.C inst_AS_000_DMA.D inst_AS_000_DMA.C \ -inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C \ -inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D \ -inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D \ -inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUTreg.D \ -inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C BG_000DFFreg.D BG_000DFFreg.C \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D \ +IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ +IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C \ +SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D \ +SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C \ +SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D \ +cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C \ +IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C \ +CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D \ +CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C RST_DLY_0_.D \ +RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D \ +RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C RST_DLY_6_.D \ +RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ +CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ +SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ +CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C \ +CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D \ +CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C \ +CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ +CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ +CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D \ +CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ +CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ +CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ +CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ +CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ +CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C inst_CLK_030_H.D inst_CLK_030_H.C \ +inst_RESET_OUTreg.D inst_RESET_OUTreg.C inst_DS_000_ENABLE.D \ +inst_DS_000_ENABLE.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D \ +inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ +inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_030_000_SYNC.D \ +inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C \ +inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_A0_DMA.D inst_A0_DMA.C \ +inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C \ +inst_DS_030_D0.D inst_DS_030_D0.C inst_AS_030_D0.D inst_AS_030_D0.C \ +inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C \ +inst_DTACK_D0.D inst_DTACK_D0.C BG_000DFFreg.D BG_000DFFreg.C \ inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ inst_VMA_INTreg.D inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C \ -inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D \ inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D \ inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D \ inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ inst_CLK_000_D0.D inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 RW_000 DS_030 \ -UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_193 cpu_est_0_1__un3_n N_190 RW_c \ -cpu_est_0_1__un1_n N_189 cpu_est_0_1__un0_n N_140 fc_c_0__n \ -bgack_030_int_0_un3_n pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n \ -pos_clk_un23_clk_000_ne_d0_n fc_c_1__n bgack_030_int_0_un0_n \ -pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n \ -vcc_n_n pos_clk_un7_clk_000_pe_n un1_amiga_bus_enable_dma_high_i_m4_0__un1_n \ -N_18 AMIGA_BUS_DATA_DIR_c un1_amiga_bus_enable_dma_high_i_m4_0__un0_n N_22 \ -ds_000_enable_1_sqmuxa_1_i_m4_un3_n pos_clk_un11_clk_000_n_sync_n \ -ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n pos_clk_un9_clk_000_n_sync_n \ -ds_000_enable_1_sqmuxa_1_i_m4_un0_n un1_amiga_bus_enable_low \ -pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n un6_as_030 \ -pos_clk_un22_bgack_030_int_n N_6_i size_dma_0_0__un1_n un3_size N_48_0 \ -size_dma_0_0__un0_n un4_size N_220 N_3_i size_dma_0_1__un3_n un8_ciin N_49_0 \ -size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n \ -pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 \ -CLK_030_H_0_sqmuxa pos_clk_un26_bgack_030_int_i_n ipl_030_0_0__un3_n \ -un21_fpu_cs AS_000_DMA_1_sqmuxa pos_clk_un27_bgack_030_int_0_n \ -ipl_030_0_0__un1_n un22_berr pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i \ -ipl_030_0_0__un0_n un6_ds_030 pos_clk_un27_bgack_030_int_n N_7_i \ -ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 ipl_030_0_1__un1_n un6_lds_000 \ -N_165 N_133_i ipl_030_0_1__un0_n N_133 N_176_i ipl_030_0_2__un3_n N_163 \ -N_175_i ipl_030_0_2__un1_n N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n \ -N_164 pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n N_176 N_162_i \ -dsack1_int_0_un1_n DS_000_DMA_2_sqmuxa N_163_i dsack1_int_0_un0_n \ -pos_clk_ds_000_dma_4_n N_164_i as_000_int_0_un3_n DS_000_DMA_0_sqmuxa N_165_i \ -as_000_int_0_un1_n pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n N_175 \ -pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n N_47 \ -pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n N_7 \ -clk_000_n_sync_i_10__n ds_000_enable_0_un0_n un1_rst_2 \ -pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n \ -pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n \ -pos_clk_un29_bgack_030_int_n N_33_0 as_030_000_sync_0_un0_n N_3 N_18_i \ -lds_000_int_0_un3_n N_6 N_37_0 lds_000_int_0_un1_n un1_amiga_bus_enable_low_i \ -pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n un21_fpu_cs_i \ -pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n AS_000_i \ -pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n DS_000_DMA_i \ -pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n \ -pos_clk_un24_bgack_030_int_i_n pos_clk_un21_clk_000_ne_d0_i_n \ -rw_000_dma_0_un3_n cycle_dma_i_1__n pos_clk_un23_clk_000_ne_d0_0_n \ -rw_000_dma_0_un1_n cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n AS_000_DMA_i \ -N_140_0 uds_000_int_0_un3_n CLK_EXP_i N_195_i uds_000_int_0_un1_n BERR_i \ -N_196_i uds_000_int_0_un0_n RW_000_i N_186_i amiga_bus_enable_dma_low_0_un3_n \ -DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n \ -pos_clk_un40_bgack_030_int_1_i_n N_189_i amiga_bus_enable_dma_low_0_un0_n \ -BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n nEXP_SPACE_D0_i \ -N_193_i amiga_bus_enable_dma_high_0_un1_n CLK_000_PE_i N_191_i \ -amiga_bus_enable_dma_high_0_un0_n CLK_000_NE_i N_192_i bg_000_0_un3_n \ -pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n sm_amiga_i_0__n \ -pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n pos_clk_un7_clk_000_d0_i_n N_198_i \ -a0_dma_0_un3_n UDS_000_i N_197_i a0_dma_0_un1_n LDS_000_i N_199_i \ -a0_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n \ -pos_clk_cpu_est_11_0_3__n a_23__n pos_clk_ipl_n CLK_OUT_PRE_D_i N_151_i \ -pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n sm_amiga_i_2__n \ -AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n N_135_i \ -a_21__n cpu_est_i_0__n N_252_0 cpu_est_i_3__n N_85_i a_20__n cpu_est_i_2__n \ -cpu_est_i_1__n N_38_0 a_15__n VPA_D_i un1_rst_dly_i_m_i_2__n VMA_INT_i a_14__n \ -sm_amiga_i_1__n un1_rst_dly_i_m_i_3__n RESET_OUT_0_sqmuxa_i a_13__n \ -pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n un1_rst_dly_i_2__n \ -a_12__n un1_rst_dly_i_3__n un1_rst_dly_i_m_i_5__n un1_rst_dly_i_4__n a_11__n \ -un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n un1_rst_dly_i_6__n a_10__n \ -un1_rst_dly_i_7__n un1_rst_dly_i_m_i_7__n un1_rst_dly_i_8__n a_9__n \ -RESET_OUT_i un1_rst_dly_i_m_i_8__n AS_030_D0_i a_8__n AS_030_i un3_as_030_i \ -A1_i N_76_i a_7__n CLK_000_D1_i N_83_i sm_amiga_i_i_7__n a_6__n N_248_i N_84_i \ -sm_amiga_i_5__n N_115_0 a_5__n RW_i N_86_i CLK_000_D0_i \ -pos_clk_size_dma_6_0_1__n a_4__n AS_030_000_SYNC_i N_87_i sm_amiga_i_6__n \ -pos_clk_size_dma_6_0_0__n a_3__n sm_amiga_i_4__n N_88_i \ -pos_clk_un5_bgack_030_int_d_n FPU_SENSE_i a_2__n size_dma_i_0__n N_241_0 \ -size_dma_i_1__n N_242_0 a_i_16__n N_243_0 a_i_18__n N_93_i a_i_19__n N_94_i \ -a_i_30__n N_244_0 a_i_31__n N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n N_246_0 \ -a_i_29__n pos_clk_un3_as_030_d0_i_n a_i_26__n pos_clk_un5_bgack_030_int_d_i_n \ -a_i_27__n N_249_i pos_clk_a0_dma_3_n a_i_24__n N_251_0 a_i_25__n N_71_0 \ -LDS_000_INT_i N_104_i N_8 DS_030_i N_137_i N_9 UDS_000_INT_i N_10 N_224_i \ -N_160_i N_11 N_225_i N_161_i N_12 N_226_i N_13 N_159_i N_14 N_157_i N_15 \ -N_158_i N_16 N_91_i N_19 N_90_i N_155_i N_20 un14_amiga_bus_data_dir_i N_156_i \ -N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 un6_uds_000_i N_152_i N_25 \ -un6_ds_030_i N_153_i un4_as_000_i N_142_0 AS_000_INT_i N_141_0 un6_as_030_i \ -N_138_0 AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i DS_030_D0_i un1_as_030_i AS_030_c \ -pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c size_c_i_1__n N_25_i RW_000_c \ -N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 ipl_c_i_2__n LDS_000_c \ -N_54_0 ipl_c_i_1__n size_c_0__n N_53_0 ipl_c_i_0__n size_c_1__n N_52_0 \ -DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_8_i N_46_0 \ -N_9_i N_45_0 N_10_i N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n N_43_0 \ -pos_clk_size_dma_6_1__n N_13_i N_42_0 N_14_i N_41_0 un6_uds_000_1 N_15_i N_241 \ -N_40_0 N_242 N_16_i N_243 N_39_0 N_244 N_19_i N_245 N_36_0 N_246 N_20_i N_78 \ -N_35_0 N_80 N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 \ -pos_clk_un6_bg_030_i_n N_98 a_c_17__n pos_clk_un8_bg_030_0_n N_99 N_251_0_1 \ -N_249 a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n \ -pos_clk_cpu_est_11_0_1_1__n N_136 pos_clk_cpu_est_11_0_2_1__n \ -pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 \ -pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 N_155 un8_ciin_2 N_141 \ -un8_ciin_3 N_156 un8_ciin_4 N_157 a_c_24__n un8_ciin_5 N_138 un8_ciin_6 N_158 \ -a_c_25__n un8_ciin_7 N_159 un8_ciin_8 N_160 a_c_26__n N_116_1 N_142 N_116_2 \ -N_161 a_c_27__n N_116_3 N_132 N_116_4 N_104 a_c_28__n un22_berr_1_0 N_76 \ -un21_fpu_cs_1 N_71 a_c_29__n N_123_i_1 N_251 N_123_i_2 N_93 a_c_30__n \ -N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 N_87 N_129_i_1 N_86 A0_c \ -pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c \ -RESET_OUT_0_sqmuxa_7_1 N_116 RESET_OUT_0_sqmuxa_7_2 nEXP_SPACE_c \ -RESET_OUT_0_sqmuxa_7_3 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 \ -pos_clk_un27_clk_000_ne_d0_1_n N_211 BG_030_c pos_clk_un27_clk_000_ne_d0_2_n \ -pos_clk_un27_clk_000_ne_d0_3_n N_209 pos_clk_un5_clk_000_pe_1_n \ -pos_clk_un5_clk_000_pe_2_n pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c \ -pos_clk_un9_clk_000_ne_1_n pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 \ -pos_clk_un9_clk_000_ne_3_n RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n \ -RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 \ -un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n \ -N_165_1 un1_rst_dly_i_m_6__n CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 \ -un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 \ -un1_rst_dly_i_m_2__n N_176_1_0 N_38 DS_000_DMA_2_sqmuxa_1 N_85 N_119_i_1 \ -N_115_0_1 N_252 pos_clk_ipl_1_n N_97 as_000_dma_0_un3_n \ -pos_clk_un27_clk_000_ne_d0_n as_000_dma_0_un1_n N_199_1 ipl_c_0__n \ -as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n ds_000_dma_0_un3_n \ -pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 \ -ds_000_dma_0_un0_n N_151 ipl_c_2__n vma_int_0_un3_n N_199 vma_int_0_un1_n \ -N_196 vma_int_0_un0_n N_195 DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 \ -sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_cpu_est_11_3__n \ -sm_amiga_srsts_i_0_m2_1__un0_n N_197 cpu_est_0_3__un3_n N_198 VPA_c \ -cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 \ -cpu_est_0_2__un3_n N_192 RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n \ -AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \ -SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE G_161 G_159 \ -cpu_est_0_0_ G_165 G_166 G_167 G_149 G_147 G_145 G_143 G_141 G_139 G_137 \ -pos_clk_RST_DLY_5_iv_0_x2_0_ +UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_159 N_21_i bgack_030_int_0_un0_n N_157 \ +N_34_0 as_030_000_sync_0_un3_n N_158 N_20_i as_030_000_sync_0_un1_n \ +un1_rst_dly_i_m_1__n N_35_0 as_030_000_sync_0_un0_n N_138 N_16_i \ +ds_000_enable_0_un3_n N_88 N_39_0 ds_000_enable_0_un1_n N_256 N_13_i \ +ds_000_enable_0_un0_n vcc_n_n N_89 N_42_0 a0_dma_0_un3_n N_91 N_12_i \ +a0_dma_0_un1_n N_92 N_43_0 a0_dma_0_un0_n pos_clk_a0_dma_3_n N_8_i \ +size_dma_0_1__un3_n gnd_n_n N_96 N_46_0 size_dma_0_1__un1_n \ +un1_amiga_bus_enable_low N_72 N_76_i size_dma_0_1__un0_n un6_as_030 N_97 \ +N_72_0 size_dma_0_0__un3_n un3_size N_116 UDS_000_c_i size_dma_0_0__un1_n \ +un4_size N_104 LDS_000_c_i size_dma_0_0__un0_n un5_ciin N_76 N_256_i \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un8_ciin pos_clk_size_dma_6_1__n \ +pos_clk_un5_bgack_030_int_d_i_n un1_amiga_bus_enable_dma_high_i_m2_0__un1_n \ +un4_as_000 pos_clk_size_dma_6_0__n pos_clk_un24_bgack_030_int_i_0_0_n \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_SM_AMIGA_7 N_250 N_104_i \ +cpu_est_0_1__un3_n un21_fpu_cs pos_clk_un6_bgack_000_n N_252_0 \ +cpu_est_0_1__un1_n un22_berr N_251 N_251_0 cpu_est_0_1__un0_n un6_ds_030 N_252 \ +pos_clk_un6_bgack_000_0_n cpu_est_0_2__un3_n un6_uds_000 \ +pos_clk_un5_bgack_030_int_d_n N_97_i cpu_est_0_2__un1_n un6_lds_000 N_96_i \ +cpu_est_0_2__un0_n DS_000_ENABLE_1_sqmuxa_1 N_250_0 cpu_est_0_3__un3_n \ +un22_berr_1 N_89_i cpu_est_0_3__un1_n N_8 pos_clk_size_dma_6_0_0__n \ +cpu_est_0_3__un0_n N_12 N_88_i ipl_030_0_0__un3_n N_13 \ +pos_clk_size_dma_6_0_1__n ipl_030_0_0__un1_n N_16 N_138_0 ipl_030_0_0__un0_n \ +N_20 un1_rst_dly_i_m_i_1__n ipl_030_0_1__un3_n N_21 ipl_030_0_1__un1_n N_11 \ +N_157_i ipl_030_0_1__un0_n un1_amiga_bus_enable_low_i N_158_i \ +ipl_030_0_2__un3_n un21_fpu_cs_i N_159_i ipl_030_0_2__un1_n BGACK_030_INT_i \ +ipl_030_0_2__un0_n AMIGA_BUS_ENABLE_DMA_LOW_i N_257_0 dsack1_int_0_un3_n \ +AS_030_i un1_as_000_i dsack1_int_0_un1_n AS_000_INT_i N_93_i \ +dsack1_int_0_un0_n N_105_i as_000_int_0_un3_n N_91_i N_87_i as_000_int_0_un1_n \ +N_92_i N_86_i as_000_int_0_un0_n a_i_19__n AMIGA_BUS_DATA_DIR_c_0 \ +lds_000_int_0_un3_n a_i_18__n un1_rst_dly_i_m_i_2__n lds_000_int_0_un1_n \ +a_i_16__n lds_000_int_0_un0_n size_dma_i_1__n un1_rst_dly_i_m_i_3__n \ +rw_000_int_0_un3_n size_dma_i_0__n rw_000_int_0_un1_n FPU_SENSE_i \ +un1_rst_dly_i_m_i_4__n rw_000_int_0_un0_n CLK_000_D1_i uds_000_int_0_un3_n \ +CLK_000_D0_i un1_rst_dly_i_m_i_5__n uds_000_int_0_un1_n AS_030_000_SYNC_i \ +uds_000_int_0_un0_n AS_030_D0_i un1_rst_dly_i_m_i_6__n vma_int_0_un3_n \ +sm_amiga_i_i_7__n vma_int_0_un1_n AS_000_i un1_rst_dly_i_m_i_7__n \ +vma_int_0_un0_n CLK_000_PE_i bg_000_0_un3_n nEXP_SPACE_D0_i \ +un1_rst_dly_i_m_i_8__n bg_000_0_un1_n A1_i bg_000_0_un0_n sm_amiga_i_6__n \ +un3_as_030_i ds_000_dma_0_un3_n pos_clk_un6_bg_030_n BERR_i un1_as_030_i \ +ds_000_dma_0_un1_n sm_amiga_i_5__n clk_000_n_sync_i_10__n ds_000_dma_0_un0_n \ +RESET_OUT_0_sqmuxa_i pos_clk_un9_clk_000_n_sync_i_n as_000_dma_0_un3_n \ +un1_rst_dly_i_1__n pos_clk_un14_clk_000_n_sync_0_n as_000_dma_0_un1_n \ +RESET_OUT_i CLK_EXP_c_i as_000_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n \ +RW_000_i N_244_0 a_15__n pos_clk_ipl_n un1_rst_dly_i_2__n N_83_i \ +pos_clk_un3_ds_030_d0_n un1_rst_dly_i_3__n N_115_0 a_14__n un1_rst_dly_i_4__n \ +N_84_i un1_rst_dly_i_5__n N_245_0 a_13__n un1_rst_dly_i_6__n N_85_i \ +un1_rst_dly_i_7__n pos_clk_ds_000_dma_4_0_n a_12__n un1_rst_dly_i_8__n N_94_i \ +CLK_OUT_PRE_D_i a_11__n pos_clk_un11_clk_000_n_sync_i_n CLK_030_H_i a_10__n \ +AS_000_DMA_i N_73_0 pos_clk_un8_bg_030_n VMA_INT_i a_9__n VPA_D_i N_160_i \ +cpu_est_i_0__n N_161_i a_8__n cpu_est_i_1__n cpu_est_i_3__n N_155_i a_7__n \ +a_i_24__n N_156_i pos_clk_un7_clk_000_d0_i_n a_6__n sm_amiga_i_0__n N_154_i \ +sm_amiga_i_3__n N_152_i a_5__n CLK_000_NE_i N_153_i cpu_est_i_2__n a_4__n \ +sm_amiga_i_1__n N_150_i sm_amiga_i_4__n N_151_i a_3__n sm_amiga_i_2__n \ +pos_clk_un29_clk_000_ne_d0_i_n N_149_i a_2__n DSACK1_INT_0_sqmuxa_i N_147_i \ +RW_i N_148_i un5_ciin_i DTACK_D0_i N_164_i a_i_31__n N_165_i a_i_29__n N_163_i \ +a_i_30__n N_199_i a_i_27__n pos_clk_cpu_est_11_0_3__n pos_clk_un7_clk_000_pe_n \ +a_i_28__n N_197_i a_i_25__n N_198_i DS_000_ENABLE_1_sqmuxa a_i_26__n N_194_i \ +UDS_000_INT_i pos_clk_cpu_est_11_0_1__n LDS_000_INT_i N_192_i DS_030_i N_135_i \ +N_224_i N_162_i N_225_i N_191_i AS_000_INT_1_sqmuxa N_226_i N_193_i \ +DSACK1_INT_1_sqmuxa N_190_i pos_clk_ds_000_dma_4_n N_189_i N_3 \ +DS_000_ENABLE_1_sqmuxa_i N_188_i un6_lds_000_i N_195_i un6_uds_000_i N_196_i \ +N_6 un6_ds_030_i N_186_i N_9 DS_000_DMA_i N_143_0 N_10 un4_as_000_i N_142_0 \ +N_14 un8_ciin_i N_141_0 N_15 un6_as_030_i N_140_0 N_17 DS_030_D0_i N_139_0 \ +N_19 AS_030_c N_166_i N_22 N_137_i N_23 AS_000_c N_136_i N_24 N_133_i N_25 \ +RW_000_c N_132_i N_146_i DS_030_c N_144_i UDS_000_c un1_SM_AMIGA_7_i \ +pos_clk_un21_clk_000_ne_d0_i_n LDS_000_c pos_clk_un27_clk_000_ne_d0_i_n \ +pos_clk_un23_clk_000_ne_d0_0_n size_c_0__n pos_clk_un5_clk_000_pe_i_n \ +pos_clk_un9_clk_000_ne_i_n size_c_1__n pos_clk_un7_clk_000_pe_0_n \ +pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i N_32_0 N_24_i N_31_0 \ +N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 \ +DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_115 N_50_0 \ +pos_clk_cpu_est_11_1__n N_3_i pos_clk_cpu_est_11_3__n N_49_0 N_220 N_6_i \ +N_48_0 N_9_i N_45_0 un6_uds_000_1 N_10_i pos_clk_un24_bgack_030_int_i_0_n \ +a_c_16__n N_44_0 N_244 N_14_i N_245 a_c_17__n N_41_0 N_15_i N_80 a_c_18__n \ +N_40_0 N_90 N_17_i N_100 a_c_19__n N_38_0 N_105 N_19_i \ +pos_clk_un11_clk_000_n_sync_n a_c_20__n N_36_0 N_22_i a_c_21__n N_33_0 N_135 \ +BG_030_c_i N_133 a_c_22__n pos_clk_un6_bg_030_i_n N_190 pos_clk_un8_bg_030_0_n \ +N_188 a_c_23__n N_127_i_1 N_189 N_127_i_2 N_136 a_c_24__n \ +pos_clk_un11_ds_030_d0_i_1_n pos_clk_un7_clk_000_d0_n N_135_i_1 \ +pos_clk_un29_clk_000_ne_d0_n a_c_25__n pos_clk_cpu_est_11_0_1_1__n \ +DSACK1_INT_0_sqmuxa pos_clk_cpu_est_11_0_2_1__n pos_clk_un21_clk_000_ne_d0_n \ +a_c_26__n pos_clk_cpu_est_11_0_1_3__n pos_clk_un5_clk_000_pe_n N_195_1 \ +pos_clk_un9_clk_000_ne_n a_c_27__n N_196_1 pos_clk_un23_clk_000_ne_d0_n \ +N_131_i_1 pos_clk_un27_clk_000_ne_d0_n a_c_28__n N_131_i_2 \ +pos_clk_un14_clk_000_n_sync_n N_131_i_3 N_144 a_c_29__n N_119_i_1 N_146 \ +N_119_i_2 N_143 a_c_30__n N_121_i_1 N_147 N_123_i_1 N_139 a_c_31__n N_123_i_2 \ +N_148 N_125_i_1 N_149 A0_c N_129_i_1 N_150 N_162_1 N_140 A1_c N_163_1 N_151 \ +N_165_1 N_152 nEXP_SPACE_c N_165_2 N_153 N_165_3 N_154 BERR_c un5_ciin_1 N_155 \ +un5_ciin_2 N_141 BG_030_c un5_ciin_3 N_156 un5_ciin_4 N_160 un5_ciin_5 N_142 \ +un5_ciin_6 N_161 un5_ciin_7 N_164 BGACK_000_c un5_ciin_8 N_166 un5_ciin_9 \ +N_132 un5_ciin_10 N_191 un5_ciin_11 N_192 pos_clk_un9_clk_000_ne_1_n N_193 \ +CLK_OSZI_c pos_clk_un9_clk_000_ne_2_n N_194 pos_clk_un9_clk_000_ne_3_n N_197 \ +pos_clk_un9_clk_000_ne_4_n N_198 CLK_EXP_c pos_clk_un5_clk_000_pe_1_n N_195 \ +pos_clk_un5_clk_000_pe_2_n N_196 pos_clk_un5_clk_000_pe_3_n N_162 FPU_SENSE_c \ +pos_clk_un27_clk_000_ne_d0_1_n N_199 pos_clk_un27_clk_000_ne_d0_2_n N_199_1 \ +pos_clk_un27_clk_000_ne_d0_3_n N_163 RESET_OUT_0_sqmuxa_7_1 N_165 \ +RESET_OUT_0_sqmuxa_7_2 N_73 RESET_OUT_0_sqmuxa_7_3 N_94 RESET_OUT_0_sqmuxa_5_1 \ +N_85 N_87_1 N_84 ipl_c_0__n N_87_2 N_83 pos_clk_un24_bgack_030_int_i_0_0_1_n \ +pos_clk_un9_clk_000_n_sync_n ipl_c_1__n pos_clk_un24_bgack_030_int_i_0_0_2_n \ +N_72_0_1 ipl_c_2__n pos_clk_un7_clk_000_d0_1_n N_213 un21_fpu_cs_1 \ +un22_berr_1_0 N_211 DTACK_c N_116_1 N_116_2 N_209 N_116_3 N_116_4 VPA_c \ +pos_clk_un6_bg_030_1_n N_205 N_115_0_1 N_245_0_1 RESET_OUT_0_sqmuxa_1 RST_c \ +N_247_i_1 RESET_OUT_0_sqmuxa N_248_i_1 RESET_OUT_0_sqmuxa_7 N_249_i_1 \ +RESET_OUT_0_sqmuxa_5 RW_c pos_clk_ipl_1_n un1_rst_dly_i_m_8__n \ +amiga_bus_enable_dma_high_0_un3_n un1_rst_dly_i_m_7__n fc_c_0__n \ +amiga_bus_enable_dma_high_0_un1_n un1_rst_dly_i_m_6__n \ +amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n fc_c_1__n \ +amiga_bus_enable_dma_low_0_un3_n un1_rst_dly_i_m_4__n \ +amiga_bus_enable_dma_low_0_un1_n un1_rst_dly_i_m_3__n \ +amiga_bus_enable_dma_low_0_un0_n un1_rst_dly_i_m_2__n AMIGA_BUS_DATA_DIR_c \ +rw_000_dma_0_un3_n N_86 rw_000_dma_0_un1_n N_93 rw_000_dma_0_un0_n N_87 \ +bgack_030_int_0_un3_n bgack_030_int_0_un1_n AS_030.OE AS_000.OE RW_000.OE \ +DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE \ +CLK_DIV_OUT.OE DSACK1.OE CIIN.OE pos_clk_un24_bgack_030_int_i_0_x2 \ +cpu_est_0_0_ G_165 G_166 G_167 pos_clk_CYCLE_DMA_5_1_i_x2 \ +pos_clk_CYCLE_DMA_5_0_i_x2 G_149 G_147 G_145 G_143 G_141 G_139 G_137 G_135 +.names N_32_0.BLIF IPL_030DFF_2_reg.D +0 1 +.names N_52_0.BLIF IPL_D0_0_.D +0 1 +.names N_53_0.BLIF IPL_D0_1_.D +0 1 .names N_54_0.BLIF IPL_D0_2_.D 0 1 .names N_131_i_3.BLIF N_165_i.BLIF SM_AMIGA_i_7_.D @@ -527,9 +516,9 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ 11 1 .names N_121_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D 11 1 -.names N_119_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D +.names N_119_i_1.BLIF N_119_i_2.BLIF SM_AMIGA_1_.D 11 1 -.names N_88_i.BLIF N_137_i.BLIF SM_AMIGA_0_.D +.names N_137_i.BLIF N_146_i.BLIF SM_AMIGA_0_.D 11 1 .names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D 1- 1 @@ -544,13 +533,7 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ 0 1 .names N_31_0.BLIF IPL_030DFF_1_reg.D 0 1 -.names N_32_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names N_52_0.BLIF IPL_D0_0_.D -0 1 -.names N_53_0.BLIF IPL_D0_1_.D -0 1 -.names N_85_i.BLIF RST_c.BLIF RST_DLY_0_.D +.names RST_c.BLIF un1_rst_dly_i_m_i_1__n.BLIF RST_DLY_0_.D 11 1 .names RST_c.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_1_.D 11 1 @@ -566,9 +549,9 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ 11 1 .names RST_c.BLIF un1_rst_dly_i_m_i_8__n.BLIF RST_DLY_7_.D 11 1 -.names G_159.BLIF un1_rst_2.BLIF CYCLE_DMA_0_.D +.names N_249_i_1.BLIF N_257_0.BLIF CYCLE_DMA_0_.D 11 1 -.names G_161.BLIF un1_rst_2.BLIF CYCLE_DMA_1_.D +.names N_248_i_1.BLIF N_257_0.BLIF CYCLE_DMA_1_.D 11 1 .names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 @@ -578,7 +561,19 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ -1 1 .names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D 11 1 -.names N_42_0.BLIF inst_LDS_000_INT.D +.names N_247_i_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF inst_CLK_030_H.D +11 1 +.names N_93_i.BLIF RST_c.BLIF inst_RESET_OUTreg.D +11 1 +.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D +11 1 +.names N_39_0.BLIF inst_RW_000_DMA.D +0 1 +.names N_40_0.BLIF inst_RW_000_INT.D +0 1 +.names N_41_0.BLIF inst_LDS_000_INT.D +0 1 +.names N_42_0.BLIF inst_BGACK_030_INTreg.D 0 1 .names N_43_0.BLIF inst_AS_030_000_SYNC.D 0 1 @@ -594,7 +589,7 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ 0 1 .names N_50_0.BLIF inst_DS_030_D0.D 0 1 -.names N_89.BLIF inst_AS_030_D0.D +.names N_90.BLIF inst_AS_030_D0.D 0 1 .names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D 0 1 @@ -602,741 +597,716 @@ pos_clk_RST_DLY_5_iv_0_x2_0_ 0 1 .names N_57_0.BLIF inst_DTACK_D0.D 0 1 -.names N_47.BLIF RST_c.BLIF inst_CLK_030_H.D -11 1 -.names N_38.BLIF RST_c.BLIF inst_RESET_OUTreg.D -11 1 -.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D -11 1 -.names N_33_0.BLIF inst_BGACK_030_INTreg.D +.names N_33_0.BLIF BG_000DFFreg.D 0 1 -.names N_34_0.BLIF BG_000DFFreg.D +.names N_34_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D 0 1 -.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D +.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D 0 1 -.names N_36_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D +.names N_36_0.BLIF inst_VMA_INTreg.D 0 1 -.names N_37_0.BLIF inst_VMA_INTreg.D +.names N_38_0.BLIF inst_UDS_000_INT.D 0 1 -.names N_39_0.BLIF inst_UDS_000_INT.D -0 1 -.names N_40_0.BLIF inst_RW_000_DMA.D -0 1 -.names N_41_0.BLIF inst_RW_000_INT.D -0 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF inst_BGACK_030_INT_D.D +.names N_257_0.BLIF inst_BGACK_030_INT_D.D 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names N_189_i.BLIF cpu_est_0_.BLIF N_193 +.names CLK_000_PE_i.BLIF sm_amiga_i_5__n.BLIF N_159 11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n +.names N_21.BLIF N_21_i 0 1 -.names N_190_i.BLIF N_190 -0 1 -.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ +bgack_030_int_0_un0_n 11 1 -.names N_189_i.BLIF N_189 -0 1 -.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 11 1 -.names N_140_0.BLIF N_140 -0 1 -.names N_97.BLIF bgack_030_int_0_un3_n -0 1 -.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF \ -pos_clk_un29_clk_000_ne_d0_n +.names N_21_i.BLIF RST_c.BLIF N_34_0 11 1 -.names inst_BGACK_030_INTreg.BLIF N_97.BLIF bgack_030_int_0_un1_n -11 1 -.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n +.names N_250.BLIF as_030_000_sync_0_un3_n 0 1 -.names BGACK_000_c.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n +.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n -11 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un3_n +.names N_20.BLIF N_20_i 0 1 +.names inst_AS_030_000_SYNC.BLIF N_250.BLIF as_030_000_sync_0_un1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_1__n.BLIF un1_rst_dly_i_m_1__n +11 1 +.names N_20_i.BLIF RST_c.BLIF N_35_0 +11 1 +.names N_105_i.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n +11 1 +.names N_138_0.BLIF N_138 +0 1 +.names N_16.BLIF N_16_i +0 1 +.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n +0 1 +.names BGACK_030_INT_i.BLIF N_256_i.BLIF N_88 +11 1 +.names N_16_i.BLIF RST_c.BLIF N_39_0 +11 1 +.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF \ +ds_000_enable_0_un1_n +11 1 +.names N_256_i.BLIF N_256 +0 1 +.names N_13.BLIF N_13_i +0 1 +.names un1_SM_AMIGA_7_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n +11 1 .names vcc_n_n 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n -0 1 -.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un1_n +.names BGACK_030_INT_i.BLIF N_256.BLIF N_89 11 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 -1- 1 --1 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un0_n +.names N_13_i.BLIF RST_c.BLIF N_42_0 11 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_22 -1- 1 --1 1 -.names SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n -0 1 -.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n -11 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n -11 1 -.names gnd_n_n -.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF \ -pos_clk_un9_clk_000_n_sync_n -11 1 -.names sm_amiga_i_3__n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF \ -ds_000_enable_1_sqmuxa_1_i_m4_un0_n -11 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ -un1_amiga_bus_enable_low -11 1 -.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n -0 1 -.names N_246.BLIF size_dma_0_0__un3_n -0 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 -11 1 -.names pos_clk_un22_bgack_030_int_0_n.BLIF pos_clk_un22_bgack_030_int_n -0 1 -.names N_6.BLIF N_6_i -0 1 -.names pos_clk_size_dma_6_0__n.BLIF N_246.BLIF size_dma_0_0__un1_n -11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size -11 1 -.names N_6_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n -11 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size -11 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 -11 1 -.names N_3.BLIF N_3_i -0 1 -.names N_246.BLIF size_dma_0_1__un3_n -0 1 -.names un8_ciin_8.BLIF un8_ciin_5.BLIF un8_ciin -11 1 -.names N_3_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names pos_clk_size_dma_6_1__n.BLIF N_246.BLIF size_dma_0_1__un1_n -11 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF un14_amiga_bus_data_dir -11 1 -.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF pos_clk_un40_bgack_030_int_1_n -11 1 -.names pos_clk_un29_bgack_030_int_n.BLIF pos_clk_un29_bgack_030_int_i_n -0 1 -.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n -11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names AS_000_DMA_1_sqmuxa.BLIF AS_000_DMA_i.BLIF CLK_030_H_0_sqmuxa -11 1 -.names pos_clk_un26_bgack_030_int_n.BLIF pos_clk_un26_bgack_030_int_i_n -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n -0 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs -11 1 -.names CLK_EXP_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF AS_000_DMA_1_sqmuxa -11 1 -.names pos_clk_un26_bgack_030_int_i_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF \ -pos_clk_un27_bgack_030_int_0_n -11 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n -11 1 -.names un22_berr_1_0.BLIF N_116.BLIF un22_berr -11 1 -.names pos_clk_un24_bgack_030_int_1_n.BLIF pos_clk_un27_bgack_030_int_n.BLIF \ -pos_clk_un24_bgack_030_int_n -11 1 -.names CLK_030_H_0_sqmuxa.BLIF CLK_030_H_0_sqmuxa_i -0 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names pos_clk_un27_bgack_030_int_0_n.BLIF pos_clk_un27_bgack_030_int_n -0 1 -.names N_7.BLIF N_7_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n -0 1 -.names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_176_1 -11 1 -.names CLK_030_H_0_sqmuxa_i.BLIF N_7_i.BLIF N_47_0 -11 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 -.names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 -11 1 -.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 -11 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i -11 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n -11 1 -.names N_133_i.BLIF N_133 -0 1 -.names N_176.BLIF N_176_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n -0 1 -.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 -11 1 -.names N_175.BLIF N_175_i -0 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 -11 1 -.names N_175_i.BLIF N_176_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names BERR_i.BLIF N_136_i.BLIF N_164 -11 1 -.names AS_000_DMA_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF \ -pos_clk_ds_000_dma_4_f1_0_n -11 1 -.names N_242.BLIF dsack1_int_0_un3_n -0 1 -.names N_176_1_0.BLIF nEXP_SPACE_D0_i.BLIF N_176 -11 1 -.names N_162.BLIF N_162_i -0 1 -.names N_80_i.BLIF N_242.BLIF dsack1_int_0_un1_n -11 1 -.names DS_000_DMA_2_sqmuxa_1.BLIF pos_clk_un40_bgack_030_int_1_i_n.BLIF \ -DS_000_DMA_2_sqmuxa -11 1 -.names N_163.BLIF N_163_i -0 1 -.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names DS_000_DMA_0_sqmuxa_i.BLIF pos_clk_ds_000_dma_4_f1_n.BLIF \ -pos_clk_ds_000_dma_4_n -11 1 -.names N_164.BLIF N_164_i -0 1 -.names N_243.BLIF as_000_int_0_un3_n -0 1 -.names RW_000_c.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_0_sqmuxa -11 1 -.names N_165.BLIF N_165_i -0 1 -.names sm_amiga_i_5__n.BLIF N_243.BLIF as_000_int_0_un1_n -11 1 -.names pos_clk_ds_000_dma_4_f1_0_n.BLIF pos_clk_ds_000_dma_4_f1_n -0 1 -.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_175 -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un22_bgack_030_int_0_n -11 1 -.names N_241.BLIF ds_000_enable_0_un3_n -0 1 -.names N_47_0.BLIF N_47 -0 1 -.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n -0 1 -.names N_248_i.BLIF N_241.BLIF ds_000_enable_0_un1_n -11 1 -.names inst_CLK_030_H.BLIF pos_clk_un24_bgack_030_int_n.BLIF N_7 -11 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n -0 1 -.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ -ds_000_enable_0_un0_n -11 1 -.names N_176_1.BLIF RST_c.BLIF un1_rst_2 -11 1 -.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ -pos_clk_un14_clk_000_n_sync_0_n -11 1 -.names N_244.BLIF as_030_000_sync_0_un3_n -0 1 -.names CYCLE_DMA_1_.BLIF cycle_dma_i_0__n.BLIF pos_clk_un26_bgack_030_int_n -11 1 -.names N_22.BLIF N_22_i -0 1 -.names inst_AS_030_000_SYNC.BLIF N_244.BLIF as_030_000_sync_0_un1_n -11 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_1__n.BLIF pos_clk_un29_bgack_030_int_n -11 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names pos_clk_un3_as_030_d0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ -as_030_000_sync_0_un0_n -11 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names N_18.BLIF N_18_i -0 1 -.names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n -0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 -1- 1 --1 1 -.names N_18_i.BLIF RST_c.BLIF N_37_0 -11 1 -.names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ -lds_000_int_0_un1_n -11 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n -0 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i -0 1 -.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n -0 1 -.names N_115.BLIF rw_000_int_0_un3_n -0 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ -pos_clk_un7_clk_000_pe_0_n -11 1 -.names N_245.BLIF N_115.BLIF rw_000_int_0_un1_n -11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n -0 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names pos_clk_un24_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_i_n -0 1 -.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n -0 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n -0 1 -.names CYCLE_DMA_1_.BLIF cycle_dma_i_1__n -0 1 -.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ -pos_clk_un23_clk_000_ne_d0_0_n -11 1 -.names un14_amiga_bus_data_dir_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -rw_000_dma_0_un1_n -11 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n -0 1 -.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i -11 1 -.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 -11 1 -.names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n -0 1 -.names CLK_EXP_c.BLIF CLK_EXP_i -0 1 -.names N_195.BLIF N_195_i -0 1 -.names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n -11 1 -.names BERR_c.BLIF BERR_i -0 1 -.names N_196.BLIF N_196_i -0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_195_i.BLIF N_196_i.BLIF N_186_i -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names DS_000_DMA_0_sqmuxa.BLIF DS_000_DMA_0_sqmuxa_i -0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i -11 1 -.names N_90_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -amiga_bus_enable_dma_low_0_un1_n -11 1 -.names pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un40_bgack_030_int_1_i_n -0 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ -amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i -0 1 -.names N_193.BLIF N_193_i -0 1 -.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -amiga_bus_enable_dma_high_0_un1_n -11 1 -.names inst_CLK_000_PE.BLIF CLK_000_PE_i -0 1 -.names N_191.BLIF N_191_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n -11 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names N_192.BLIF N_192_i -0 1 -.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names N_194.BLIF N_194_i -0 1 -.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF \ -pos_clk_cpu_est_11_0_1__n -11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n -0 1 -.names N_198.BLIF N_198_i -0 1 .names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n 0 1 -.names UDS_000_c.BLIF UDS_000_i -0 1 -.names N_197.BLIF N_197_i +.names A1_c.BLIF BGACK_030_INT_i.BLIF N_91 +11 1 +.names N_12.BLIF N_12_i 0 1 .names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ a0_dma_0_un1_n 11 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names N_199.BLIF N_199_i -0 1 +.names A1_i.BLIF BGACK_030_INT_i.BLIF N_92 +11 1 +.names N_12_i.BLIF RST_c.BLIF N_43_0 +11 1 .names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n 11 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n 11 1 -.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n +.names N_8.BLIF N_8_i 0 1 -.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n +.names N_252.BLIF size_dma_0_1__un3_n +0 1 +.names gnd_n_n +.names N_72.BLIF N_105.BLIF N_96 11 1 -.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n +.names N_8_i.BLIF RST_c.BLIF N_46_0 11 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names N_151.BLIF N_151_i -0 1 -.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n +.names pos_clk_size_dma_6_1__n.BLIF N_252.BLIF size_dma_0_1__un1_n 11 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names N_150.BLIF N_150_i -0 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa +.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ +un1_amiga_bus_enable_low 11 1 -.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n +.names N_72_0.BLIF N_72 0 1 -.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i +.names AS_000_c.BLIF inst_CLK_000_PE.BLIF N_76_i 11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_252_0 +.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n 11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names N_85.BLIF N_85_i -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_38_0 +.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 11 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n -0 1 -.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i -0 1 -.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n -0 1 -.names pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF N_77_i_i -0 1 -.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n -0 1 -.names G_137.BLIF un1_rst_dly_i_2__n -0 1 -.names G_139.BLIF un1_rst_dly_i_3__n -0 1 -.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n -0 1 -.names G_141.BLIF un1_rst_dly_i_4__n -0 1 -.names G_143.BLIF un1_rst_dly_i_5__n -0 1 -.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n -0 1 -.names G_145.BLIF un1_rst_dly_i_6__n -0 1 -.names G_147.BLIF un1_rst_dly_i_7__n -0 1 -.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n -0 1 -.names G_149.BLIF un1_rst_dly_i_8__n -0 1 -.names inst_RESET_OUTreg.BLIF RESET_OUT_i -0 1 -.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n -0 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i +.names N_105.BLIF N_116.BLIF N_97 11 1 -.names A1_c.BLIF A1_i -0 1 -.names N_76.BLIF N_76_i -0 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names N_83.BLIF N_83_i -0 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 -.names N_248.BLIF N_248_i -0 1 -.names N_84.BLIF N_84_i -0 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 +.names N_72_0_1.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_72_0 11 1 -.names RW_c.BLIF RW_i +.names N_252.BLIF size_dma_0_0__un3_n 0 1 -.names N_86.BLIF N_86_i -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names N_86_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size 11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names N_87.BLIF N_87_i -0 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names N_87_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +.names N_116_4.BLIF N_116_3.BLIF N_116 11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +.names UDS_000_c.BLIF UDS_000_c_i 0 1 -.names N_88.BLIF N_88_i -0 1 -.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n -0 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names N_248.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_241_0 +.names pos_clk_size_dma_6_0__n.BLIF N_252.BLIF size_dma_0_0__un1_n 11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names N_80_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_242_0 +.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size 11 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_243_0 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_104 11 1 -.names a_c_18__n.BLIF a_i_18__n +.names LDS_000_c.BLIF LDS_000_c_i 0 1 -.names N_93.BLIF N_93_i -0 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names N_94.BLIF N_94_i -0 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names N_93_i.BLIF N_94_i.BLIF N_244_0 +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n 11 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF N_245_0 +.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin 11 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n +.names N_76_i.BLIF N_76 0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_246_0 +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_256_i 11 1 -.names a_c_29__n.BLIF a_i_29__n +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n 0 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n +.names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF un8_ciin 11 1 -.names a_c_26__n.BLIF a_i_26__n +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n 0 1 .names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ pos_clk_un5_bgack_030_int_d_i_n 11 1 -.names a_c_27__n.BLIF a_i_27__n +.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n +11 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +11 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n 0 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF N_249_i +.names pos_clk_un24_bgack_030_int_i_0_0_1_n.BLIF \ +pos_clk_un24_bgack_030_int_i_0_0_2_n.BLIF pos_clk_un24_bgack_030_int_i_0_0_n 11 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n 11 1 -.names a_c_24__n.BLIF a_i_24__n +.names un1_SM_AMIGA_7_i.BLIF un1_SM_AMIGA_7 0 1 -.names N_251_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_251_0 -11 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_71_0 -11 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i +.names N_250_0.BLIF N_250 0 1 .names N_104.BLIF N_104_i 0 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n +0 1 +.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs +11 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_252_0 +11 1 +.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n +11 1 +.names un22_berr_1_0.BLIF N_116.BLIF un22_berr +11 1 +.names N_251_0.BLIF N_251 +0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_251_0 +11 1 +.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +11 1 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 +11 1 +.names N_252_0.BLIF N_252 +0 1 +.names BGACK_000_c.BLIF N_76.BLIF pos_clk_un6_bgack_000_0_n +11 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n +0 1 +.names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 +11 1 +.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n +0 1 +.names N_97.BLIF N_97_i +0 1 +.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n +11 1 +.names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 +11 1 +.names N_96.BLIF N_96_i +0 1 +.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n +11 1 +.names N_105.BLIF un1_SM_AMIGA_7.BLIF DS_000_ENABLE_1_sqmuxa_1 +11 1 +.names N_96_i.BLIF N_97_i.BLIF N_250_0 +11 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n +0 1 +.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 +11 1 +.names N_89.BLIF N_89_i +0 1 +.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n +11 1 .names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_8 1- 1 -1 1 -.names DS_030_c.BLIF DS_030_i -0 1 -.names N_104_i.BLIF RST_c.BLIF N_137_i +.names N_89_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n 11 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 -1- 1 --1 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names G_165.BLIF N_224_i -0 1 -.names N_160.BLIF N_160_i -0 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 -1- 1 --1 1 -.names G_166.BLIF N_225_i -0 1 -.names N_161.BLIF N_161_i -0 1 .names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_12 1- 1 -1 1 -.names G_167.BLIF N_226_i +.names N_88.BLIF N_88_i 0 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_13 +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +0 1 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_13 1- 1 -1 1 -.names N_159.BLIF N_159_i +.names N_88_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +11 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_16 +1- 1 +-1 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 +11 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_20 +1- 1 +-1 1 +.names un1_rst_dly_i_m_1__n.BLIF un1_rst_dly_i_m_i_1__n 0 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_14 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +0 1 +.names amiga_bus_enable_dma_high_0_un1_n.BLIF \ +amiga_bus_enable_dma_high_0_un0_n.BLIF N_21 +1- 1 +-1 1 +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +11 1 +.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 1- 1 -1 1 .names N_157.BLIF N_157_i 0 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_15 -1- 1 --1 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +0 1 .names N_158.BLIF N_158_i 0 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_16 -1- 1 --1 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +0 1 +.names un21_fpu_cs.BLIF un21_fpu_cs_i +0 1 +.names N_159.BLIF N_159_i +0 1 +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_257_0 +11 1 +.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n +0 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF un1_as_000_i +11 1 +.names inst_DSACK1_INTreg.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n +11 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names N_93.BLIF N_93_i +0 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 +.names N_105.BLIF N_105_i +0 1 +.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n +0 1 .names N_91.BLIF N_91_i 0 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names N_90.BLIF N_90_i +.names N_87.BLIF N_87_i +0 1 +.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n +11 1 +.names N_92.BLIF N_92_i +0 1 +.names N_86.BLIF N_86_i +0 1 +.names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names N_86_i.BLIF N_87_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n +0 1 +.names a_c_18__n.BLIF a_i_18__n +0 1 +.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n +0 1 +.names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ +lds_000_int_0_un1_n +11 1 +.names a_c_16__n.BLIF a_i_16__n +0 1 +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names SIZE_DMA_1_.BLIF size_dma_i_1__n +0 1 +.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n +0 1 +.names N_115.BLIF rw_000_int_0_un3_n +0 1 +.names SIZE_DMA_0_.BLIF size_dma_i_0__n +0 1 +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_115.BLIF rw_000_int_0_un1_n +11 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n +0 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n +0 1 +.names inst_CLK_000_D0.BLIF CLK_000_D0_i +0 1 +.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n +0 1 +.names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n +11 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names inst_AS_030_D0.BLIF AS_030_D0_i +0 1 +.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n +0 1 +.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n +0 1 +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +0 1 +.names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n +11 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n +0 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names inst_CLK_000_PE.BLIF CLK_000_PE_i +0 1 +.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i +0 1 +.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n +0 1 +.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names A1_c.BLIF A1_i +0 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i +11 1 +.names N_245.BLIF ds_000_dma_0_un3_n +0 1 +.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n +11 1 +.names BERR_c.BLIF BERR_i +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i +11 1 +.names pos_clk_ds_000_dma_4_n.BLIF N_245.BLIF ds_000_dma_0_un1_n +11 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n +0 1 +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i +0 1 +.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n +0 1 +.names N_244.BLIF as_000_dma_0_un3_n +0 1 +.names G_135.BLIF un1_rst_dly_i_1__n +0 1 +.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ +pos_clk_un14_clk_000_n_sync_0_n +11 1 +.names pos_clk_un24_bgack_030_int_i_0_n.BLIF N_244.BLIF as_000_dma_0_un1_n +11 1 +.names inst_RESET_OUTreg.BLIF RESET_OUT_i +0 1 +.names CLK_EXP_c.BLIF CLK_EXP_c_i +0 1 +.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +11 1 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n +11 1 +.names RW_000_c.BLIF RW_000_i +0 1 +.names CLK_EXP_c_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_244_0 +11 1 +.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n +11 1 +.names G_137.BLIF un1_rst_dly_i_2__n +0 1 +.names N_83.BLIF N_83_i +0 1 +.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n +11 1 +.names G_139.BLIF un1_rst_dly_i_3__n +0 1 +.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 +11 1 +.names G_141.BLIF un1_rst_dly_i_4__n +0 1 +.names N_84.BLIF N_84_i +0 1 +.names G_143.BLIF un1_rst_dly_i_5__n +0 1 +.names N_245_0_1.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF N_245_0 +11 1 +.names G_145.BLIF un1_rst_dly_i_6__n +0 1 +.names N_85.BLIF N_85_i +0 1 +.names G_147.BLIF un1_rst_dly_i_7__n +0 1 +.names N_85_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ +pos_clk_ds_000_dma_4_0_n +11 1 +.names G_149.BLIF un1_rst_dly_i_8__n +0 1 +.names N_94.BLIF N_94_i +0 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i +0 1 +.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n +0 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names AS_000_DMA_i.BLIF CLK_EXP_c_i.BLIF N_73_0 +11 1 +.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names N_160.BLIF N_160_i +0 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names N_161.BLIF N_161_i +0 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names cpu_est_3_reg.BLIF cpu_est_i_3__n 0 1 .names N_155.BLIF N_155_i 0 1 -.names amiga_bus_enable_dma_high_0_un1_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names un14_amiga_bus_data_dir.BLIF un14_amiga_bus_data_dir_i +.names a_c_24__n.BLIF a_i_24__n 0 1 .names N_156.BLIF N_156_i 0 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_21 -1- 1 --1 1 -.names N_80.BLIF N_80_i +.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n 0 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 -1- 1 --1 1 -.names un6_lds_000.BLIF un6_lds_000_i +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n 0 1 .names N_154.BLIF N_154_i 0 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 -1- 1 --1 1 -.names un6_uds_000.BLIF un6_uds_000_i +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n 0 1 .names N_152.BLIF N_152_i 0 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 +.names inst_CLK_000_NE.BLIF CLK_000_NE_i +0 1 +.names N_153.BLIF N_153_i +0 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names N_150.BLIF N_150_i +0 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names N_151.BLIF N_151_i +0 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n +0 1 +.names N_149.BLIF N_149_i +0 1 +.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i +0 1 +.names N_147.BLIF N_147_i +0 1 +.names RW_c.BLIF RW_i +0 1 +.names N_148.BLIF N_148_i +0 1 +.names un5_ciin.BLIF un5_ciin_i +0 1 +.names inst_DTACK_D0.BLIF DTACK_D0_i +0 1 +.names N_164.BLIF N_164_i +0 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names N_165.BLIF N_165_i +0 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names N_163.BLIF N_163_i +0 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names N_199.BLIF N_199_i +0 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n +11 1 +.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n +0 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names N_197.BLIF N_197_i +0 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names N_198.BLIF N_198_i +0 1 +.names RW_i.BLIF SM_AMIGA_5_.BLIF DS_000_ENABLE_1_sqmuxa +11 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names N_194.BLIF N_194_i +0 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF \ +pos_clk_cpu_est_11_0_1__n +11 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names N_192.BLIF N_192_i +0 1 +.names DS_030_c.BLIF DS_030_i +0 1 +.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i +11 1 +.names G_165.BLIF N_224_i +0 1 +.names N_162.BLIF N_162_i +0 1 +.names G_166.BLIF N_225_i +0 1 +.names N_191.BLIF N_191_i +0 1 +.names N_105.BLIF sm_amiga_i_5__n.BLIF AS_000_INT_1_sqmuxa +11 1 +.names G_167.BLIF N_226_i +0 1 +.names N_193.BLIF N_193_i +0 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF N_105.BLIF DSACK1_INT_1_sqmuxa +11 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i +11 1 +.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n +0 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i +11 1 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 +1- 1 +-1 1 +.names DS_000_ENABLE_1_sqmuxa.BLIF DS_000_ENABLE_1_sqmuxa_i +0 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i +11 1 +.names un6_lds_000.BLIF un6_lds_000_i +0 1 +.names N_195.BLIF N_195_i +0 1 +.names un6_uds_000.BLIF un6_uds_000_i +0 1 +.names N_196.BLIF N_196_i +0 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 1- 1 -1 1 .names un6_ds_030.BLIF un6_ds_030_i 0 1 -.names N_153.BLIF N_153_i +.names N_195_i.BLIF N_196_i.BLIF N_186_i +11 1 +.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 +1- 1 +-1 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i 0 1 +.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_143_0 +11 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 +1- 1 +-1 1 .names un4_as_000.BLIF un4_as_000_i 0 1 .names N_132_i.BLIF SM_AMIGA_6_.BLIF N_142_0 11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 +1- 1 +-1 1 +.names un8_ciin.BLIF un8_ciin_i 0 1 .names N_132_i.BLIF SM_AMIGA_4_.BLIF N_141_0 11 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_15 +1- 1 +-1 1 .names un6_as_030.BLIF un6_as_030_i 0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i +.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 11 1 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_17 +1- 1 +-1 1 .names inst_DS_030_D0.BLIF DS_030_D0_i 0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i +.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_139_0 +11 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_19 +1- 1 +-1 1 +.names N_166.BLIF N_166_i +0 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_22 +1- 1 +-1 1 +.names N_166_i.BLIF RST_c.BLIF N_137_i +11 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 +1- 1 +-1 1 +.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i +11 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 +1- 1 +-1 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i +11 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 +1- 1 +-1 1 +.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i +11 1 +.names N_146.BLIF N_146_i +0 1 +.names N_144.BLIF N_144_i +0 1 +.names DS_000_ENABLE_1_sqmuxa_i.BLIF N_144_i.BLIF un1_SM_AMIGA_7_i +11 1 +.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n +0 1 +.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n +0 1 +.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ +pos_clk_un23_clk_000_ne_d0_0_n +11 1 +.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n +0 1 +.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n +0 1 +.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ +pos_clk_un7_clk_000_pe_0_n 11 1 .names pos_clk_un11_ds_030_d0_i_1_n.BLIF size_c_0__n.BLIF \ pos_clk_un11_ds_030_d0_i_n @@ -1381,399 +1351,402 @@ pos_clk_un11_ds_030_d0_i_n 0 1 .names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 11 1 +.names N_115_0.BLIF N_115 +0 1 .names DS_030_i.BLIF RST_c.BLIF N_50_0 11 1 -.names N_8.BLIF N_8_i +.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n 0 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 +.names N_3.BLIF N_3_i +0 1 +.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n +0 1 +.names N_3_i.BLIF RST_c.BLIF N_49_0 +11 1 +.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 +11 1 +.names N_6.BLIF N_6_i +0 1 +.names N_6_i.BLIF RST_c.BLIF N_48_0 11 1 .names N_9.BLIF N_9_i 0 1 .names N_9_i.BLIF RST_c.BLIF N_45_0 11 1 +.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 +11 1 .names N_10.BLIF N_10_i 0 1 +.names pos_clk_un24_bgack_030_int_i_0_0_n.BLIF \ +pos_clk_un24_bgack_030_int_i_0_n +0 1 .names N_10_i.BLIF RST_c.BLIF N_44_0 11 1 -.names N_115_0.BLIF N_115 -0 1 -.names N_12.BLIF N_12_i -0 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names N_12_i.BLIF RST_c.BLIF N_43_0 -11 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names N_13.BLIF N_13_i -0 1 -.names N_13_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names N_14.BLIF N_14_i -0 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 -11 1 -.names N_15.BLIF N_15_i -0 1 -.names N_241_0.BLIF N_241 -0 1 -.names N_15_i.BLIF RST_c.BLIF N_40_0 -11 1 -.names N_242_0.BLIF N_242 -0 1 -.names N_16.BLIF N_16_i -0 1 -.names N_243_0.BLIF N_243 -0 1 -.names N_16_i.BLIF RST_c.BLIF N_39_0 -11 1 .names N_244_0.BLIF N_244 0 1 -.names N_19.BLIF N_19_i +.names N_14.BLIF N_14_i 0 1 .names N_245_0.BLIF N_245 0 1 +.names N_14_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names N_15.BLIF N_15_i +0 1 +.names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_80 +1- 1 +-1 1 +.names N_15_i.BLIF RST_c.BLIF N_40_0 +11 1 +.names AS_030_i.BLIF RST_c.BLIF N_90 +11 1 +.names N_17.BLIF N_17_i +0 1 +.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_100 +11 1 +.names N_17_i.BLIF RST_c.BLIF N_38_0 +11 1 +.names AS_030_D0_i.BLIF BERR_c.BLIF N_105 +11 1 +.names N_19.BLIF N_19_i +0 1 +.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n +11 1 .names N_19_i.BLIF RST_c.BLIF N_36_0 11 1 -.names N_246_0.BLIF N_246 +.names N_22.BLIF N_22_i 0 1 -.names N_20.BLIF N_20_i -0 1 -.names un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF N_78 -1- 1 --1 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF N_80 -11 1 -.names N_21.BLIF N_21_i -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_89 -11 1 -.names N_21_i.BLIF RST_c.BLIF N_34_0 -11 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_90 -11 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_91 -11 1 -.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF N_98 -11 1 -.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n -11 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_99 -11 1 -.names sm_amiga_i_i_7__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_251_0_1 -11 1 -.names N_249_i.BLIF N_249 -0 1 -.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 -11 1 -.names ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF \ -ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF N_248 -1- 1 --1 1 -.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n +.names N_22_i.BLIF RST_c.BLIF N_33_0 11 1 .names N_135_i.BLIF N_135 0 1 -.names N_194_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names N_133_i.BLIF N_133 +0 1 +.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n +0 1 +.names N_190_i.BLIF N_190 +0 1 +.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n +11 1 +.names N_188_i.BLIF N_188 +0 1 +.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 +11 1 +.names N_189_i.BLIF N_189 +0 1 +.names N_159_i.BLIF RST_c.BLIF N_127_i_2 11 1 .names N_136_i.BLIF N_136 0 1 -.names N_191_i.BLIF N_193_i.BLIF pos_clk_cpu_est_11_0_2_1__n +.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n 11 1 .names pos_clk_un7_clk_000_d0_1_n.BLIF inst_nEXP_SPACE_D0reg.BLIF \ pos_clk_un7_clk_000_d0_n 11 1 -.names N_163_i.BLIF N_137_i.BLIF N_131_i_1 -11 1 -.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 -11 1 -.names N_162_i.BLIF N_164_i.BLIF N_131_i_2 -11 1 -.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 -11 1 -.names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 -11 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 -11 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n -11 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 -11 1 -.names a_i_31__n.BLIF inst_nEXP_SPACE_D0reg.BLIF un8_ciin_1 -11 1 -.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 -11 1 -.names AS_030_D0_i.BLIF a_i_24__n.BLIF un8_ciin_2 -11 1 -.names N_141_0.BLIF N_141 -0 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un8_ciin_3 -11 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 -11 1 -.names a_i_27__n.BLIF a_i_28__n.BLIF un8_ciin_4 -11 1 -.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 -11 1 -.names a_i_29__n.BLIF a_i_30__n.BLIF un8_ciin_5 -11 1 -.names N_138_0.BLIF N_138 -0 1 -.names un8_ciin_1.BLIF un8_ciin_2.BLIF un8_ciin_6 -11 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 -11 1 -.names un8_ciin_3.BLIF un8_ciin_4.BLIF un8_ciin_7 -11 1 -.names CLK_000_PE_i.BLIF sm_amiga_i_5__n.BLIF N_159 -11 1 -.names un8_ciin_6.BLIF un8_ciin_7.BLIF un8_ciin_8 -11 1 -.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 -11 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 -11 1 -.names N_142_0.BLIF N_142 -0 1 -.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 -11 1 -.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 -11 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 -11 1 -.names N_132_i.BLIF N_132 -0 1 -.names N_116_1.BLIF N_116_2.BLIF N_116_4 -11 1 -.names N_132.BLIF SM_AMIGA_0_.BLIF N_104 -11 1 -.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 -11 1 -.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF \ -N_76 -1- 1 --1 1 -.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 -11 1 -.names N_71_0.BLIF N_71 -0 1 -.names N_152_i.BLIF N_153_i.BLIF N_123_i_1 -11 1 -.names N_251_0.BLIF N_251 -0 1 -.names N_154_i.BLIF RST_c.BLIF N_123_i_2 -11 1 -.names N_251.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_93 -11 1 -.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 -11 1 -.names N_116.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_94 -11 1 -.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 -11 1 -.names N_71.BLIF sm_amiga_i_0__n.BLIF N_88 -11 1 -.names N_159_i.BLIF RST_c.BLIF N_127_i_2 -11 1 -.names BGACK_030_INT_i.BLIF N_249.BLIF N_87 -11 1 -.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 -11 1 -.names BGACK_030_INT_i.BLIF N_249_i.BLIF N_86 -11 1 -.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n -11 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_84 -11 1 -.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF \ -pos_clk_un7_clk_000_d0_1_n -11 1 -.names N_252.BLIF sm_amiga_i_2__n.BLIF N_83 -11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 -11 1 -.names N_116_4.BLIF N_116_3.BLIF N_116 -11 1 -.names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 -11 1 -.names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF \ -RESET_OUT_0_sqmuxa_7_3 -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 -11 1 -.names N_211.BLIF RST_DLY_5_.BLIF N_213 -11 1 .names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_135_i_1 11 1 -.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n +.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF \ +pos_clk_un29_clk_000_ne_d0_n 11 1 -.names N_209.BLIF RST_DLY_4_.BLIF N_211 +.names N_191_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n 11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n +.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF DSACK1_INT_0_sqmuxa 11 1 -.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF \ -pos_clk_un27_clk_000_ne_d0_3_n +.names N_193_i.BLIF N_194_i.BLIF pos_clk_cpu_est_11_0_2_1__n 11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n 11 1 -.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n -11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n -11 1 -.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ -pos_clk_un5_clk_000_pe_3_n -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 -11 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n -11 1 -.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n -11 1 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 -11 1 -.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n -11 1 -.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa -11 1 -.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ -pos_clk_un9_clk_000_ne_4_n -11 1 -.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 -11 1 -.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 -11 1 -.names N_188.BLIF cpu_est_0_.BLIF N_195_1 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n -11 1 -.names N_176_1.BLIF pos_clk_un22_bgack_030_int_n.BLIF \ -pos_clk_un24_bgack_030_int_1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n -11 1 -.names N_133_i.BLIF N_135_i.BLIF N_165_1 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n -11 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n -11 1 -.names N_165_1.BLIF N_165_2.BLIF N_165_3 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n -11 1 -.names N_133.BLIF BERR_i.BLIF N_163_1 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n -11 1 -.names N_135.BLIF BERR_i.BLIF N_162_1 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n -11 1 -.names N_176_1.BLIF RW_000_c.BLIF N_176_1_0 -11 1 -.names N_38_0.BLIF N_38 -0 1 -.names RW_000_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_2_sqmuxa_1 -11 1 -.names N_77_i_i.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_85 -11 1 -.names N_76_i.BLIF N_83_i.BLIF N_119_i_1 -11 1 -.names N_84_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 -11 1 -.names N_252_0.BLIF N_252 -0 1 -.names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n -11 1 -.names BGACK_000_c.BLIF CLK_000_PE_i.BLIF N_97 -11 1 -.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n -0 1 -.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF \ -pos_clk_un27_clk_000_ne_d0_n -11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n -11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 -11 1 -.names pos_clk_un24_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF \ -as_000_dma_0_un0_n +.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n 11 1 .names pos_clk_un5_clk_000_pe_3_n.BLIF cpu_est_i_0__n.BLIF \ pos_clk_un5_clk_000_pe_n 11 1 -.names DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un3_n -0 1 +.names N_188.BLIF cpu_est_0_.BLIF N_195_1 +11 1 .names pos_clk_un9_clk_000_ne_4_n.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ pos_clk_un9_clk_000_ne_n 11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un1_n +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 +11 1 +.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n +0 1 +.names N_137_i.BLIF N_162_i.BLIF N_131_i_1 +11 1 +.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF \ +pos_clk_un27_clk_000_ne_d0_n +11 1 +.names N_163_i.BLIF N_164_i.BLIF N_131_i_2 +11 1 +.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n +0 1 +.names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 +11 1 +.names sm_amiga_i_3__n.BLIF sm_amiga_i_5__n.BLIF N_144 +11 1 +.names N_147_i.BLIF N_148_i.BLIF N_119_i_1 +11 1 +.names N_143.BLIF sm_amiga_i_0__n.BLIF N_146 +11 1 +.names N_149_i.BLIF RST_c.BLIF N_119_i_2 +11 1 +.names N_143_0.BLIF N_143 +0 1 +.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 +11 1 +.names N_139.BLIF sm_amiga_i_2__n.BLIF N_147 +11 1 +.names N_152_i.BLIF N_153_i.BLIF N_123_i_1 +11 1 +.names N_139_0.BLIF N_139 +0 1 +.names N_154_i.BLIF RST_c.BLIF N_123_i_2 +11 1 +.names BERR_i.BLIF SM_AMIGA_1_.BLIF N_148 +11 1 +.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 +11 1 +.names CLK_000_PE_i.BLIF sm_amiga_i_1__n.BLIF N_149 +11 1 +.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 11 1 .names N_140.BLIF sm_amiga_i_3__n.BLIF N_150 11 1 -.names pos_clk_ds_000_dma_4_n.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +.names N_135.BLIF BERR_i.BLIF N_162_1 +11 1 +.names N_140_0.BLIF N_140 +0 1 +.names N_133.BLIF BERR_i.BLIF N_163_1 11 1 .names sm_amiga_i_2__n.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_151 11 1 -.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n +.names N_133_i.BLIF N_135_i.BLIF N_165_1 +11 1 +.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 +11 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 +11 1 +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 +11 1 +.names N_165_1.BLIF N_165_2.BLIF N_165_3 +11 1 +.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 +11 1 +.names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 +11 1 +.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 +11 1 +.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 +11 1 +.names N_141_0.BLIF N_141 0 1 -.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 +.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 11 1 -.names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n +.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 11 1 -.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 +.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 11 1 -.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 +.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n +.names N_142_0.BLIF N_142 0 1 -.names N_188_i.BLIF N_188 +.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 +11 1 +.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 +11 1 +.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 +11 1 +.names BERR_i.BLIF N_136_i.BLIF N_164 +11 1 +.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 +11 1 +.names N_132.BLIF SM_AMIGA_0_.BLIF N_166 +11 1 +.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 +11 1 +.names N_132_i.BLIF N_132 0 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n -11 1 -.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF \ -sm_amiga_srsts_i_0_m2_1__un0_n -11 1 -.names N_190.BLIF cpu_est_3_reg.BLIF N_197 -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n -0 1 -.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 -11 1 -.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n -11 1 -.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n -0 1 -.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n -11 1 -.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n -0 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 -11 1 -.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n +.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 11 1 .names N_189.BLIF cpu_est_i_0__n.BLIF N_191 11 1 -.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n +.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 +11 1 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 +11 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n +11 1 +.names N_189_i.BLIF cpu_est_0_.BLIF N_193 +11 1 +.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n +11 1 +.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 +11 1 +.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n +11 1 +.names N_190.BLIF cpu_est_3_reg.BLIF N_197 +11 1 +.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ +pos_clk_un9_clk_000_ne_4_n +11 1 +.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 +11 1 +.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n +11 1 +.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 +11 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n +11 1 +.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 +11 1 +.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ +pos_clk_un5_clk_000_pe_3_n +11 1 +.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 +11 1 +.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n +11 1 +.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 +11 1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n +11 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 +11 1 +.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF \ +pos_clk_un27_clk_000_ne_d0_3_n +11 1 +.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 +11 1 +.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 +11 1 +.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 +11 1 +.names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 +11 1 +.names N_73_0.BLIF N_73 +0 1 +.names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF \ +RESET_OUT_0_sqmuxa_7_3 +11 1 +.names CLK_030_H_i.BLIF N_73.BLIF N_94 +11 1 +.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 +11 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_85 +11 1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_87_1 +11 1 +.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF N_84 +11 1 +.names RW_000_c.BLIF nEXP_SPACE_D0_i.BLIF N_87_2 +11 1 +.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_83 +11 1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF pos_clk_un24_bgack_030_int_i_0_0_1_n +11 1 +.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF \ +pos_clk_un9_clk_000_n_sync_n +11 1 +.names pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_104_i.BLIF \ +pos_clk_un24_bgack_030_int_i_0_0_2_n +11 1 +.names sm_amiga_i_i_7__n.BLIF inst_nEXP_SPACE_D0reg.BLIF N_72_0_1 +11 1 +.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF \ +pos_clk_un7_clk_000_d0_1_n +11 1 +.names N_211.BLIF RST_DLY_5_.BLIF N_213 +11 1 +.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 +11 1 +.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 +11 1 +.names N_209.BLIF RST_DLY_4_.BLIF N_211 +11 1 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 +11 1 +.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 +11 1 +.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 +11 1 +.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 +11 1 +.names N_116_1.BLIF N_116_2.BLIF N_116_4 +11 1 +.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n +11 1 +.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 +11 1 +.names N_83_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 +11 1 +.names N_84_i.BLIF RW_000_i.BLIF N_245_0_1 +11 1 +.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 +11 1 +.names N_94_i.BLIF RST_c.BLIF N_247_i_1 +11 1 +.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa +11 1 +.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_248_i_1 +11 1 +.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 +11 1 +.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.BLIF N_249_i_1 +11 1 +.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 +11 1 +.names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n +11 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n +11 1 +.names N_92_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +amiga_bus_enable_dma_high_0_un1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n +11 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n +11 1 +.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +amiga_bus_enable_dma_low_0_un1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n +11 1 +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c +0 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n +0 1 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_86 +11 1 +.names N_251.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_93 +11 1 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names N_87_1.BLIF N_87_2.BLIF N_87 +11 1 +.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 +.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n 11 1 .names IPL_030DFF_2_reg.BLIF IPL_030_2_ 1 1 @@ -1817,10 +1790,10 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_78.BLIF AMIGA_BUS_ENABLE_HIGH +.names N_80.BLIF AMIGA_BUS_ENABLE_HIGH 1 1 0 0 -.names vcc_n_n.BLIF CIIN +.names un5_ciin.BLIF CIIN 1 1 0 0 .names IPL_030DFF_1_reg.BLIF IPL_030_1_ @@ -1829,6 +1802,15 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF IPL_D0_0_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF IPL_D0_1_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF IPL_D0_2_.C 1 1 0 0 @@ -1877,13 +1859,22 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C 1 1 0 0 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C +.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C +.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C +1 1 +0 0 +.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C 1 1 0 0 .names CLK_OSZI_c.BLIF RST_DLY_0_.C @@ -1922,6 +1913,24 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF SIZE_DMA_1_.C 1 1 0 0 +.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C +1 1 +0 0 +.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C +1 1 +0 0 +.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C +1 1 +0 0 .names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D 1 1 0 0 @@ -1988,24 +1997,6 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C 1 1 0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C 1 1 0 0 @@ -2033,27 +2024,27 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C 1 1 0 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D +.names CLK_OSZI_c.BLIF inst_CLK_030_H.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C +.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C 1 1 0 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C 1 1 0 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_LDS_000_INT.C 1 1 0 0 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C 1 1 0 0 @@ -2087,18 +2078,6 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF inst_DTACK_D0.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 .names CLK_OSZI_c.BLIF BG_000DFFreg.C 1 1 0 0 @@ -2114,12 +2093,6 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names CLK_OSZI_c.BLIF inst_UDS_000_INT.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -2195,27 +2168,6 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names un4_size.BLIF SIZE_0_ 1 1 0 0 -.names RW.PIN.BLIF RW_c -1 1 -0 0 -.names FC_0_.BLIF fc_c_0__n -1 1 -0 0 -.names FC_1_.BLIF fc_c_1__n -1 1 -0 0 -.names A_23_.BLIF a_23__n -1 1 -0 0 -.names A_22_.BLIF a_22__n -1 1 -0 0 -.names A_21_.BLIF a_21__n -1 1 -0 0 -.names A_20_.BLIF a_20__n -1 1 -0 0 .names A_15_.BLIF a_15__n 1 1 0 0 @@ -2294,6 +2246,18 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names A_19_.BLIF a_c_19__n 1 1 0 0 +.names A_20_.BLIF a_c_20__n +1 1 +0 0 +.names A_21_.BLIF a_c_21__n +1 1 +0 0 +.names A_22_.BLIF a_c_22__n +1 1 +0 0 +.names A_23_.BLIF a_c_23__n +1 1 +0 0 .names A_24_.BLIF a_c_24__n 1 1 0 0 @@ -2363,22 +2327,31 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names RST.BLIF RST_c 1 1 0 0 +.names RW.PIN.BLIF RW_c +1 1 +0 0 +.names FC_0_.BLIF fc_c_0__n +1 1 +0 0 +.names FC_1_.BLIF fc_c_1__n +1 1 +0 0 .names un3_as_030_i.BLIF AS_030.OE 1 1 0 0 -.names N_98.BLIF AS_000.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 0 0 -.names N_98.BLIF RW_000.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 0 0 .names un3_as_030_i.BLIF DS_030.OE 1 1 0 0 -.names N_98.BLIF UDS_000.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 0 0 -.names N_98.BLIF LDS_000.OE +.names un1_as_000_i.BLIF LDS_000.OE 1 1 0 0 .names un1_as_030_i.BLIF SIZE_0_.OE @@ -2393,7 +2366,7 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names un22_berr.BLIF BERR.OE 1 1 0 0 -.names N_99.BLIF RW.OE +.names N_100.BLIF RW.OE 1 1 0 0 .names gnd_n_n.BLIF CLK_DIV_OUT.OE @@ -2402,15 +2375,10 @@ sm_amiga_srsts_i_0_m2_1__un0_n .names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE 1 1 0 0 -.names un8_ciin.BLIF CIIN.OE +.names un8_ciin_i.BLIF CIIN.OE 1 1 0 0 -.names N_220.BLIF CYCLE_DMA_1_.BLIF G_161 -01 1 -10 1 -11 0 -00 0 -.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF G_159 +.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF pos_clk_un24_bgack_030_int_i_0_x2 01 1 10 1 11 0 @@ -2435,6 +2403,16 @@ sm_amiga_srsts_i_0_m2_1__un0_n 10 1 11 0 00 0 +.names CYCLE_DMA_1_.BLIF N_220.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 +01 1 +10 1 +11 0 +00 0 +.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2 +01 1 +10 1 +11 0 +00 0 .names RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_7.BLIF G_149 01 1 10 1 @@ -2470,7 +2448,7 @@ sm_amiga_srsts_i_0_m2_1__un0_n 10 1 11 0 00 0 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_ +.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF G_135 01 1 10 1 11 0 diff --git a/Logic/BUS68030.cmd b/Logic/BUS68030.cmd new file mode 100644 index 0000000..e7c37a0 --- /dev/null +++ b/Logic/BUS68030.cmd @@ -0,0 +1,8 @@ +STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: "c:/users/matze/documents/github/68030tk/logic" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC diff --git a/Logic/BUS68030.edi b/Logic/BUS68030.edi index 3ba2a70..21df811 100644 --- a/Logic/BUS68030.edi +++ b/Logic/BUS68030.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2015 7 9 18 48 54) + (timeStamp 2015 9 24 16 20 54) (author "Synopsys, Inc.") (program "Synplify Pro" (version "I-2014.03LC , mapper maplat, Build 923R")) ) @@ -140,6 +140,12 @@ (port CIIN (direction OUTPUT)) ) (contents + (instance (rename IPL_030DFF_2 "IPL_030DFF[2]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename IPL_D0_0 "IPL_D0[0]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename IPL_D0_1 "IPL_D0[1]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance (rename IPL_D0_2 "IPL_D0[2]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -170,11 +176,11 @@ ) (instance (rename IPL_030DFF_1 "IPL_030DFF[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename IPL_030DFF_2 "IPL_030DFF[2]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename IPL_D0_0 "IPL_D0[0]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_N_SYNC_10 "CLK_000_N_SYNC[10]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename IPL_D0_1 "IPL_D0[1]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_N_SYNC_11 "CLK_000_N_SYNC[11]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename RST_DLY_0 "RST_DLY[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -200,6 +206,12 @@ ) (instance (rename SIZE_DMA_1 "SIZE_DMA[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) + (instance (rename CLK_000_P_SYNC_5 "CLK_000_P_SYNC[5]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename CLK_000_P_SYNC_6 "CLK_000_P_SYNC[6]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename CLK_000_P_SYNC_7 "CLK_000_P_SYNC[7]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance (rename CLK_000_P_SYNC_8 "CLK_000_P_SYNC[8]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename CLK_000_P_SYNC_9 "CLK_000_P_SYNC[9]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -222,12 +234,6 @@ ) (instance (rename CLK_000_N_SYNC_8 "CLK_000_N_SYNC[8]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_10 "CLK_000_N_SYNC[10]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_11 "CLK_000_N_SYNC[11]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance (rename CLK_000_P_SYNC_0 "CLK_000_P_SYNC[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename CLK_000_P_SYNC_1 "CLK_000_P_SYNC[1]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -238,14 +244,20 @@ ) (instance (rename CLK_000_P_SYNC_4 "CLK_000_P_SYNC[4]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_P_SYNC_5 "CLK_000_P_SYNC[5]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance CLK_030_H (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_P_SYNC_6 "CLK_000_P_SYNC[6]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance RESET_OUT (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_P_SYNC_7 "CLK_000_P_SYNC[7]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance DS_000_ENABLE (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance RW_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance RW_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance LDS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) ) + (instance BGACK_030_INT (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance AS_030_000_SYNC (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance AS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) @@ -268,14 +280,6 @@ ) (instance DTACK_D0 (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance CLK_030_H (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance RESET_OUT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance DS_000_ENABLE (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance BGACK_030_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance BG_000DFF (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_DMA_HIGH (viewRef prim (cellRef DFF (libraryRef mach))) @@ -286,10 +290,6 @@ ) (instance UDS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance RW_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance RW_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance BGACK_030_INT_D (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance CLK_000_PE (viewRef prim (cellRef DFF (libraryRef mach))) @@ -381,125 +381,131 @@ (instance AMIGA_BUS_ENABLE_LOW (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_HIGH (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance CIIN (viewRef prim (cellRef BUFTH (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_0_0 "SM_AMIGA_nss_i_i_a6_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_1_0_0 "SM_AMIGA_nss_i_i_a6_1_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_0 "SM_AMIGA_nss_i_i_a6[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3_0_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_1 "SM_AMIGA_srsts_i_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1 "SM_AMIGA_srsts_i_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i "pos_clk.CYCLE_DMA_5_1_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_1 "pos_clk.CYCLE_DMA_5_0_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i "pos_clk.CYCLE_DMA_5_0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_168_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_168 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne_2 "pos_clk.un9_clk_000_ne_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne_3 "pos_clk.un9_clk_000_ne_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne_4 "pos_clk.un9_clk_000_ne_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne "pos_clk.un9_clk_000_ne") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_a4_0_1_2 "pos_clk.cpu_est_11_i_a4_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_a4_0_2 "pos_clk.cpu_est_11_i_a4_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_a4_1_2 "pos_clk.cpu_est_11_i_a4_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_a4_2 "pos_clk.cpu_est_11_i_a4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_1 "pos_clk.un24_bgack_030_int_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int "pos_clk.un24_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_2_1_0 "SM_AMIGA_nss_i_i_a6_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_2_2_0 "SM_AMIGA_nss_i_i_a6_2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_2_3_0 "SM_AMIGA_nss_i_i_a6_2_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_2_0 "SM_AMIGA_nss_i_i_a6_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_0_1_0 "SM_AMIGA_nss_i_i_a6_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_5_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_o3_0_1_0 "SM_AMIGA_nss_i_i_o3_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_o3_0_0 "SM_AMIGA_nss_i_i_o3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0_1 "pos_clk.un27_clk_000_ne_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0_2_0 "pos_clk.un27_clk_000_ne_d0_2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0_3 "pos_clk.un27_clk_000_ne_d0_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0 "pos_clk.un27_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un5_clk_000_pe_1 "pos_clk.un5_clk_000_pe_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un5_clk_000_pe_2 "pos_clk.un5_clk_000_pe_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un5_clk_000_pe_3 "pos_clk.un5_clk_000_pe_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un5_clk_000_pe "pos_clk.un5_clk_000_pe") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne_1 "pos_clk.un9_clk_000_ne_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_2_3 "SM_AMIGA_srsts_i_2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_3 "SM_AMIGA_srsts_i[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_1_4 "SM_AMIGA_srsts_i_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_4 "SM_AMIGA_srsts_i[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_1_5 "SM_AMIGA_srsts_i_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_2_5 "SM_AMIGA_srsts_i_2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_5 "SM_AMIGA_srsts_i[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_1_6 "SM_AMIGA_srsts_i_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_6 "SM_AMIGA_srsts_i[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030_1 "pos_clk.un6_bg_030_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030 "pos_clk.un6_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_d0_1 "pos_clk.un7_clk_000_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_d0 "pos_clk.un7_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un37_as_030_d0_i_a2_1_1 "pos_clk.un37_as_030_d0_i_a2_1_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un37_as_030_d0_i_a2_1_2 "pos_clk.un37_as_030_d0_i_a2_1_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un37_as_030_d0_i_a2_1_3 "pos_clk.un37_as_030_d0_i_a2_1_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un37_as_030_d0_i_a2_1_4 "pos_clk.un37_as_030_d0_i_a2_1_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un37_as_030_d0_i_a2_1 "pos_clk.un37_as_030_d0_i_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bg_030_0_a2_1 "pos_clk.un6_bg_030_0_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bg_030_0_a2 "pos_clk.un6_bg_030_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_2_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i_1 "pos_clk.CYCLE_DMA_5_1_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_5_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_a2_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_a2_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_0_o2_1 "pos_clk.un24_bgack_030_int_i_0_o2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_0_o2_2 "pos_clk.un24_bgack_030_int_i_0_o2_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_0_o2 "pos_clk.un24_bgack_030_int_i_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_o2_1 "pos_clk.un37_as_030_d0_i_o2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_o2 "pos_clk.un37_as_030_d0_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_d0_1 "pos_clk.un7_clk_000_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_d0 "pos_clk.un7_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un21_fpu_cs_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un21_fpu_cs_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne_3 "pos_clk.un9_clk_000_ne_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne_4 "pos_clk.un9_clk_000_ne_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne "pos_clk.un9_clk_000_ne") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un5_clk_000_pe_1 "pos_clk.un5_clk_000_pe_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un5_clk_000_pe_2 "pos_clk.un5_clk_000_pe_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un5_clk_000_pe_3 "pos_clk.un5_clk_000_pe_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un5_clk_000_pe "pos_clk.un5_clk_000_pe") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0_1 "pos_clk.un27_clk_000_ne_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0_2_0 "pos_clk.un27_clk_000_ne_d0_2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0_3 "pos_clk.un27_clk_000_ne_d0_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0 "pos_clk.un27_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_7_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_7_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_7_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_2_0 "SM_AMIGA_nss_i_i_a6_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_9 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_10 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_11 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne_1 "pos_clk.un9_clk_000_ne_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne_2 "pos_clk.un9_clk_000_ne_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_2 "SM_AMIGA_srsts_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_1_3 "SM_AMIGA_srsts_i_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_2_3 "SM_AMIGA_srsts_i_2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_3 "SM_AMIGA_srsts_i[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_1_4 "SM_AMIGA_srsts_i_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_4 "SM_AMIGA_srsts_i[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_1_6 "SM_AMIGA_srsts_i_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_6 "SM_AMIGA_srsts_i[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_1_0_0 "SM_AMIGA_nss_i_i_a6_1_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_0 "SM_AMIGA_nss_i_i_a6[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_0_1_0 "SM_AMIGA_nss_i_i_a6_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_0_0 "SM_AMIGA_nss_i_i_a6_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_2_1_0 "SM_AMIGA_nss_i_i_a6_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_2_2_0 "SM_AMIGA_nss_i_i_a6_2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_2_3_0 "SM_AMIGA_nss_i_i_a6_2_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_1 "pos_clk.cpu_est_11_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_cpu_est_11_0_1_3 "pos_clk.cpu_est_11_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_cpu_est_11_0_3 "pos_clk.cpu_est_11_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_1_1 "pos_clk.cpu_est_11_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_2_1 "pos_clk.cpu_est_11_0_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_1 "pos_clk.cpu_est_11_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_a4_1_2 "pos_clk.cpu_est_11_i_a4_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_a4_2 "pos_clk.cpu_est_11_i_a4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_a4_0_1_2 "pos_clk.cpu_est_11_i_a4_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_a4_0_2 "pos_clk.cpu_est_11_i_a4_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_nss_i_i_1_0 "SM_AMIGA_nss_i_i_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_nss_i_i_2_0 "SM_AMIGA_nss_i_i_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_nss_i_i_3_0 "SM_AMIGA_nss_i_i_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_nss_i_i_0 "SM_AMIGA_nss_i_i[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un11_ds_030_d0_1 "pos_clk.un11_ds_030_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un11_ds_030_d0 "pos_clk.un11_ds_030_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_16_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_19_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_20_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_21_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_1_1 "SM_AMIGA_srsts_i_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_2_1 "SM_AMIGA_srsts_i_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_1 "SM_AMIGA_srsts_i[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_1_2 "SM_AMIGA_srsts_i_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VMA_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_22_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance BG_000_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance BG_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_un6_bg_030_i "pos_clk.un6_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_un8_bg_030_i "pos_clk.un8_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_o4_1 "pos_clk.un37_as_030_d0_i_o4_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_o4 "pos_clk.un37_as_030_d0_i_o4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_1_2 "SM_AMIGA_srsts_i_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_2 "SM_AMIGA_srsts_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_030_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_8_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance A0_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_1_5 "SM_AMIGA_srsts_i_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_2_5 "SM_AMIGA_srsts_i_2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_5 "SM_AMIGA_srsts_i[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un11_ds_030_d0_1 "pos_clk.un11_ds_030_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un11_ds_030_d0 "pos_clk.un11_ds_030_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_o3_0_1_0 "SM_AMIGA_nss_i_i_o3_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_o3_0_0 "SM_AMIGA_nss_i_i_o3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_1_1 "pos_clk.cpu_est_11_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_2_1 "pos_clk.cpu_est_11_0_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_3_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_6_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_9_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance DSACK1_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_10_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_12_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_000_SYNC_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_13_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance LDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_14_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_000_INT_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_15_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_000_DMA_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_1 "IPL_030_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RW_000_INT_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_17_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_19_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_23_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_030_1_i_0 "IPL_030_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_c_i_2 "IPL_c_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) @@ -514,240 +520,254 @@ (instance VPA_D_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance nEXP_SPACE_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance nEXP_SPACE_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_158_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_155_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_156_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_154_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_152_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_153_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_6 "SM_AMIGA_srsts_i_o3_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_4 "SM_AMIGA_srsts_i_o3_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_5 "SM_AMIGA_srsts_i_o3_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_0_i_0 "SM_AMIGA_srsts_i_o3_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_030_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_146_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_144_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_7_i_0 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un21_clk_000_ne_d0_i "pos_clk.un21_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0_i "pos_clk.un27_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un23_clk_000_ne_d0_i "pos_clk.un23_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un5_clk_000_pe_i "pos_clk.un5_clk_000_pe_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne_i "pos_clk.un9_clk_000_ne_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe_i "pos_clk.un7_clk_000_pe_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance A0_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_25_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_030_1_i_2 "IPL_030_1_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_24_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_93_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_94_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i "pos_clk.un37_as_030_d0_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un3_as_030_d0_0_o2_i "pos_clk.un3_as_030_d0_0_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_o4_i_0 "pos_clk.SIZE_DMA_6_0_0_o4_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_o4_i "pos_clk.un37_as_030_d0_i_o4_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o4_i_0 "SM_AMIGA_srsts_i_0_o4_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_104_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_160_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_161_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_159_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_157_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_6 "un1_RST_DLY_i_m_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_7 "un1_RST_DLY_i_m_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_8 "un1_RST_DLY_i_m_i[8]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_76_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_83_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_84_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_86_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_i_1 "pos_clk.SIZE_DMA_6_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_87_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_i_0 "pos_clk.SIZE_DMA_6_0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_88_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_1_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_INT_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_i_1 "pos_clk.cpu_est_11_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_198_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_197_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_1_i_1 "IPL_030_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_193_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_o4_i_3 "pos_clk.cpu_est_11_0_o4_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_o4_i_1 "pos_clk.cpu_est_11_0_o4_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_o4_i_2 "pos_clk.cpu_est_11_i_o4_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_195_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_196_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_0 "SM_AMIGA_srsts_i_o3_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_6 "SM_AMIGA_srsts_i_o3_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_4 "SM_AMIGA_srsts_i_o3_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_2 "SM_AMIGA_srsts_i_o3_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_1 "SM_AMIGA_srsts_i_o3_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_166_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_3 "SM_AMIGA_srsts_i_o3_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_o3_i_0 "SM_AMIGA_nss_i_i_o3_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_0_i_0 "SM_AMIGA_srsts_i_o3_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_147_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_148_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_164_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_165_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_163_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_199_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_cpu_est_11_0_i_3 "pos_clk.cpu_est_11_0_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_151_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_150_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_197_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_198_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_194_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_i_1 "pos_clk.cpu_est_11_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_192_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_nss_i_i_o3_0_i_0 "SM_AMIGA_nss_i_i_o3_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o4_i_1 "SM_AMIGA_srsts_i_0_o4_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_162_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_191_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_85_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RESET_OUT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0_0_i "pos_clk.DS_000_DMA_4_f0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_94_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_160_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_161_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_155_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_156_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_154_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_152_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_153_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_150_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_151_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_149_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_m_i_2 "un1_RST_DLY_i_m_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_m_i_3 "un1_RST_DLY_i_m_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_m_i_4 "un1_RST_DLY_i_m_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_m_i_5 "un1_RST_DLY_i_m_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_i "pos_clk.un7_clk_000_pe_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0_i "pos_clk.un27_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un21_clk_000_ne_d0_i "pos_clk.un21_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un23_clk_000_ne_d0_i "pos_clk.un23_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_3 "SM_AMIGA_srsts_i_o3_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_2 "SM_AMIGA_srsts_i_o3_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_195_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_196_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_o4_i_2 "pos_clk.cpu_est_11_i_o4_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_o4_i_1 "pos_clk.cpu_est_11_0_o4_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_o4_i_3 "pos_clk.cpu_est_11_0_o4_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_193_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_191_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_192_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_194_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f1_i "pos_clk.DS_000_DMA_4_f1_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_162_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_163_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_164_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_165_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un22_bgack_030_int_i "pos_clk.un22_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_n_sync_i "pos_clk.un9_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_i_6 "un1_RST_DLY_i_m_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_i_7 "un1_RST_DLY_i_m_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_i_8 "un1_RST_DLY_i_m_i[8]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_n_sync_i "pos_clk.un9_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_un14_clk_000_n_sync_i "pos_clk.un14_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_22_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_EXP_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_83_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_84_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_i "pos_clk.un37_as_030_d0_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_89_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_i_0 "pos_clk.SIZE_DMA_6_0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_88_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_i_1 "pos_clk.SIZE_DMA_6_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_5 "SM_AMIGA_srsts_i_o3_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_i_1 "un1_RST_DLY_i_m_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_157_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_158_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_159_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i_o2_i "pos_clk.CYCLE_DMA_5_1_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_93_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_87_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_86_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_8_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance A0_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un4_bgack_000_i_o2_i "pos_clk.un4_bgack_000_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_o2_i "pos_clk.un37_as_030_d0_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_o2_i_0 "pos_clk.SIZE_DMA_6_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_0_o2_i "pos_clk.un24_bgack_030_int_i_0_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_104_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un14_amiga_bus_data_dir_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un6_bgack_000_0_i "pos_clk.un6_bgack_000_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_97_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_96_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_21_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_HIGH_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_20_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_LOW_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_16_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RW_000_DMA_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_13_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance BGACK_030_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_18_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VMA_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_ne_i "pos_clk.un9_clk_000_ne_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un5_clk_000_pe_i "pos_clk.un5_clk_000_pe_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_6_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_3_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un29_bgack_030_int_i "pos_clk.un29_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un26_bgack_030_int_i "pos_clk.un26_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un27_bgack_030_int_i "pos_clk.un27_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_7_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_o3_i_0 "SM_AMIGA_nss_i_i_o3_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_176_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_175_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un21_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename CYCLE_DMA_i_0 "CYCLE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un26_bgack_030_int "pos_clk.un26_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename CYCLE_DMA_i_1 "CYCLE_DMA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un29_bgack_030_int "pos_clk.un29_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_160 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i "pos_clk.un24_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance I_234 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_ds_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_amiga_bus_enable_low_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_o3_0 "SM_AMIGA_nss_i_i_o3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_235 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_a6_1_0 "SM_AMIGA_nss_i_i_a6_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1 "pos_clk.CYCLE_DMA_5_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0 "pos_clk.CYCLE_DMA_5_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_EXP_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_rst_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un27_bgack_030_int "pos_clk.un27_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_d0_i "pos_clk.un7_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_NE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_PE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance nEXP_SPACE_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_12_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_030_000_SYNC_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un40_bgack_030_int_1_i "pos_clk.un40_bgack_030_int_1_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0 "pos_clk.DS_000_DMA_4_f0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f1 "pos_clk.DS_000_DMA_4_f1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_236 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance CLK_OUT_PRE_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un11_clk_000_n_sync "pos_clk.un11_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un11_clk_000_n_sync_i "pos_clk.un11_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_n_sync "pos_clk.un9_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un14_clk_000_n_sync "pos_clk.un14_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_237 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_238 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un22_bgack_030_int "pos_clk.un22_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_0_sqmuxa_i_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_1__r "SM_AMIGA_srsts_i_0_m2_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_1__m "SM_AMIGA_srsts_i_0_m2_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_1__n "SM_AMIGA_srsts_i_0_m2_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_1__p "SM_AMIGA_srsts_i_0_m2_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance G_161 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_159 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename pos_clk_un40_bgack_030_int_1 "pos_clk.un40_bgack_030_int_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un29_clk_000_ne_d0_i "pos_clk.un29_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_0_2 "SM_AMIGA_srsts_i_a6_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_2 "SM_AMIGA_srsts_i_a6[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un29_clk_000_ne_d0 "pos_clk.un29_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un23_clk_000_ne_d0 "pos_clk.un23_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe "pos_clk.un7_clk_000_pe") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DTACK_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un21_clk_000_ne_d0 "pos_clk.un21_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__r "cpu_est_0_3_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_0_3__m "cpu_est_0_3_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__n "cpu_est_0_3_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__p "cpu_est_0_3_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename cpu_est_0_2__r "cpu_est_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_0_2__m "cpu_est_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_2__n "cpu_est_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_2__p "cpu_est_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename cpu_est_0_1__r "cpu_est_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_0_1__m "cpu_est_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_1__n "cpu_est_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_1__p "cpu_est_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance VMA_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BGACK_030_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_LOW_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_amiga_bus_enable_low (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_amiga_bus_enable_low_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un21_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_HIGH_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_92_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_r "AMIGA_BUS_ENABLE_DMA_HIGH_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_m "AMIGA_BUS_ENABLE_DMA_HIGH_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_n "AMIGA_BUS_ENABLE_DMA_HIGH_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_p "AMIGA_BUS_ENABLE_DMA_HIGH_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance N_91_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_r "AMIGA_BUS_ENABLE_DMA_LOW_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_m "AMIGA_BUS_ENABLE_DMA_LOW_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_n "AMIGA_BUS_ENABLE_DMA_LOW_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_p "AMIGA_BUS_ENABLE_DMA_LOW_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_p "RW_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_o4_1 "pos_clk.cpu_est_11_0_o4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_o4_2 "pos_clk.cpu_est_11_i_o4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_2 "pos_clk.cpu_est_11_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_0_3 "pos_clk.cpu_est_11_0_a4_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_3 "pos_clk.cpu_est_11_0_a4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_2_1 "pos_clk.cpu_est_11_0_a4_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_1_1 "pos_clk.cpu_est_11_0_a4_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_0_1 "pos_clk.cpu_est_11_0_a4_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_1 "pos_clk.cpu_est_11_0_a4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_2 "SM_AMIGA_srsts_i_o3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_3 "SM_AMIGA_srsts_i_o3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_105_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_r "AS_030_000_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_m "AS_030_000_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_n "AS_030_000_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_p "AS_030_000_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_p "DS_000_ENABLE_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_r "A0_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A0_DMA_0_m "A0_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_n "A0_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_p "A0_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance I_224 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un4_as_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un3_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un4_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_18 "A_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_1__r "SIZE_DMA_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_1__m "SIZE_DMA_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_1__n "SIZE_DMA_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_1__p "SIZE_DMA_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__r "SIZE_DMA_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__m "SIZE_DMA_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__n "SIZE_DMA_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__p "SIZE_DMA_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance A0_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance BGACK_030_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RW_000_DMA_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_LOW_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance nEXP_SPACE_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_225 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_000_PE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un4_bgack_000_i_o2 "pos_clk.un4_bgack_000_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance AS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un3_as_030_d0_i_a2 "pos_clk.un3_as_030_d0_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_CLK_000_N_SYNC_2_0 "pos_clk.CLK_000_N_SYNC_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_D1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_CLK_000_P_SYNC_2_0 "pos_clk.CLK_000_P_SYNC_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance FPU_SENSE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance A1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_A0_DMA_3_0_a2 "pos_clk.A0_DMA_3_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_a2 "pos_clk.un37_as_030_d0_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_a2_0 "pos_clk.un37_as_030_d0_i_a2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_0_a2 "pos_clk.un24_bgack_030_int_i_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_1 "pos_clk.SIZE_DMA_6_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0 "pos_clk.SIZE_DMA_6_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i "pos_clk.un37_as_030_d0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bgack_000_0 "pos_clk.un6_bgack_000_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un14_amiga_bus_data_dir_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i_0_x2 "pos_clk.un24_bgack_030_int_i_0_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_o2_0 "pos_clk.SIZE_DMA_6_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_1_5 "SM_AMIGA_srsts_i_a6_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_226 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_0_5 "SM_AMIGA_srsts_i_a6_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_5 "SM_AMIGA_srsts_i_a6[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_146 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_144 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_142 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_138 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_136 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_1 "SM_AMIGA_srsts_i_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un4_bgack_000_i_a2 "pos_clk.un4_bgack_000_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o4_1 "SM_AMIGA_srsts_i_0_o4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_0 "cpu_est_0[0]") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un27_clk_000_ne_d0_2 "pos_clk.un27_clk_000_ne_d0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_a4_1_3 "pos_clk.cpu_est_11_0_a4_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_o4_3 "pos_clk.cpu_est_11_0_o4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_a2_1 "pos_clk.SIZE_DMA_6_0_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_a2_0 "pos_clk.SIZE_DMA_6_0_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_D0_0_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_227 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un2_rw_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_as_000_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i_o2 "pos_clk.CYCLE_DMA_5_1_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_135 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_0 "pos_clk.RST_DLY_5_iv[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_1 "un1_RST_DLY_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_1 "un1_RST_DLY_i_m[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_5 "SM_AMIGA_srsts_i_o3[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_5 "pos_clk.RST_DLY_5_iv[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_6 "un1_RST_DLY_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_6 "un1_RST_DLY_i_m[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_4 "pos_clk.RST_DLY_5_iv[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_5 "un1_RST_DLY_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_5 "un1_RST_DLY_i_m[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_3 "pos_clk.RST_DLY_5_iv[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_4 "un1_RST_DLY_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_m_4 "un1_RST_DLY_i_m[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_RST_DLY_5_iv_2 "pos_clk.RST_DLY_5_iv[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -756,13 +776,13 @@ (instance (rename pos_clk_RST_DLY_5_iv_1 "pos_clk.RST_DLY_5_iv[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_2 "un1_RST_DLY_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_m_2 "un1_RST_DLY_i_m[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_77_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_0_a2_0 "pos_clk.RST_DLY_5_iv_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_0_0 "pos_clk.RST_DLY_5_iv_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_0_x2_0 "pos_clk.RST_DLY_5_iv_0_x2[0]") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance un3_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_149 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_147 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_145 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_143 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_141 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_139 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) (instance G_137 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) (instance RESET_OUT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_RST_DLY_5_iv_7 "pos_clk.RST_DLY_5_iv[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -771,118 +791,131 @@ (instance (rename pos_clk_RST_DLY_5_iv_6 "pos_clk.RST_DLY_5_iv[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_7 "un1_RST_DLY_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_m_7 "un1_RST_DLY_i_m[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_5 "pos_clk.RST_DLY_5_iv[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_6 "un1_RST_DLY_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_6 "un1_RST_DLY_i_m[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_4 "pos_clk.RST_DLY_5_iv[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_5 "un1_RST_DLY_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_5 "un1_RST_DLY_i_m[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_3 "pos_clk.RST_DLY_5_iv[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_239 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_D0_0_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_0 "SM_AMIGA_srsts_i_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_a2_0 "pos_clk.SIZE_DMA_6_0_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_a2_1 "pos_clk.SIZE_DMA_6_0_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0_0 "pos_clk.DS_000_DMA_4_f0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_as_030_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0_0_a2 "pos_clk.DS_000_DMA_4_f0_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un1_SM_AMIGA_5_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RESET_OUT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un3_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_149 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_147 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_145 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_143 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_141 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_139 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_1_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0 "SM_AMIGA_srsts_i_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0 "pos_clk.SIZE_DMA_6_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_1 "pos_clk.SIZE_DMA_6_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_D1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_CLK_000_P_SYNC_2_0_a2_0 "pos_clk.CLK_000_P_SYNC_2_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un2_rw_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_as_000_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_0 "pos_clk.un37_as_030_d0_i_a2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2 "pos_clk.un37_as_030_d0_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_A0_DMA_3_0_a2 "pos_clk.A0_DMA_3_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance A1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o6_0_a4_0 "SM_AMIGA_srsts_i_o6_0_a4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__r "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__m "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__n "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__p "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o4_0 "SM_AMIGA_srsts_i_0_o4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_o4_0 "pos_clk.SIZE_DMA_6_0_0_o4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_240 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_r "DS_000_ENABLE_1_sqmuxa_1_i_m4.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_m "DS_000_ENABLE_1_sqmuxa_1_i_m4.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_n "DS_000_ENABLE_1_sqmuxa_1_i_m4.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_p "DS_000_ENABLE_1_sqmuxa_1_i_m4.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename pos_clk_un3_as_030_d0_0_o2 "pos_clk.un3_as_030_d0_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i "pos_clk.un37_as_030_d0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_248_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_5 "SM_AMIGA_srsts_i_a6[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_0_5 "SM_AMIGA_srsts_i_a6_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_1_5 "SM_AMIGA_srsts_i_a6_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un14_clk_000_n_sync "pos_clk.un14_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un11_clk_000_n_sync_i "pos_clk.un11_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_n_sync "pos_clk.un9_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_OUT_PRE_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un11_clk_000_n_sync "pos_clk.un11_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_NE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_d0_i "pos_clk.un7_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_24 "A_i[24]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0_2 "pos_clk.un27_clk_000_ne_d0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_0 "cpu_est_0[0]") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_x2 "pos_clk.CYCLE_DMA_5_0_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i_x2 "pos_clk.CYCLE_DMA_5_1_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance CLK_030_H_2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_6 "SM_AMIGA_srsts_i_o3[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_0 "SM_AMIGA_srsts_i_o3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_1 "pos_clk.cpu_est_11_0_a4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_0_1 "pos_clk.cpu_est_11_0_a4_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_1_1 "pos_clk.cpu_est_11_0_a4_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_2_1 "pos_clk.cpu_est_11_0_a4_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_3 "pos_clk.cpu_est_11_0_a4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_0_3 "pos_clk.cpu_est_11_0_a4_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_2 "pos_clk.cpu_est_11_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_o4_2 "pos_clk.cpu_est_11_i_o4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_o4_1 "pos_clk.cpu_est_11_0_o4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_o4_3 "pos_clk.cpu_est_11_0_o4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_1_3 "pos_clk.cpu_est_11_0_a4_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_0_4 "SM_AMIGA_srsts_i_a6_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_a6_6 "SM_AMIGA_srsts_i_a6[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_a6_0_6 "SM_AMIGA_srsts_i_a6_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_1_0 "SM_AMIGA_nss_i_i_a6_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a3_0 "SM_AMIGA_srsts_i_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0 "SM_AMIGA_srsts_i[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_o3_0_0 "SM_AMIGA_srsts_i_o3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_5 "SM_AMIGA_srsts_i_o3[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_o3_0 "SM_AMIGA_nss_i_i_o3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_3 "SM_AMIGA_srsts_i_o3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o6_0 "SM_AMIGA_srsts_i_o6[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_1 "SM_AMIGA_srsts_i_o3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_2 "SM_AMIGA_srsts_i_o3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_o3_4 "SM_AMIGA_srsts_i_o3[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_6 "SM_AMIGA_srsts_i_o3[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_CLK_000_N_SYNC_2_0_a4_0 "pos_clk.CLK_000_N_SYNC_2_0_a4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o6_0_o2_0 "SM_AMIGA_srsts_i_o6_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_167 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un4_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un3_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance FPU_SENSE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un14_amiga_bus_data_dir (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DSACK1_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_3_0_a6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_0 "SM_AMIGA_srsts_i_a6[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_1 "SM_AMIGA_srsts_i_a6[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_0_1 "SM_AMIGA_srsts_i_a6_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_1_1 "SM_AMIGA_srsts_i_a6_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_2 "SM_AMIGA_srsts_i_a6[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un29_clk_000_ne_d0_i "pos_clk.un29_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_0_2 "SM_AMIGA_srsts_i_a6_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_a6_3 "SM_AMIGA_srsts_i_a6[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_a6_0_3 "SM_AMIGA_srsts_i_a6_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_a6_1_3 "SM_AMIGA_srsts_i_a6_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_a6_4 "SM_AMIGA_srsts_i_a6[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a6_0_4 "SM_AMIGA_srsts_i_a6_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_31 "A_i[31]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance G_165 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_166 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_167 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance DTACK_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un21_clk_000_ne_d0 "pos_clk.un21_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe "pos_clk.un7_clk_000_pe") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un23_clk_000_ne_d0 "pos_clk.un23_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un8_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un29_clk_000_ne_d0 "pos_clk.un29_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_228 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_224_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_225_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_226_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_230 (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un6_lds_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un6_lds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_i_24 "A_i[24]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_uds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename A_i_25 "A_i[25]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_26 "A_i[26]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_27 "A_i[27]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_28 "A_i[28]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_29 "A_i[29]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_30 "A_i[30]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_31 "A_i[31]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_18 "A_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance G_165 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_166 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) (instance CLK_OUT_PRE_50_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_224_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_225_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_226_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_uds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_242 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_89_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance G_160 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_90_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_1__r "cpu_est_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_1__m "cpu_est_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_1__n "cpu_est_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_1__p "cpu_est_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename cpu_est_0_2__r "cpu_est_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_2__m "cpu_est_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_2__n "cpu_est_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_2__p "cpu_est_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__r "cpu_est_0_3_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_3__m "cpu_est_0_3_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__n "cpu_est_0_3_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__p "cpu_est_0_3_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance AS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_030_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance nEXP_SPACE_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_D_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance DTACK_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_D0_0_0 "IPL_D0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_D0_0_1 "IPL_D0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -890,14 +923,6 @@ (instance (rename IPL_030_1_0 "IPL_030_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_030_1_1 "IPL_030_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_030_1_2 "IPL_030_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__r "SIZE_DMA_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__m "SIZE_DMA_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__n "SIZE_DMA_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__p "SIZE_DMA_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__r "SIZE_DMA_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__m "SIZE_DMA_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__n "SIZE_DMA_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__p "SIZE_DMA_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename IPL_030_0_0__r "IPL_030_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_030_0_0__m "IPL_030_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_030_0_0__n "IPL_030_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -910,22 +935,6 @@ (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BG_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance UDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RW_000_DMA_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RW_000_INT_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance LDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance A0_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_030_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance nEXP_SPACE_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VPA_D_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_80_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename DSACK1_INT_0_r "DSACK1_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename DSACK1_INT_0_m "DSACK1_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename DSACK1_INT_0_n "DSACK1_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -934,97 +943,88 @@ (instance (rename AS_000_INT_0_m "AS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_000_INT_0_n "AS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_000_INT_0_p "AS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_p "DS_000_ENABLE_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_r "AS_030_000_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_m "AS_030_000_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_n "AS_030_000_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_p "AS_030_000_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_r "LDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename RW_000_INT_0_r "RW_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance un14_amiga_bus_data_dir_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_p "RW_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_r "UDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_p "UDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_90_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_r "AMIGA_BUS_ENABLE_DMA_LOW_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_m "AMIGA_BUS_ENABLE_DMA_LOW_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_n "AMIGA_BUS_ENABLE_DMA_LOW_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_p "AMIGA_BUS_ENABLE_DMA_LOW_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_91_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_r "AMIGA_BUS_ENABLE_DMA_HIGH_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_m "AMIGA_BUS_ENABLE_DMA_HIGH_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_n "AMIGA_BUS_ENABLE_DMA_HIGH_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_p "AMIGA_BUS_ENABLE_DMA_HIGH_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance BG_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VMA_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance UDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RW_000_INT_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance LDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance DS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_un3_ds_030_d0 "pos_clk.un3_ds_030_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_amiga_bus_enable_low (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un8_bg_030 "pos_clk.un8_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un6_as_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un4_as_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un4_as_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_ds_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un6_ds_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un6_uds_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un6_lds_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A0_DMA_0_r "A0_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A0_DMA_0_m "A0_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_n "A0_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_p "A0_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (net BGACK_030_INT (joined (portRef Q (instanceRef BGACK_030_INT)) + (portRef I0 (instanceRef un1_as_000_0)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2)) (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__m)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__r)) - (portRef I0 (instanceRef un1_as_000_i_a2)) - (portRef I0 (instanceRef BGACK_030_INT_0_m)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r)) + (portRef I0 (instanceRef BGACK_030_INT_0_n)) (portRef I0 (instanceRef BGACK_030_INT_i)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) (portRef I0 (instanceRef BGACK_030)) )) (net VCC (joined (portRef I0 (instanceRef AVEC)) - (portRef I0 (instanceRef CIIN)) )) (net (rename cpu_est_3 "cpu_est[3]") (joined (portRef Q (instanceRef cpu_est_3)) - (portRef I0 (instanceRef cpu_est_i_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_3)) (portRef I0 (instanceRef cpu_est_0_3__n)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_3)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) + (portRef I0 (instanceRef cpu_est_i_3)) (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_1)) (portRef I0 (instanceRef E)) )) (net VMA_INT (joined (portRef Q (instanceRef VMA_INT)) - (portRef I0 (instanceRef VMA_INT_i)) (portRef I0 (instanceRef VMA_INT_0_n)) + (portRef I0 (instanceRef VMA_INT_i)) (portRef I0 (instanceRef VMA)) )) (net RESET_OUT (joined (portRef Q (instanceRef RESET_OUT)) - (portRef I1 (instanceRef un1_as_000_i_a2)) - (portRef I1 (instanceRef un2_rw_i_a2)) (portRef I0 (instanceRef un3_as_030)) + (portRef I1 (instanceRef un1_as_000_0)) (portRef I0 (instanceRef RESET_OUT_i)) + (portRef I1 (instanceRef un2_rw_i_a2)) (portRef I0 (instanceRef RESET)) )) (net GND (joined @@ -1038,7 +1038,7 @@ (portRef I0 (instanceRef un1_amiga_bus_enable_low_i)) )) (net un6_as_030 (joined - (portRef O (instanceRef un6_as_030)) + (portRef O (instanceRef un6_as_030_0_a2)) (portRef I0 (instanceRef un6_as_030_i)) )) (net un3_size (joined @@ -1049,18 +1049,23 @@ (portRef O (instanceRef un4_size)) (portRef I0 (instanceRef SIZE_0)) )) + (net un5_ciin (joined + (portRef O (instanceRef un5_ciin)) + (portRef I0 (instanceRef un5_ciin_i)) + (portRef I0 (instanceRef CIIN)) + )) (net un8_ciin (joined (portRef O (instanceRef un8_ciin)) - (portRef OE (instanceRef CIIN)) - )) - (net un14_amiga_bus_data_dir (joined - (portRef O (instanceRef un14_amiga_bus_data_dir)) - (portRef I0 (instanceRef un14_amiga_bus_data_dir_i)) + (portRef I0 (instanceRef un8_ciin_i)) )) (net un4_as_000 (joined (portRef O (instanceRef un4_as_000)) (portRef I0 (instanceRef un4_as_000_i)) )) + (net un1_SM_AMIGA_7 (joined + (portRef O (instanceRef un1_SM_AMIGA_7_i_0)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1)) + )) (net un21_fpu_cs (joined (portRef O (instanceRef un21_fpu_cs_0_a2)) (portRef I0 (instanceRef un21_fpu_cs_i)) @@ -1084,53 +1089,50 @@ (net (rename cpu_est_0 "cpu_est[0]") (joined (portRef Q (instanceRef cpu_est_0)) (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_3)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) (portRef I1 (instanceRef cpu_est_0_0)) (portRef I0 (instanceRef cpu_est_i_0)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_1_2)) (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_3)) )) (net (rename cpu_est_1 "cpu_est[1]") (joined (portRef Q (instanceRef cpu_est_1)) + (portRef I0 (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef cpu_est_0_1__n)) (portRef I1 (instanceRef pos_clk_cpu_est_11_0_o4_3)) (portRef I0 (instanceRef pos_clk_cpu_est_11_i_o4_2)) (portRef I0 (instanceRef cpu_est_i_1)) - (portRef I0 (instanceRef cpu_est_0_1__n)) - (portRef I0 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_2)) (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_0_1_2)) + (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_2)) )) (net AS_000_INT (joined (portRef Q (instanceRef AS_000_INT)) + (portRef I0 (instanceRef AS_000_INT_0_m)) (portRef I0 (instanceRef AS_000_INT_i)) - (portRef I0 (instanceRef AS_000_INT_0_n)) )) (net (rename SM_AMIGA_5 "SM_AMIGA[5]") (joined (portRef Q (instanceRef SM_AMIGA_5)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_5)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_5)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) (portRef I0 (instanceRef SM_AMIGA_i_5)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_m)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_r)) )) (net AMIGA_BUS_ENABLE_DMA_LOW (joined (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_LOW)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) )) (net AS_030_D0 (joined (portRef Q (instanceRef AS_030_D0)) (portRef I0 (instanceRef AS_030_D0_i)) - (portRef I1 (instanceRef pos_clk_un6_bg_030_1)) + (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2_1)) )) (net nEXP_SPACE_D0 (joined (portRef Q (instanceRef nEXP_SPACE_D0)) (portRef I0 (instanceRef nEXP_SPACE_D0_i)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o4)) - (portRef I1 (instanceRef un8_ciin_1)) (portRef I1 (instanceRef pos_clk_un7_clk_000_d0)) - (portRef I0 (instanceRef pos_clk_un6_bg_030_1)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o2_1)) + (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_1)) (portRef OE (instanceRef DSACK1)) )) (net DS_030_D0 (joined @@ -1139,8 +1141,8 @@ )) (net AS_030_000_SYNC (joined (portRef Q (instanceRef AS_030_000_SYNC)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) (portRef I0 (instanceRef AS_030_000_SYNC_i)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) )) (net BGACK_030_INT_D (joined (portRef Q (instanceRef BGACK_030_INT_D)) @@ -1148,43 +1150,42 @@ )) (net AS_000_DMA (joined (portRef Q (instanceRef AS_000_DMA)) + (portRef I0 (instanceRef AS_000_DMA_0_n)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) (portRef I0 (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef AS_000_DMA_0_m)) )) (net DS_000_DMA (joined (portRef Q (instanceRef DS_000_DMA)) + (portRef I0 (instanceRef DS_000_DMA_0_n)) (portRef I0 (instanceRef DS_000_DMA_i)) - (portRef I0 (instanceRef DS_000_DMA_0_m)) )) (net (rename CYCLE_DMA_0 "CYCLE_DMA[0]") (joined (portRef Q (instanceRef CYCLE_DMA_0)) - (portRef I1 (instanceRef G_159)) (portRef I1 (instanceRef G_160)) - (portRef I0 (instanceRef pos_clk_un29_bgack_030_int)) - (portRef I0 (instanceRef CYCLE_DMA_i_0)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_x2)) )) (net (rename CYCLE_DMA_1 "CYCLE_DMA[1]") (joined (portRef Q (instanceRef CYCLE_DMA_1)) - (portRef I0 (instanceRef G_161)) - (portRef I0 (instanceRef CYCLE_DMA_i_1)) - (portRef I0 (instanceRef pos_clk_un26_bgack_030_int)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_x2)) )) (net (rename SIZE_DMA_0 "SIZE_DMA[0]") (joined (portRef Q (instanceRef SIZE_DMA_0)) (portRef I0 (instanceRef SIZE_DMA_0_0__n)) - (portRef I0 (instanceRef SIZE_DMA_i_0)) (portRef I0 (instanceRef un4_size)) + (portRef I0 (instanceRef SIZE_DMA_i_0)) )) (net (rename SIZE_DMA_1 "SIZE_DMA[1]") (joined (portRef Q (instanceRef SIZE_DMA_1)) (portRef I0 (instanceRef SIZE_DMA_0_1__n)) - (portRef I0 (instanceRef un3_size)) (portRef I0 (instanceRef SIZE_DMA_i_1)) + (portRef I0 (instanceRef un3_size)) )) (net VPA_D (joined (portRef Q (instanceRef VPA_D)) - (portRef I0 (instanceRef VPA_D_i)) (portRef I1 (instanceRef pos_clk_un21_clk_000_ne_d0)) + (portRef I0 (instanceRef VPA_D_i)) )) (net UDS_000_INT (joined (portRef Q (instanceRef UDS_000_INT)) @@ -1211,22 +1212,23 @@ )) (net CLK_000_D1 (joined (portRef Q (instanceRef CLK_000_D1)) - (portRef I1 (instanceRef pos_clk_CLK_000_N_SYNC_2_0_a4_0)) (portRef I0 (instanceRef CLK_000_D1_i)) + (portRef I1 (instanceRef pos_clk_CLK_000_N_SYNC_2_0)) )) (net CLK_000_D0 (joined (portRef Q (instanceRef CLK_000_D0)) + (portRef I0 (instanceRef pos_clk_CLK_000_P_SYNC_2_0)) (portRef I0 (instanceRef CLK_000_D0_i)) - (portRef I0 (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a2_0)) - (portRef I1 (instanceRef pos_clk_un6_bg_030)) + (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2)) (portRef D (instanceRef CLK_000_D1)) )) (net CLK_000_PE (joined (portRef Q (instanceRef CLK_000_PE)) - (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0_a2)) - (portRef I0 (instanceRef G_159)) - (portRef I0 (instanceRef CLK_000_PE_i)) (portRef I0 (instanceRef G_160)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) + (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0_a2)) + (portRef I1 (instanceRef pos_clk_un4_bgack_000_i_o2)) + (portRef I0 (instanceRef CLK_000_PE_i)) (portRef I1 (instanceRef pos_clk_un5_clk_000_pe_1)) )) (net (rename CLK_000_P_SYNC_9 "CLK_000_P_SYNC[9]") (joined @@ -1235,10 +1237,10 @@ )) (net CLK_000_NE (joined (portRef Q (instanceRef CLK_000_NE)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o4_0)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_0_x2_0)) - (portRef I0 (instanceRef G_136)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0)) (portRef I0 (instanceRef CLK_000_NE_i)) + (portRef I0 (instanceRef G_135)) + (portRef I0 (instanceRef G_136)) (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_2)) (portRef D (instanceRef CLK_000_NE_D0)) )) @@ -1248,11 +1250,11 @@ )) (net (rename cpu_est_2 "cpu_est[2]") (joined (portRef Q (instanceRef cpu_est_2)) + (portRef I0 (instanceRef cpu_est_0_2__n)) (portRef I0 (instanceRef cpu_est_i_2)) (portRef I1 (instanceRef pos_clk_cpu_est_11_i_o4_2)) - (portRef I0 (instanceRef cpu_est_0_2__n)) - (portRef I1 (instanceRef pos_clk_un5_clk_000_pe_2)) (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0)) + (portRef I1 (instanceRef pos_clk_un5_clk_000_pe_2)) (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_3)) )) (net (rename IPL_D0_0 "IPL_D0[0]") (joined @@ -1275,41 +1277,41 @@ )) (net CLK_000_NE_D0 (joined (portRef Q (instanceRef CLK_000_NE_D0)) - (portRef I0 (instanceRef cpu_est_0_0)) - (portRef I1 (instanceRef cpu_est_0_1__m)) - (portRef I0 (instanceRef cpu_est_0_1__r)) - (portRef I1 (instanceRef cpu_est_0_2__m)) - (portRef I0 (instanceRef cpu_est_0_2__r)) (portRef I1 (instanceRef cpu_est_0_3__m)) (portRef I0 (instanceRef cpu_est_0_3__r)) + (portRef I1 (instanceRef cpu_est_0_2__m)) + (portRef I0 (instanceRef cpu_est_0_2__r)) + (portRef I1 (instanceRef cpu_est_0_1__m)) + (portRef I0 (instanceRef cpu_est_0_1__r)) (portRef I0 (instanceRef pos_clk_un29_clk_000_ne_d0)) + (portRef I0 (instanceRef cpu_est_0_0)) )) (net (rename pos_clk_un6_bg_030 "pos_clk.un6_bg_030") (joined - (portRef O (instanceRef pos_clk_un6_bg_030)) + (portRef O (instanceRef pos_clk_un6_bg_030_0_a2)) (portRef I0 (instanceRef pos_clk_un6_bg_030_i)) )) (net (rename SM_AMIGA_0 "SM_AMIGA[0]") (joined (portRef Q (instanceRef SM_AMIGA_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o6_0_a4_0)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_i_0_a2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a3_0)) (portRef I0 (instanceRef SM_AMIGA_i_0)) + (portRef I1 (instanceRef un1_SM_AMIGA_5_i_0_a2)) )) (net AMIGA_BUS_ENABLE_DMA_HIGH (joined (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__n)) )) (net DSACK1_INT (joined (portRef Q (instanceRef DSACK1_INT)) - (portRef I0 (instanceRef DSACK1_INT_0_n)) + (portRef I0 (instanceRef DSACK1_INT_0_m)) (portRef I0 (instanceRef DSACK1)) )) (net (rename pos_clk_CLK_000_P_SYNC_2_0 "pos_clk.CLK_000_P_SYNC_2[0]") (joined - (portRef O (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a2_0)) + (portRef O (instanceRef pos_clk_CLK_000_P_SYNC_2_0)) (portRef D (instanceRef CLK_000_P_SYNC_0)) )) (net (rename pos_clk_CLK_000_N_SYNC_2_0 "pos_clk.CLK_000_N_SYNC_2[0]") (joined - (portRef O (instanceRef pos_clk_CLK_000_N_SYNC_2_0_a4_0)) + (portRef O (instanceRef pos_clk_CLK_000_N_SYNC_2_0)) (portRef I0 (instanceRef pos_clk_un7_clk_000_d0_1)) (portRef D (instanceRef CLK_000_N_SYNC_0)) )) @@ -1335,13 +1337,9 @@ (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_6)) (portRef I0 (instanceRef SM_AMIGA_i_6)) )) - (net AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i)) - )) (net (rename RST_DLY_0 "RST_DLY[0]") (joined (portRef Q (instanceRef RST_DLY_0)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_0_x2_0)) + (portRef I1 (instanceRef G_135)) (portRef I1 (instanceRef G_136)) )) (net (rename RST_DLY_1 "RST_DLY[1]") (joined @@ -1380,8 +1378,8 @@ )) (net (rename RST_DLY_7 "RST_DLY[7]") (joined (portRef Q (instanceRef RST_DLY_7)) - (portRef I1 (instanceRef G_149)) (portRef I1 (instanceRef RESET_OUT_0_sqmuxa)) + (portRef I1 (instanceRef G_149)) )) (net (rename pos_clk_un8_bg_030 "pos_clk.un8_bg_030") (joined (portRef O (instanceRef pos_clk_un8_bg_030_i)) @@ -1470,17 +1468,6 @@ (portRef I0 (instanceRef CLK_000_N_SYNC_i_10)) (portRef D (instanceRef CLK_000_N_SYNC_11)) )) - (net (rename pos_clk_un5_bgack_030_int_d "pos_clk.un5_bgack_030_int_d") (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i)) - (portRef I1 (instanceRef A0_DMA_0_m)) - (portRef I0 (instanceRef A0_DMA_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) - (portRef I1 (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_r)) - )) (net RW_000_INT (joined (portRef Q (instanceRef RW_000_INT)) (portRef I0 (instanceRef RW_000_INT_0_n)) @@ -1491,24 +1478,32 @@ (portRef I0 (instanceRef RW_000_DMA_0_n)) (portRef I0 (instanceRef RW)) )) + (net (rename pos_clk_un7_clk_000_pe "pos_clk.un7_clk_000_pe") (joined + (portRef O (instanceRef pos_clk_un7_clk_000_pe_i)) + (portRef I1 (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_r)) + )) (net A0_DMA (joined (portRef Q (instanceRef A0_DMA)) (portRef I0 (instanceRef A0_DMA_0_n)) (portRef I0 (instanceRef A0)) )) + (net DS_000_ENABLE_1_sqmuxa (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i)) + )) (net CLK_030_H (joined (portRef Q (instanceRef CLK_030_H)) - (portRef I0 (instanceRef pos_clk_un40_bgack_030_int_1)) - (portRef I0 (instanceRef CLK_030_H_0)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) + (portRef I0 (instanceRef CLK_030_H_i)) )) (net (rename SM_AMIGA_1 "SM_AMIGA[1]") (joined (portRef Q (instanceRef SM_AMIGA_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o4_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o4_1)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_0)) (portRef I0 (instanceRef SM_AMIGA_i_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_1__m)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__r)) - (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i_i_a2)) )) (net (rename SM_AMIGA_4 "SM_AMIGA[4]") (joined (portRef Q (instanceRef SM_AMIGA_4)) @@ -1520,26 +1515,28 @@ (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_2)) (portRef I0 (instanceRef SM_AMIGA_i_2)) )) - (net (rename pos_clk_un3_as_030_d0 "pos_clk.un3_as_030_d0") (joined - (portRef O (instanceRef pos_clk_un3_as_030_d0_0_o2_i)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) - )) (net DS_000_ENABLE (joined (portRef Q (instanceRef DS_000_ENABLE)) - (portRef I0 (instanceRef DS_000_ENABLE_0_n)) (portRef I0 (instanceRef un6_lds_000_1)) + (portRef I0 (instanceRef DS_000_ENABLE_0_m)) )) - (net (rename pos_clk_CYCLE_DMA_5_0 "pos_clk.CYCLE_DMA_5[0]") (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0)) - (portRef D (instanceRef CYCLE_DMA_0)) + (net AS_000_INT_1_sqmuxa (joined + (portRef O (instanceRef AS_000_INT_1_sqmuxa)) + (portRef I1 (instanceRef AS_000_INT_0_m)) + (portRef I0 (instanceRef AS_000_INT_0_r)) )) - (net (rename pos_clk_CYCLE_DMA_5_1 "pos_clk.CYCLE_DMA_5[1]") (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1)) - (portRef D (instanceRef CYCLE_DMA_1)) + (net DSACK1_INT_1_sqmuxa (joined + (portRef O (instanceRef DSACK1_INT_1_sqmuxa)) + (portRef I1 (instanceRef DSACK1_INT_0_m)) + (portRef I0 (instanceRef DSACK1_INT_0_r)) )) - (net (rename pos_clk_A0_DMA_3 "pos_clk.A0_DMA_3") (joined - (portRef O (instanceRef pos_clk_A0_DMA_3_0_a2)) - (portRef I0 (instanceRef A0_DMA_0_m)) + (net (rename pos_clk_DS_000_DMA_4 "pos_clk.DS_000_DMA_4") (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_i)) + (portRef I0 (instanceRef DS_000_DMA_0_m)) + )) + (net N_3 (joined + (portRef O (instanceRef DS_000_DMA_0_p)) + (portRef I0 (instanceRef N_3_i)) )) (net N_4 (joined (portRef O (instanceRef SIZE_DMA_0_0__p)) @@ -1549,9 +1546,9 @@ (portRef O (instanceRef SIZE_DMA_0_1__p)) (portRef D (instanceRef SIZE_DMA_1)) )) - (net N_8 (joined - (portRef O (instanceRef A0_DMA_0_p)) - (portRef I0 (instanceRef N_8_i)) + (net N_6 (joined + (portRef O (instanceRef AS_000_DMA_0_p)) + (portRef I0 (instanceRef N_6_i)) )) (net N_9 (joined (portRef O (instanceRef DSACK1_INT_0_p)) @@ -1561,41 +1558,25 @@ (portRef O (instanceRef AS_000_INT_0_p)) (portRef I0 (instanceRef N_10_i)) )) - (net N_11 (joined - (portRef O (instanceRef DS_000_ENABLE_0_p)) - (portRef I0 (instanceRef DS_000_ENABLE_1)) - )) - (net N_12 (joined - (portRef O (instanceRef AS_030_000_SYNC_0_p)) - (portRef I0 (instanceRef N_12_i)) - )) - (net N_13 (joined - (portRef O (instanceRef LDS_000_INT_0_p)) - (portRef I0 (instanceRef N_13_i)) - )) (net N_14 (joined - (portRef O (instanceRef RW_000_INT_0_p)) + (portRef O (instanceRef LDS_000_INT_0_p)) (portRef I0 (instanceRef N_14_i)) )) (net N_15 (joined - (portRef O (instanceRef RW_000_DMA_0_p)) + (portRef O (instanceRef RW_000_INT_0_p)) (portRef I0 (instanceRef N_15_i)) )) - (net N_16 (joined + (net N_17 (joined (portRef O (instanceRef UDS_000_INT_0_p)) - (portRef I0 (instanceRef N_16_i)) + (portRef I0 (instanceRef N_17_i)) )) (net N_19 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) + (portRef O (instanceRef VMA_INT_0_p)) (portRef I0 (instanceRef N_19_i)) )) - (net N_20 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) - (portRef I0 (instanceRef N_20_i)) - )) - (net N_21 (joined + (net N_22 (joined (portRef O (instanceRef BG_000_0_p)) - (portRef I0 (instanceRef N_21_i)) + (portRef I0 (instanceRef N_22_i)) )) (net N_23 (joined (portRef O (instanceRef IPL_030_0_0__p)) @@ -1638,41 +1619,41 @@ (portRef D (instanceRef IPL_030DFF_2)) )) (net N_33 (joined - (portRef O (instanceRef BGACK_030_INT_1_i)) - (portRef D (instanceRef BGACK_030_INT)) - )) - (net N_34 (joined (portRef O (instanceRef BG_000_1_i)) (portRef D (instanceRef BG_000DFF)) )) - (net N_35 (joined + (net N_34 (joined (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1_i)) (portRef D (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH)) )) - (net N_36 (joined + (net N_35 (joined (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1_i)) (portRef D (instanceRef AMIGA_BUS_ENABLE_DMA_LOW)) )) - (net N_37 (joined + (net N_36 (joined (portRef O (instanceRef VMA_INT_1_i)) (portRef D (instanceRef VMA_INT)) )) - (net N_39 (joined + (net N_38 (joined (portRef O (instanceRef UDS_000_INT_1_i)) (portRef D (instanceRef UDS_000_INT)) )) - (net N_40 (joined + (net N_39 (joined (portRef O (instanceRef RW_000_DMA_2_i)) (portRef D (instanceRef RW_000_DMA)) )) - (net N_41 (joined + (net N_40 (joined (portRef O (instanceRef RW_000_INT_2_i)) (portRef D (instanceRef RW_000_INT)) )) - (net N_42 (joined + (net N_41 (joined (portRef O (instanceRef LDS_000_INT_1_i)) (portRef D (instanceRef LDS_000_INT)) )) + (net N_42 (joined + (portRef O (instanceRef BGACK_030_INT_1_i)) + (portRef D (instanceRef BGACK_030_INT)) + )) (net N_43 (joined (portRef O (instanceRef AS_030_000_SYNC_1_i)) (portRef D (instanceRef AS_030_000_SYNC)) @@ -1725,14 +1706,6 @@ (portRef O (instanceRef DTACK_D0_0_i)) (portRef D (instanceRef DTACK_D0)) )) - (net N_58 (joined - (portRef O (instanceRef CLK_030_H_2)) - (portRef D (instanceRef CLK_030_H)) - )) - (net N_59 (joined - (portRef O (instanceRef RESET_OUT_2)) - (portRef D (instanceRef RESET_OUT)) - )) (net N_60 (joined (portRef O (instanceRef DS_000_ENABLE_1)) (portRef D (instanceRef DS_000_ENABLE)) @@ -1748,13 +1721,17 @@ (portRef I1 (instanceRef RW_000_INT_0_m)) (portRef I0 (instanceRef RW_000_INT_0_r)) )) - (net (rename pos_clk_SIZE_DMA_6_0 "pos_clk.SIZE_DMA_6[0]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) - (portRef I0 (instanceRef SIZE_DMA_0_0__m)) + (net (rename pos_clk_cpu_est_11_1 "pos_clk.cpu_est_11[1]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_i_1)) + (portRef I0 (instanceRef cpu_est_0_1__m)) )) - (net (rename pos_clk_SIZE_DMA_6_1 "pos_clk.SIZE_DMA_6[1]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) - (portRef I0 (instanceRef SIZE_DMA_0_1__m)) + (net (rename pos_clk_cpu_est_11_3 "pos_clk.cpu_est_11[3]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_i_3)) + (portRef I0 (instanceRef cpu_est_0_3__m)) + )) + (net N_220 (joined + (portRef O (instanceRef G_160)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) )) (net N_224 (joined (portRef O (instanceRef G_165)) @@ -1773,81 +1750,77 @@ (portRef I1 (instanceRef un6_uds_000)) (portRef I1 (instanceRef un6_lds_000)) )) - (net N_241 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_i)) - (portRef I1 (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_r)) - )) - (net N_242 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_i)) - (portRef I1 (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_0_r)) - )) - (net N_243 (joined - (portRef O (instanceRef AS_000_INT_1_sqmuxa_i_i)) - (portRef I1 (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef AS_000_INT_0_r)) + (net (rename pos_clk_un24_bgack_030_int_i_0 "pos_clk.un24_bgack_030_int_i_0") (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_i)) + (portRef I0 (instanceRef AS_000_DMA_0_m)) )) (net N_244 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) + (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i_i)) + (portRef I1 (instanceRef AS_000_DMA_0_m)) + (portRef I0 (instanceRef AS_000_DMA_0_r)) )) (net N_245 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) - (portRef I0 (instanceRef RW_000_INT_0_m)) + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_i)) + (portRef I1 (instanceRef DS_000_DMA_0_m)) + (portRef I0 (instanceRef DS_000_DMA_0_r)) )) - (net N_246 (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_i)) - (portRef I1 (instanceRef SIZE_DMA_0_1__m)) - (portRef I0 (instanceRef SIZE_DMA_0_1__r)) - (portRef I1 (instanceRef SIZE_DMA_0_0__m)) - (portRef I0 (instanceRef SIZE_DMA_0_0__r)) - )) - (net N_78 (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__p)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_HIGH)) + (net N_257 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2_i)) + (portRef D (instanceRef BGACK_030_INT_D)) )) (net N_80 (joined - (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i_i_a2)) - (portRef I0 (instanceRef N_80_i)) - )) - (net N_89 (joined - (portRef O (instanceRef AS_030_D0_0_i_a2)) - (portRef I0 (instanceRef N_89_i)) + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_HIGH)) )) (net N_90 (joined - (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) + (portRef O (instanceRef AS_030_D0_0_i_a2)) (portRef I0 (instanceRef N_90_i)) )) - (net N_91 (joined - (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) - (portRef I0 (instanceRef N_91_i)) - )) - (net N_98 (joined - (portRef O (instanceRef un1_as_000_i_a2)) - (portRef OE (instanceRef AS_000)) - (portRef OE (instanceRef LDS_000)) - (portRef OE (instanceRef RW_000)) - (portRef OE (instanceRef UDS_000)) - )) - (net N_99 (joined + (net N_100 (joined (portRef O (instanceRef un2_rw_i_a2)) (portRef OE (instanceRef RW)) )) - (net N_249 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_i_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) + (net N_105 (joined + (portRef O (instanceRef pos_clk_un3_as_030_d0_i_a2)) + (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa)) + (portRef I0 (instanceRef AS_000_INT_1_sqmuxa)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1)) + (portRef I0 (instanceRef N_105_i)) )) - (net N_248 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_p)) - (portRef I0 (instanceRef N_248_i)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i)) + (net (rename pos_clk_un11_clk_000_n_sync "pos_clk.un11_clk_000_n_sync") (joined + (portRef O (instanceRef pos_clk_un11_clk_000_n_sync)) + (portRef I0 (instanceRef pos_clk_un11_clk_000_n_sync_i)) + )) + (net N_78_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) + )) + (net N_79_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) )) (net N_135 (joined (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0_i_0)) (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_1_0_0)) )) + (net N_133 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) + )) + (net N_190 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_i_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_3)) + )) + (net N_188 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_o4_i_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_1_2)) + )) + (net N_189 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_i_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1)) + )) (net N_136 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_3)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_3)) @@ -1856,10 +1829,77 @@ (portRef O (instanceRef pos_clk_un7_clk_000_d0)) (portRef I0 (instanceRef pos_clk_un7_clk_000_d0_i)) )) - (net un22_berr_1 (joined - (portRef O (instanceRef un22_berr_0_a2_1)) - (portRef I1 (instanceRef un21_fpu_cs_0_a2)) - (portRef I0 (instanceRef un22_berr_0_a2_1_0)) + (net (rename pos_clk_un29_clk_000_ne_d0 "pos_clk.un29_clk_000_ne_d0") (joined + (portRef O (instanceRef pos_clk_un29_clk_000_ne_d0)) + (portRef I0 (instanceRef pos_clk_un29_clk_000_ne_d0_i)) + )) + (net DSACK1_INT_0_sqmuxa (joined + (portRef O (instanceRef DSACK1_INT_0_sqmuxa)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i)) + )) + (net (rename pos_clk_un21_clk_000_ne_d0 "pos_clk.un21_clk_000_ne_d0") (joined + (portRef O (instanceRef pos_clk_un21_clk_000_ne_d0)) + (portRef I0 (instanceRef pos_clk_un21_clk_000_ne_d0_i)) + )) + (net (rename pos_clk_un5_clk_000_pe "pos_clk.un5_clk_000_pe") (joined + (portRef O (instanceRef pos_clk_un5_clk_000_pe)) + (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_i)) + )) + (net (rename pos_clk_un9_clk_000_ne "pos_clk.un9_clk_000_ne") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_ne)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_i)) + )) + (net (rename pos_clk_un23_clk_000_ne_d0 "pos_clk.un23_clk_000_ne_d0") (joined + (portRef O (instanceRef pos_clk_un23_clk_000_ne_d0_i)) + (portRef I1 (instanceRef pos_clk_un29_clk_000_ne_d0)) + )) + (net (rename pos_clk_un27_clk_000_ne_d0 "pos_clk.un27_clk_000_ne_d0") (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0)) + (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_i)) + )) + (net (rename pos_clk_un14_clk_000_n_sync "pos_clk.un14_clk_000_n_sync") (joined + (portRef O (instanceRef pos_clk_un14_clk_000_n_sync_i)) + (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa)) + )) + (net N_144 (joined + (portRef O (instanceRef un1_SM_AMIGA_3_0_a6)) + (portRef I0 (instanceRef N_144_i)) + )) + (net N_146 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0)) + (portRef I0 (instanceRef N_146_i)) + )) + (net N_143 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0)) + )) + (net N_147 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_1)) + (portRef I0 (instanceRef N_147_i)) + )) + (net N_139 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_1)) + )) + (net N_148 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_1)) + (portRef I0 (instanceRef N_148_i)) + )) + (net N_149 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_1_1)) + (portRef I0 (instanceRef N_149_i)) + )) + (net N_150 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_2)) + (portRef I0 (instanceRef N_150_i)) + )) + (net N_140 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_2)) + )) + (net N_151 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_2)) + (portRef I0 (instanceRef N_151_i)) )) (net N_152 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_a6_3)) @@ -1885,22 +1925,6 @@ (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_4)) (portRef I0 (instanceRef N_156_i)) )) - (net N_157 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_5)) - (portRef I0 (instanceRef N_157_i)) - )) - (net N_138 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_5)) - )) - (net N_158 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_5)) - (portRef I0 (instanceRef N_158_i)) - )) - (net N_159 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_1_5)) - (portRef I0 (instanceRef N_159_i)) - )) (net N_160 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_a6_6)) (portRef I0 (instanceRef N_160_i)) @@ -1913,59 +1937,94 @@ (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_6)) (portRef I0 (instanceRef N_161_i)) )) + (net N_164 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) + (portRef I0 (instanceRef N_164_i)) + )) + (net N_166 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a3_0)) + (portRef I0 (instanceRef N_166_i)) + )) (net N_132 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_i_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o6_0_a4_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a3_0)) )) - (net N_104 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o6_0_a4_0)) - (portRef I0 (instanceRef N_104_i)) + (net N_191 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1)) + (portRef I0 (instanceRef N_191_i)) )) - (net N_76 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) - (portRef I0 (instanceRef N_76_i)) + (net N_192 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) + (portRef I0 (instanceRef N_192_i)) )) - (net N_71 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_i_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_0)) + (net N_193 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) + (portRef I0 (instanceRef N_193_i)) )) - (net N_251 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o4_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2)) + (net N_194 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) + (portRef I0 (instanceRef N_194_i)) )) - (net N_93 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2)) - (portRef I0 (instanceRef N_93_i)) + (net N_197 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_3)) + (portRef I0 (instanceRef N_197_i)) + )) + (net N_198 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) + (portRef I0 (instanceRef N_198_i)) + )) + (net N_195 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_2)) + (portRef I0 (instanceRef N_195_i)) + )) + (net N_196 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) + (portRef I0 (instanceRef N_196_i)) + )) + (net N_162 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0)) + (portRef I0 (instanceRef N_162_i)) + )) + (net N_199 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) + (portRef I0 (instanceRef N_199_i)) + )) + (net N_199_1 (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) + (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_1)) + )) + (net N_163 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) + (portRef I0 (instanceRef N_163_i)) + )) + (net N_165 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) + (portRef I0 (instanceRef N_165_i)) + )) + (net N_73 (joined + (portRef O (instanceRef CLK_030_H_2_i_o2_i)) + (portRef I1 (instanceRef CLK_030_H_2_i_a2)) )) (net N_94 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) + (portRef O (instanceRef CLK_030_H_2_i_a2)) (portRef I0 (instanceRef N_94_i)) )) - (net N_88 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_0)) - (portRef I0 (instanceRef N_88_i)) - )) - (net N_87 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) - (portRef I0 (instanceRef N_87_i)) - )) - (net N_86 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) - (portRef I0 (instanceRef N_86_i)) + (net N_85 (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) + (portRef I0 (instanceRef N_85_i)) )) (net N_84 (joined - (portRef O (instanceRef un1_SM_AMIGA_5_i_0_a2)) + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) (portRef I0 (instanceRef N_84_i)) )) (net N_83 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_1)) + (portRef O (instanceRef un1_SM_AMIGA_5_i_0_a2)) (portRef I0 (instanceRef N_83_i)) )) - (net N_116 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) - (portRef I1 (instanceRef un21_fpu_cs_0_a2_1)) - (portRef I1 (instanceRef un22_berr_0_a2)) + (net (rename pos_clk_un9_clk_000_n_sync "pos_clk.un9_clk_000_n_sync") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_n_sync)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_n_sync_i)) )) (net (rename un1_RST_DLY_8 "un1_RST_DLY[8]") (joined (portRef O (instanceRef G_149)) @@ -2025,8 +2084,8 @@ )) (net RESET_OUT_0_sqmuxa_7 (joined (portRef O (instanceRef RESET_OUT_0_sqmuxa_7)) - (portRef I0 (instanceRef G_149)) (portRef I0 (instanceRef RESET_OUT_0_sqmuxa)) + (portRef I0 (instanceRef G_149)) )) (net RESET_OUT_0_sqmuxa_5 (joined (portRef O (instanceRef RESET_OUT_0_sqmuxa_5)) @@ -2062,271 +2121,173 @@ (portRef O (instanceRef un1_RST_DLY_i_m_2)) (portRef I0 (instanceRef un1_RST_DLY_i_m_i_2)) )) - (net N_38 (joined - (portRef O (instanceRef RESET_OUT_1_i)) - (portRef I0 (instanceRef RESET_OUT_2)) + (net N_86 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2)) + (portRef I0 (instanceRef N_86_i)) )) - (net N_85 (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_0_a2_0)) - (portRef I0 (instanceRef N_85_i)) + (net N_93 (joined + (portRef O (instanceRef RESET_OUT_2_i_a2)) + (portRef I0 (instanceRef N_93_i)) )) - (net N_77_i (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_0_x2_0)) - (portRef I0 (instanceRef N_77_i_i)) + (net N_87 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0)) + (portRef I0 (instanceRef N_87_i)) )) - (net N_252 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_i_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_1)) + (net (rename un1_RST_DLY_1 "un1_RST_DLY[1]") (joined + (portRef O (instanceRef G_135)) + (portRef I0 (instanceRef un1_RST_DLY_i_1)) + )) + (net N_159 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_1_5)) + (portRef I0 (instanceRef N_159_i)) + )) + (net N_157 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_5)) + (portRef I0 (instanceRef N_157_i)) + )) + (net N_158 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_5)) + (portRef I0 (instanceRef N_158_i)) + )) + (net (rename un1_RST_DLY_i_m_1 "un1_RST_DLY_i_m[1]") (joined + (portRef O (instanceRef un1_RST_DLY_i_m_1)) + (portRef I0 (instanceRef un1_RST_DLY_i_m_i_1)) + )) + (net N_138 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_5)) + )) + (net N_88 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) + (portRef I0 (instanceRef N_88_i)) + )) + (net N_256 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_i_0)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) + )) + (net N_89 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) + (portRef I0 (instanceRef N_89_i)) + )) + (net N_91 (joined + (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) + (portRef I0 (instanceRef N_91_i)) + )) + (net N_92 (joined + (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) + (portRef I0 (instanceRef N_92_i)) + )) + (net (rename pos_clk_A0_DMA_3 "pos_clk.A0_DMA_3") (joined + (portRef O (instanceRef pos_clk_A0_DMA_3_0_a2)) + (portRef I0 (instanceRef A0_DMA_0_m)) + )) + (net N_96 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2)) + (portRef I0 (instanceRef N_96_i)) + )) + (net N_72 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o2_i)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2)) )) (net N_97 (joined - (portRef O (instanceRef pos_clk_un4_bgack_000_i_a2)) + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) + (portRef I0 (instanceRef N_97_i)) + )) + (net N_116 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) + (portRef I1 (instanceRef un21_fpu_cs_0_a2_1)) + (portRef I1 (instanceRef un22_berr_0_a2)) + )) + (net N_104 (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_a2)) + (portRef I0 (instanceRef N_104_i)) + )) + (net N_76 (joined + (portRef O (instanceRef pos_clk_un4_bgack_000_i_o2_i)) + (portRef I1 (instanceRef pos_clk_un6_bgack_000_0)) + )) + (net (rename pos_clk_SIZE_DMA_6_1 "pos_clk.SIZE_DMA_6[1]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) + (portRef I0 (instanceRef SIZE_DMA_0_1__m)) + )) + (net (rename pos_clk_SIZE_DMA_6_0 "pos_clk.SIZE_DMA_6[0]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) + (portRef I0 (instanceRef SIZE_DMA_0_0__m)) + )) + (net N_250 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) + )) + (net (rename pos_clk_un6_bgack_000 "pos_clk.un6_bgack_000") (joined + (portRef O (instanceRef pos_clk_un6_bgack_000_0_i)) (portRef I1 (instanceRef BGACK_030_INT_0_m)) (portRef I0 (instanceRef BGACK_030_INT_0_r)) )) - (net (rename pos_clk_un27_clk_000_ne_d0 "pos_clk.un27_clk_000_ne_d0") (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0)) - (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_i)) + (net N_251 (joined + (portRef O (instanceRef un14_amiga_bus_data_dir_i_0_i)) + (portRef I0 (instanceRef RW_000_DMA_0_m)) )) - (net N_199_1 (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) - (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_1)) + (net N_252 (joined + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_i)) + (portRef I1 (instanceRef SIZE_DMA_0_0__m)) + (portRef I0 (instanceRef SIZE_DMA_0_0__r)) + (portRef I1 (instanceRef SIZE_DMA_0_1__m)) + (portRef I0 (instanceRef SIZE_DMA_0_1__r)) )) - (net (rename pos_clk_un5_clk_000_pe "pos_clk.un5_clk_000_pe") (joined - (portRef O (instanceRef pos_clk_un5_clk_000_pe)) - (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_i)) + (net (rename pos_clk_un5_bgack_030_int_d "pos_clk.un5_bgack_030_int_d") (joined + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i)) + (portRef I1 (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_r)) + (portRef I1 (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) )) - (net (rename pos_clk_un9_clk_000_ne "pos_clk.un9_clk_000_ne") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_ne)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_i)) + (net N_63_i (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_x2)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_2)) )) - (net N_150 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_2)) - (portRef I0 (instanceRef N_150_i)) + (net DS_000_ENABLE_1_sqmuxa_1 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1)) + (portRef I1 (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef DS_000_ENABLE_0_r)) )) - (net N_151 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_2)) - (portRef I0 (instanceRef N_151_i)) + (net un22_berr_1 (joined + (portRef O (instanceRef un22_berr_0_a2_1)) + (portRef I0 (instanceRef un22_berr_0_a2_1_0)) + (portRef I1 (instanceRef un21_fpu_cs_0_a2)) )) - (net N_199 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) - (portRef I0 (instanceRef N_199_i)) + (net N_8 (joined + (portRef O (instanceRef A0_DMA_0_p)) + (portRef I0 (instanceRef N_8_i)) )) - (net N_196 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) - (portRef I0 (instanceRef N_196_i)) + (net N_12 (joined + (portRef O (instanceRef AS_030_000_SYNC_0_p)) + (portRef I0 (instanceRef N_12_i)) )) - (net N_195 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_2)) - (portRef I0 (instanceRef N_195_i)) - )) - (net N_188 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_o4_i_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_1_2)) - )) - (net (rename pos_clk_cpu_est_11_3 "pos_clk.cpu_est_11[3]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_i_3)) - (portRef I0 (instanceRef cpu_est_0_3__m)) - )) - (net N_197 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_3)) - (portRef I0 (instanceRef N_197_i)) - )) - (net N_198 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) - (portRef I0 (instanceRef N_198_i)) - )) - (net (rename pos_clk_cpu_est_11_1 "pos_clk.cpu_est_11[1]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_i_1)) - (portRef I0 (instanceRef cpu_est_0_1__m)) - )) - (net N_194 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) - (portRef I0 (instanceRef N_194_i)) - )) - (net N_192 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) - (portRef I0 (instanceRef N_192_i)) - )) - (net N_191 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1)) - (portRef I0 (instanceRef N_191_i)) - )) - (net N_193 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) - (portRef I0 (instanceRef N_193_i)) - )) - (net N_190 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_i_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_3)) - )) - (net N_189 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_i_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1)) - )) - (net N_140 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_2)) - )) - (net (rename pos_clk_un29_clk_000_ne_d0 "pos_clk.un29_clk_000_ne_d0") (joined - (portRef O (instanceRef pos_clk_un29_clk_000_ne_d0)) - (portRef I0 (instanceRef pos_clk_un29_clk_000_ne_d0_i)) - )) - (net (rename pos_clk_un23_clk_000_ne_d0 "pos_clk.un23_clk_000_ne_d0") (joined - (portRef O (instanceRef pos_clk_un23_clk_000_ne_d0_i)) - (portRef I1 (instanceRef pos_clk_un29_clk_000_ne_d0)) - )) - (net (rename pos_clk_un21_clk_000_ne_d0 "pos_clk.un21_clk_000_ne_d0") (joined - (portRef O (instanceRef pos_clk_un21_clk_000_ne_d0)) - (portRef I0 (instanceRef pos_clk_un21_clk_000_ne_d0_i)) - )) - (net (rename pos_clk_un7_clk_000_pe "pos_clk.un7_clk_000_pe") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_i)) - (portRef I1 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_r)) - )) - (net N_18 (joined - (portRef O (instanceRef VMA_INT_0_p)) - (portRef I0 (instanceRef N_18_i)) - )) - (net N_22 (joined + (net N_13 (joined (portRef O (instanceRef BGACK_030_INT_0_p)) - (portRef I0 (instanceRef N_22_i)) + (portRef I0 (instanceRef N_13_i)) )) - (net (rename pos_clk_un11_clk_000_n_sync "pos_clk.un11_clk_000_n_sync") (joined - (portRef O (instanceRef pos_clk_un11_clk_000_n_sync)) - (portRef I0 (instanceRef pos_clk_un11_clk_000_n_sync_i)) + (net N_16 (joined + (portRef O (instanceRef RW_000_DMA_0_p)) + (portRef I0 (instanceRef N_16_i)) )) - (net (rename pos_clk_un9_clk_000_n_sync "pos_clk.un9_clk_000_n_sync") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_n_sync)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_n_sync_i)) + (net N_20 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) + (portRef I0 (instanceRef N_20_i)) )) - (net (rename pos_clk_un14_clk_000_n_sync "pos_clk.un14_clk_000_n_sync") (joined - (portRef O (instanceRef pos_clk_un14_clk_000_n_sync_i)) - (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa_i_i_a2)) + (net N_21 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + (portRef I0 (instanceRef N_21_i)) )) - (net (rename pos_clk_un22_bgack_030_int "pos_clk.un22_bgack_030_int") (joined - (portRef O (instanceRef pos_clk_un22_bgack_030_int_i)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_1)) - )) - (net (rename un1_CYCLE_DMA_1_2 "un1_CYCLE_DMA_1[2]") (joined - (portRef O (instanceRef G_161)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1)) - )) - (net N_220 (joined - (portRef O (instanceRef G_160)) - (portRef I1 (instanceRef G_161)) - )) - (net (rename un1_CYCLE_DMA_1_1 "un1_CYCLE_DMA_1[1]") (joined - (portRef O (instanceRef G_159)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0)) - )) - (net (rename pos_clk_un40_bgack_030_int_1 "pos_clk.un40_bgack_030_int_1") (joined - (portRef O (instanceRef pos_clk_un40_bgack_030_int_1)) - (portRef I0 (instanceRef pos_clk_un40_bgack_030_int_1_i)) - )) - (net CLK_030_H_0_sqmuxa (joined - (portRef O (instanceRef CLK_030_H_0_sqmuxa)) - (portRef I0 (instanceRef CLK_030_H_0_sqmuxa_i)) - )) - (net AS_000_DMA_1_sqmuxa (joined - (portRef O (instanceRef AS_000_DMA_1_sqmuxa)) - (portRef I0 (instanceRef CLK_030_H_0_sqmuxa)) - (portRef I1 (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_r)) - )) - (net (rename pos_clk_un24_bgack_030_int "pos_clk.un24_bgack_030_int") (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f1)) - (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa)) - (portRef I1 (instanceRef DS_000_DMA_0_sqmuxa)) - (portRef I1 (instanceRef CLK_030_H_0)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_1)) - )) - (net (rename pos_clk_un27_bgack_030_int "pos_clk.un27_bgack_030_int") (joined - (portRef O (instanceRef pos_clk_un27_bgack_030_int_i)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int)) - )) - (net N_176_1 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) - (portRef I0 (instanceRef un1_rst_2)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_1)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) - )) - (net N_165 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) - (portRef I0 (instanceRef N_165_i)) - )) - (net N_133 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) - )) - (net N_163 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) - (portRef I0 (instanceRef N_163_i)) - )) - (net N_162 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0)) - (portRef I0 (instanceRef N_162_i)) - )) - (net N_164 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) - (portRef I0 (instanceRef N_164_i)) - )) - (net N_176 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) - (portRef I0 (instanceRef N_176_i)) - )) - (net DS_000_DMA_2_sqmuxa (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa)) - (portRef I1 (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_r)) - )) - (net (rename pos_clk_DS_000_DMA_4 "pos_clk.DS_000_DMA_4") (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0)) - (portRef I0 (instanceRef DS_000_DMA_0_n)) - )) - (net DS_000_DMA_0_sqmuxa (joined - (portRef O (instanceRef DS_000_DMA_0_sqmuxa)) - (portRef I0 (instanceRef DS_000_DMA_0_sqmuxa_i)) - )) - (net (rename pos_clk_DS_000_DMA_4_f1 "pos_clk.DS_000_DMA_4_f1") (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f1_i)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0)) - )) - (net N_175 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) - (portRef I0 (instanceRef N_175_i)) - )) - (net N_47 (joined - (portRef O (instanceRef CLK_030_H_1_i)) - (portRef I0 (instanceRef CLK_030_H_2)) - )) - (net N_7 (joined - (portRef O (instanceRef CLK_030_H_0)) - (portRef I0 (instanceRef N_7_i)) - )) - (net un1_rst_2 (joined - (portRef O (instanceRef un1_rst_2)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1)) - )) - (net (rename pos_clk_un26_bgack_030_int "pos_clk.un26_bgack_030_int") (joined - (portRef O (instanceRef pos_clk_un26_bgack_030_int)) - (portRef I0 (instanceRef pos_clk_un26_bgack_030_int_i)) - )) - (net (rename pos_clk_un29_bgack_030_int "pos_clk.un29_bgack_030_int") (joined - (portRef O (instanceRef pos_clk_un29_bgack_030_int)) - (portRef I0 (instanceRef pos_clk_un29_bgack_030_int_i)) - )) - (net N_3 (joined - (portRef O (instanceRef DS_000_DMA_0_p)) - (portRef I0 (instanceRef N_3_i)) - )) - (net N_6 (joined - (portRef O (instanceRef AS_000_DMA_0_p)) - (portRef I0 (instanceRef N_6_i)) + (net N_11 (joined + (portRef O (instanceRef DS_000_ENABLE_0_p)) + (portRef I0 (instanceRef DS_000_ENABLE_1)) )) (net un1_amiga_bus_enable_low_i (joined (portRef O (instanceRef un1_amiga_bus_enable_low_i)) @@ -2336,200 +2297,171 @@ (portRef O (instanceRef un21_fpu_cs_i)) (portRef I0 (instanceRef FPU_CS)) )) - (net AS_000_i (joined - (portRef O (instanceRef I_234)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) - (portRef I0 (instanceRef un6_ds_030)) - (portRef I1 (instanceRef un6_as_030)) - )) - (net DS_000_DMA_i (joined - (portRef O (instanceRef DS_000_DMA_i)) - (portRef I1 (instanceRef un6_ds_030)) - )) - (net (rename pos_clk_un24_bgack_030_int_i "pos_clk.un24_bgack_030_int_i") (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i)) - (portRef I0 (instanceRef AS_000_DMA_0_n)) - )) - (net (rename CYCLE_DMA_i_1 "CYCLE_DMA_i[1]") (joined - (portRef O (instanceRef CYCLE_DMA_i_1)) - (portRef I1 (instanceRef pos_clk_un29_bgack_030_int)) - )) - (net (rename CYCLE_DMA_i_0 "CYCLE_DMA_i[0]") (joined - (portRef O (instanceRef CYCLE_DMA_i_0)) - (portRef I1 (instanceRef pos_clk_un26_bgack_030_int)) - )) - (net AS_000_DMA_i (joined - (portRef O (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f1)) - (portRef I1 (instanceRef CLK_030_H_0_sqmuxa)) - (portRef I0 (instanceRef un6_as_030)) - )) - (net CLK_EXP_i (joined - (portRef O (instanceRef CLK_EXP_i)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa)) - )) - (net BERR_i (joined - (portRef O (instanceRef I_235)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__m)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_1_0_0)) - )) - (net RW_000_i (joined - (portRef O (instanceRef I_236)) - (portRef I1 (instanceRef un14_amiga_bus_data_dir)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_1)) - )) - (net DS_000_DMA_0_sqmuxa_i (joined - (portRef O (instanceRef DS_000_DMA_0_sqmuxa_i)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0)) - )) - (net (rename pos_clk_un40_bgack_030_int_1_i "pos_clk.un40_bgack_030_int_1_i") (joined - (portRef O (instanceRef pos_clk_un40_bgack_030_int_1_i)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa)) - )) (net BGACK_030_INT_i (joined (portRef O (instanceRef BGACK_030_INT_i)) - (portRef I1 (instanceRef un1_amiga_bus_enable_low)) - (portRef I0 (instanceRef un14_amiga_bus_data_dir)) (portRef I0 (instanceRef un1_as_030)) - (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) - (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) - (portRef I0 (instanceRef pos_clk_A0_DMA_3_0_a2)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) (portRef I0 (instanceRef un2_rw_i_a2)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) + (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) + (portRef I0 (instanceRef un14_amiga_bus_data_dir_i_0)) + (portRef I0 (instanceRef pos_clk_A0_DMA_3_0_a2)) + (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) + (portRef I1 (instanceRef un1_amiga_bus_enable_low)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_1)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_1)) )) - (net nEXP_SPACE_D0_i (joined - (portRef O (instanceRef nEXP_SPACE_D0_i)) - (portRef I1 (instanceRef un1_as_030)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) + (net AMIGA_BUS_ENABLE_DMA_LOW_i (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) + (portRef I0 (instanceRef un1_amiga_bus_enable_low)) + )) + (net AS_030_i (joined + (portRef O (instanceRef I_224)) + (portRef I0 (instanceRef AS_030_D0_0_i_a2)) + (portRef I0 (instanceRef un22_berr_0_a2_1)) + (portRef I1 (instanceRef un4_as_000)) + )) + (net AS_000_INT_i (joined + (portRef O (instanceRef AS_000_INT_i)) + (portRef I0 (instanceRef un4_as_000)) + )) + (net N_105_i (joined + (portRef O (instanceRef N_105_i)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) + )) + (net N_91_i (joined + (portRef O (instanceRef N_91_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + )) + (net N_92_i (joined + (portRef O (instanceRef N_92_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + )) + (net (rename A_i_19 "A_i[19]") (joined + (portRef O (instanceRef A_i_19)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) + )) + (net (rename A_i_18 "A_i[18]") (joined + (portRef O (instanceRef A_i_18)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) + )) + (net (rename A_i_16 "A_i[16]") (joined + (portRef O (instanceRef A_i_16)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) + )) + (net (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (joined + (portRef O (instanceRef SIZE_DMA_i_1)) + (portRef I1 (instanceRef un4_size)) + )) + (net (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (joined + (portRef O (instanceRef SIZE_DMA_i_0)) + (portRef I1 (instanceRef un3_size)) + )) + (net FPU_SENSE_i (joined + (portRef O (instanceRef FPU_SENSE_i)) + (portRef I0 (instanceRef un21_fpu_cs_0_a2_1)) + )) + (net CLK_000_D1_i (joined + (portRef O (instanceRef CLK_000_D1_i)) + (portRef I1 (instanceRef pos_clk_CLK_000_P_SYNC_2_0)) + )) + (net CLK_000_D0_i (joined + (portRef O (instanceRef CLK_000_D0_i)) + (portRef I0 (instanceRef pos_clk_CLK_000_N_SYNC_2_0)) + )) + (net AS_030_000_SYNC_i (joined + (portRef O (instanceRef AS_030_000_SYNC_i)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_d0_1)) + )) + (net AS_030_D0_i (joined + (portRef O (instanceRef AS_030_D0_i)) + (portRef I0 (instanceRef pos_clk_un3_as_030_d0_i_a2)) + (portRef I0 (instanceRef un5_ciin_1)) + )) + (net (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (joined + (portRef O (instanceRef SM_AMIGA_i_i_7)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o2_1)) + )) + (net AS_000_i (joined + (portRef O (instanceRef I_225)) + (portRef I0 (instanceRef un6_ds_030)) + (portRef I1 (instanceRef un6_as_030_0_a2)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_1)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) )) (net CLK_000_PE_i (joined (portRef O (instanceRef CLK_000_PE_i)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_1_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_1_1)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_0_0)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_1_5)) - (portRef I1 (instanceRef pos_clk_un4_bgack_000_i_a2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_0)) )) - (net CLK_000_NE_i (joined - (portRef O (instanceRef CLK_000_NE_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o4_1)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) + (net nEXP_SPACE_D0_i (joined + (portRef O (instanceRef nEXP_SPACE_D0_i)) + (portRef I0 (instanceRef un8_ciin)) + (portRef I1 (instanceRef un1_as_030)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_2)) )) - (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined - (portRef O (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1_3)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_n)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_2)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) + (net A1_i (joined + (portRef O (instanceRef A1_i)) + (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) )) - (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined - (portRef O (instanceRef SM_AMIGA_i_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) + (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined + (portRef O (instanceRef SM_AMIGA_i_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_6)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_5)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) )) - (net (rename pos_clk_un7_clk_000_d0_i "pos_clk.un7_clk_000_d0_i") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_d0_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_6)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) + (net BERR_i (joined + (portRef O (instanceRef I_226)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_1)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_5)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_1_0_0)) )) - (net UDS_000_i (joined - (portRef O (instanceRef I_237)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_0)) - )) - (net LDS_000_i (joined - (portRef O (instanceRef I_238)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_0)) - )) - (net (rename pos_clk_un11_clk_000_n_sync_i "pos_clk.un11_clk_000_n_sync_i") (joined - (portRef O (instanceRef pos_clk_un11_clk_000_n_sync_i)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_n_sync)) - )) - (net CLK_OUT_PRE_D_i (joined - (portRef O (instanceRef CLK_OUT_PRE_D_i)) - (portRef I1 (instanceRef pos_clk_un11_clk_000_n_sync)) - )) - (net DTACK_D0_i (joined - (portRef O (instanceRef DTACK_D0_i)) - (portRef I0 (instanceRef pos_clk_un21_clk_000_ne_d0)) - )) - (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined - (portRef O (instanceRef SM_AMIGA_i_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_2)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) - )) - (net (rename pos_clk_un29_clk_000_ne_d0_i "pos_clk.un29_clk_000_ne_d0_i") (joined - (portRef O (instanceRef pos_clk_un29_clk_000_ne_d0_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_2)) - )) - (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined - (portRef O (instanceRef cpu_est_i_0)) - (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_2)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1)) - (portRef I1 (instanceRef pos_clk_un5_clk_000_pe)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_0_1_2)) - )) - (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined - (portRef O (instanceRef cpu_est_i_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_o4_1)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_1)) - (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_2)) - )) - (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined - (portRef O (instanceRef cpu_est_i_2)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) - )) - (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined - (portRef O (instanceRef cpu_est_i_1)) - (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_1)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_1)) - )) - (net VPA_D_i (joined - (portRef O (instanceRef VPA_D_i)) - (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_2)) - )) - (net VMA_INT_i (joined - (portRef O (instanceRef VMA_INT_i)) - (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) - )) - (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined - (portRef O (instanceRef SM_AMIGA_i_1)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0)) + (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined + (portRef O (instanceRef SM_AMIGA_i_5)) + (portRef I0 (instanceRef AS_000_INT_0_n)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_4)) + (portRef I1 (instanceRef un1_SM_AMIGA_3_0_a6)) + (portRef I1 (instanceRef AS_000_INT_1_sqmuxa)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1_5)) + (portRef I1 (instanceRef un1_SM_AMIGA_5_i_0_1)) )) (net RESET_OUT_0_sqmuxa_i (joined (portRef O (instanceRef RESET_OUT_0_sqmuxa_i)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_5)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_6)) (portRef I0 (instanceRef un1_RST_DLY_i_m_7)) (portRef I0 (instanceRef un1_RST_DLY_i_m_8)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_0_a2_0)) - (portRef I0 (instanceRef RESET_OUT_1)) (portRef I0 (instanceRef un1_RST_DLY_i_m_2)) (portRef I0 (instanceRef un1_RST_DLY_i_m_3)) (portRef I0 (instanceRef un1_RST_DLY_i_m_4)) + (portRef I0 (instanceRef un1_RST_DLY_i_m_5)) + (portRef I0 (instanceRef un1_RST_DLY_i_m_6)) + (portRef I0 (instanceRef un1_RST_DLY_i_m_1)) + (portRef I0 (instanceRef RESET_OUT_2_i_a2)) )) - (net N_77_i_i (joined - (portRef O (instanceRef N_77_i_i)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_0_a2_0)) + (net (rename un1_RST_DLY_i_1 "un1_RST_DLY_i[1]") (joined + (portRef O (instanceRef un1_RST_DLY_i_1)) + (portRef I1 (instanceRef un1_RST_DLY_i_m_1)) + )) + (net RESET_OUT_i (joined + (portRef O (instanceRef RESET_OUT_i)) + (portRef I1 (instanceRef RESET_OUT_2_i_a2)) + )) + (net RW_000_i (joined + (portRef O (instanceRef I_227)) + (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2)) + (portRef I1 (instanceRef un14_amiga_bus_data_dir_i_0)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_1)) )) (net (rename un1_RST_DLY_i_2 "un1_RST_DLY_i[2]") (joined (portRef O (instanceRef un1_RST_DLY_i_2)) @@ -2559,141 +2491,168 @@ (portRef O (instanceRef un1_RST_DLY_i_8)) (portRef I1 (instanceRef un1_RST_DLY_i_m_8)) )) - (net RESET_OUT_i (joined - (portRef O (instanceRef RESET_OUT_i)) - (portRef I1 (instanceRef RESET_OUT_1)) + (net CLK_OUT_PRE_D_i (joined + (portRef O (instanceRef CLK_OUT_PRE_D_i)) + (portRef I1 (instanceRef pos_clk_un11_clk_000_n_sync)) )) - (net AS_030_D0_i (joined - (portRef O (instanceRef AS_030_D0_i)) - (portRef I0 (instanceRef pos_clk_un3_as_030_d0_0_o2)) - (portRef I0 (instanceRef un8_ciin_2)) + (net (rename pos_clk_un11_clk_000_n_sync_i "pos_clk.un11_clk_000_n_sync_i") (joined + (portRef O (instanceRef pos_clk_un11_clk_000_n_sync_i)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_n_sync)) )) - (net AS_030_i (joined - (portRef O (instanceRef I_239)) - (portRef I1 (instanceRef un4_as_000)) - (portRef I0 (instanceRef un22_berr_0_a2_1)) - (portRef I0 (instanceRef AS_030_D0_0_i_a2)) + (net CLK_030_H_i (joined + (portRef O (instanceRef CLK_030_H_i)) + (portRef I0 (instanceRef CLK_030_H_2_i_a2)) )) - (net A1_i (joined - (portRef O (instanceRef A1_i)) - (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) + (net AS_000_DMA_i (joined + (portRef O (instanceRef AS_000_DMA_i)) + (portRef I0 (instanceRef CLK_030_H_2_i_o2)) + (portRef I0 (instanceRef un6_as_030_0_a2)) )) - (net CLK_000_D1_i (joined - (portRef O (instanceRef CLK_000_D1_i)) - (portRef I1 (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a2_0)) + (net VMA_INT_i (joined + (portRef O (instanceRef VMA_INT_i)) + (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) )) - (net (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (joined - (portRef O (instanceRef SM_AMIGA_i_i_7)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__m)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o4_1)) + (net VPA_D_i (joined + (portRef O (instanceRef VPA_D_i)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_2)) + (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) )) - (net N_248_i (joined - (portRef O (instanceRef N_248_i)) - (portRef I0 (instanceRef DS_000_ENABLE_0_m)) + (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined + (portRef O (instanceRef cpu_est_i_0)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1)) + (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_2)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_0_1_2)) + (portRef I1 (instanceRef pos_clk_un5_clk_000_pe)) )) - (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined - (portRef O (instanceRef SM_AMIGA_i_5)) - (portRef I0 (instanceRef AS_000_INT_0_m)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1_5)) - (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_i_0_1)) + (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined + (portRef O (instanceRef cpu_est_i_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_1)) + (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_2)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_1)) )) - (net RW_i (joined - (portRef O (instanceRef I_240)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_m)) - )) - (net CLK_000_D0_i (joined - (portRef O (instanceRef CLK_000_D0_i)) - (portRef I0 (instanceRef pos_clk_CLK_000_N_SYNC_2_0_a4_0)) - )) - (net AS_030_000_SYNC_i (joined - (portRef O (instanceRef AS_030_000_SYNC_i)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_d0_1)) - )) - (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined - (portRef O (instanceRef SM_AMIGA_i_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_6)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_5)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) - )) - (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined - (portRef O (instanceRef SM_AMIGA_i_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) - )) - (net FPU_SENSE_i (joined - (portRef O (instanceRef FPU_SENSE_i)) - (portRef I0 (instanceRef un21_fpu_cs_0_a2_1)) - )) - (net (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (joined - (portRef O (instanceRef SIZE_DMA_i_0)) - (portRef I1 (instanceRef un3_size)) - )) - (net (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (joined - (portRef O (instanceRef SIZE_DMA_i_1)) - (portRef I1 (instanceRef un4_size)) - )) - (net (rename A_i_16 "A_i[16]") (joined - (portRef O (instanceRef A_i_16)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) - )) - (net (rename A_i_18 "A_i[18]") (joined - (portRef O (instanceRef A_i_18)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - )) - (net (rename A_i_19 "A_i[19]") (joined - (portRef O (instanceRef A_i_19)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - )) - (net (rename A_i_30 "A_i[30]") (joined - (portRef O (instanceRef A_i_30)) - (portRef I1 (instanceRef un8_ciin_5)) - )) - (net (rename A_i_31 "A_i[31]") (joined - (portRef O (instanceRef A_i_31)) - (portRef I0 (instanceRef un8_ciin_1)) - )) - (net (rename A_i_28 "A_i[28]") (joined - (portRef O (instanceRef A_i_28)) - (portRef I1 (instanceRef un8_ciin_4)) - )) - (net (rename A_i_29 "A_i[29]") (joined - (portRef O (instanceRef A_i_29)) - (portRef I0 (instanceRef un8_ciin_5)) - )) - (net (rename A_i_26 "A_i[26]") (joined - (portRef O (instanceRef A_i_26)) - (portRef I1 (instanceRef un8_ciin_3)) - )) - (net (rename A_i_27 "A_i[27]") (joined - (portRef O (instanceRef A_i_27)) - (portRef I0 (instanceRef un8_ciin_4)) + (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined + (portRef O (instanceRef cpu_est_i_3)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_o4_1)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_2)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_1)) + (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_1)) )) (net (rename A_i_24 "A_i[24]") (joined (portRef O (instanceRef A_i_24)) - (portRef I1 (instanceRef un8_ciin_2)) + (portRef I1 (instanceRef un5_ciin_3)) + )) + (net (rename pos_clk_un7_clk_000_d0_i "pos_clk.un7_clk_000_d0_i") (joined + (portRef O (instanceRef pos_clk_un7_clk_000_d0_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_6)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) + )) + (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined + (portRef O (instanceRef SM_AMIGA_i_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) + )) + (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined + (portRef O (instanceRef SM_AMIGA_i_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_2)) + (portRef I0 (instanceRef un1_SM_AMIGA_3_0_a6)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) + )) + (net CLK_000_NE_i (joined + (portRef O (instanceRef CLK_000_NE_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_5)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) + )) + (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined + (portRef O (instanceRef cpu_est_i_2)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) + )) + (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined + (portRef O (instanceRef SM_AMIGA_i_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1_1)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0)) + )) + (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined + (portRef O (instanceRef SM_AMIGA_i_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_4)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) + )) + (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined + (portRef O (instanceRef SM_AMIGA_i_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) + )) + (net (rename pos_clk_un29_clk_000_ne_d0_i "pos_clk.un29_clk_000_ne_d0_i") (joined + (portRef O (instanceRef pos_clk_un29_clk_000_ne_d0_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_3)) + )) + (net DSACK1_INT_0_sqmuxa_i (joined + (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i)) + (portRef I0 (instanceRef DSACK1_INT_0_n)) + (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa)) + )) + (net RW_i (joined + (portRef O (instanceRef I_228)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa)) + )) + (net un5_ciin_i (joined + (portRef O (instanceRef un5_ciin_i)) + (portRef I1 (instanceRef un8_ciin)) + )) + (net DTACK_D0_i (joined + (portRef O (instanceRef DTACK_D0_i)) + (portRef I0 (instanceRef pos_clk_un21_clk_000_ne_d0)) + )) + (net (rename A_i_31 "A_i[31]") (joined + (portRef O (instanceRef A_i_31)) + (portRef I0 (instanceRef un5_ciin_5)) + )) + (net (rename A_i_29 "A_i[29]") (joined + (portRef O (instanceRef A_i_29)) + (portRef I1 (instanceRef un5_ciin_6)) + )) + (net (rename A_i_30 "A_i[30]") (joined + (portRef O (instanceRef A_i_30)) + (portRef I1 (instanceRef un5_ciin_11)) + )) + (net (rename A_i_27 "A_i[27]") (joined + (portRef O (instanceRef A_i_27)) + (portRef I1 (instanceRef un5_ciin_5)) + )) + (net (rename A_i_28 "A_i[28]") (joined + (portRef O (instanceRef A_i_28)) + (portRef I0 (instanceRef un5_ciin_6)) )) (net (rename A_i_25 "A_i[25]") (joined (portRef O (instanceRef A_i_25)) - (portRef I0 (instanceRef un8_ciin_3)) + (portRef I0 (instanceRef un5_ciin_4)) + )) + (net (rename A_i_26 "A_i[26]") (joined + (portRef O (instanceRef A_i_26)) + (portRef I1 (instanceRef un5_ciin_4)) + )) + (net UDS_000_INT_i (joined + (portRef O (instanceRef UDS_000_INT_i)) + (portRef I0 (instanceRef un6_uds_000)) )) (net LDS_000_INT_i (joined (portRef O (instanceRef LDS_000_INT_i)) (portRef I0 (instanceRef un6_lds_000)) )) (net DS_030_i (joined - (portRef O (instanceRef I_242)) + (portRef O (instanceRef I_230)) (portRef I0 (instanceRef DS_030_D0_0)) (portRef I1 (instanceRef un6_lds_000_1)) )) - (net UDS_000_INT_i (joined - (portRef O (instanceRef UDS_000_INT_i)) - (portRef I0 (instanceRef un6_uds_000)) - )) (net N_224_i (joined (portRef O (instanceRef N_224_i)) (portRef I1 (instanceRef G_168_1)) @@ -2710,30 +2669,14 @@ (portRef O (instanceRef CLK_OUT_PRE_50_i)) (portRef D (instanceRef CLK_OUT_PRE_50)) )) - (net AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i)) - (portRef D (instanceRef BGACK_030_INT_D)) - )) - (net N_89_i (joined - (portRef O (instanceRef N_89_i)) - (portRef D (instanceRef AS_030_D0)) - )) - (net N_91_i (joined - (portRef O (instanceRef N_91_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - )) (net N_90_i (joined (portRef O (instanceRef N_90_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef D (instanceRef AS_030_D0)) )) - (net un14_amiga_bus_data_dir_i (joined - (portRef O (instanceRef un14_amiga_bus_data_dir_i)) - (portRef I0 (instanceRef RW_000_DMA_0_m)) - )) - (net N_80_i (joined - (portRef O (instanceRef N_80_i)) - (portRef I0 (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i)) + (net DS_000_ENABLE_1_sqmuxa_i (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i)) + (portRef I0 (instanceRef RW_000_INT_0_m)) + (portRef I0 (instanceRef un1_SM_AMIGA_7)) )) (net un6_lds_000_i (joined (portRef O (instanceRef un6_lds_000_i)) @@ -2747,29 +2690,29 @@ (portRef O (instanceRef un6_ds_030_i)) (portRef I0 (instanceRef DS_030)) )) + (net DS_000_DMA_i (joined + (portRef O (instanceRef DS_000_DMA_i)) + (portRef I1 (instanceRef un6_ds_030)) + )) (net un4_as_000_i (joined (portRef O (instanceRef un4_as_000_i)) (portRef I0 (instanceRef AS_000)) )) - (net AS_000_INT_i (joined - (portRef O (instanceRef AS_000_INT_i)) - (portRef I0 (instanceRef un4_as_000)) + (net un8_ciin_i (joined + (portRef O (instanceRef un8_ciin_i)) + (portRef OE (instanceRef CIIN)) )) (net un6_as_030_i (joined (portRef O (instanceRef un6_as_030_i)) (portRef I0 (instanceRef AS_030)) )) - (net AMIGA_BUS_ENABLE_DMA_LOW_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) - (portRef I0 (instanceRef un1_amiga_bus_enable_low)) - )) (net DS_030_D0_i (joined (portRef O (instanceRef DS_030_D0_i)) (portRef I0 (instanceRef pos_clk_un3_ds_030_d0)) )) (net AS_030_c (joined (portRef O (instanceRef AS_030)) - (portRef I0 (instanceRef I_239)) + (portRef I0 (instanceRef I_224)) )) (net AS_030 (joined (portRef AS_030) @@ -2777,7 +2720,8 @@ )) (net AS_000_c (joined (portRef O (instanceRef AS_000)) - (portRef I0 (instanceRef I_234)) + (portRef I0 (instanceRef pos_clk_un4_bgack_000_i_o2)) + (portRef I0 (instanceRef I_225)) )) (net AS_000 (joined (portRef AS_000) @@ -2785,9 +2729,8 @@ )) (net RW_000_c (joined (portRef O (instanceRef RW_000)) - (portRef I0 (instanceRef I_236)) - (portRef I0 (instanceRef DS_000_DMA_0_sqmuxa)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) + (portRef I0 (instanceRef I_227)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_2)) )) (net RW_000 (joined (portRef IO (instanceRef RW_000)) @@ -2795,7 +2738,7 @@ )) (net DS_030_c (joined (portRef O (instanceRef DS_030)) - (portRef I0 (instanceRef I_242)) + (portRef I0 (instanceRef I_230)) )) (net DS_030 (joined (portRef DS_030) @@ -2803,9 +2746,9 @@ )) (net UDS_000_c (joined (portRef O (instanceRef UDS_000)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_a2)) (portRef I1 (instanceRef pos_clk_A0_DMA_3_0_a2)) - (portRef I1 (instanceRef pos_clk_un22_bgack_030_int)) - (portRef I0 (instanceRef I_237)) + (portRef I0 (instanceRef UDS_000_c_i)) )) (net UDS_000 (joined (portRef IO (instanceRef UDS_000)) @@ -2813,8 +2756,8 @@ )) (net LDS_000_c (joined (portRef O (instanceRef LDS_000)) - (portRef I0 (instanceRef pos_clk_un22_bgack_030_int)) - (portRef I0 (instanceRef I_238)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_a2)) + (portRef I0 (instanceRef LDS_000_c_i)) )) (net LDS_000 (joined (portRef IO (instanceRef LDS_000)) @@ -2968,6 +2911,7 @@ )) (net (rename A_c_20 "A_c[20]") (joined (portRef O (instanceRef A_20)) + (portRef I1 (instanceRef un5_ciin_1)) )) (net (rename A_20 "A[20]") (joined (portRef (member a 11)) @@ -2975,6 +2919,7 @@ )) (net (rename A_c_21 "A_c[21]") (joined (portRef O (instanceRef A_21)) + (portRef I0 (instanceRef un5_ciin_2)) )) (net (rename A_21 "A[21]") (joined (portRef (member a 10)) @@ -2982,6 +2927,7 @@ )) (net (rename A_c_22 "A_c[22]") (joined (portRef O (instanceRef A_22)) + (portRef I1 (instanceRef un5_ciin_2)) )) (net (rename A_22 "A[22]") (joined (portRef (member a 9)) @@ -2989,6 +2935,7 @@ )) (net (rename A_c_23 "A_c[23]") (joined (portRef O (instanceRef A_23)) + (portRef I0 (instanceRef un5_ciin_3)) )) (net (rename A_23 "A[23]") (joined (portRef (member a 8)) @@ -3087,8 +3034,8 @@ (net BERR_c (joined (portRef O (instanceRef BERR)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_0)) - (portRef I1 (instanceRef pos_clk_un3_as_030_d0_0_o2)) - (portRef I0 (instanceRef I_235)) + (portRef I0 (instanceRef I_226)) + (portRef I1 (instanceRef pos_clk_un3_as_030_d0_i_a2)) )) (net BERR (joined (portRef BERR) @@ -3118,9 +3065,9 @@ )) (net BGACK_000_c (joined (portRef O (instanceRef BGACK_000)) + (portRef I0 (instanceRef pos_clk_un6_bgack_000_0)) (portRef I1 (instanceRef un22_berr_0_a2_1)) - (portRef I0 (instanceRef pos_clk_un4_bgack_000_i_a2)) - (portRef I0 (instanceRef BGACK_030_INT_0_n)) + (portRef I0 (instanceRef BGACK_030_INT_0_m)) )) (net BGACK_000 (joined (portRef BGACK_000) @@ -3234,9 +3181,9 @@ )) (net CLK_EXP_c (joined (portRef O (instanceRef CLK_030)) - (portRef I1 (instanceRef pos_clk_un40_bgack_030_int_1)) (portRef I0 (instanceRef pos_clk_un11_clk_000_n_sync)) - (portRef I0 (instanceRef CLK_EXP_i)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) + (portRef I0 (instanceRef CLK_EXP_c_i)) (portRef I0 (instanceRef CLK_EXP)) )) (net CLK_EXP (joined @@ -3347,21 +3294,13 @@ )) (net RST_c (joined (portRef O (instanceRef RST)) - (portRef I0 (instanceRef VPA_D_0)) - (portRef I0 (instanceRef nEXP_SPACE_D0_0)) - (portRef I1 (instanceRef DS_030_D0_0)) - (portRef I1 (instanceRef A0_DMA_1)) (portRef I1 (instanceRef DSACK1_INT_1)) (portRef I1 (instanceRef AS_000_INT_1)) - (portRef I1 (instanceRef AS_030_000_SYNC_1)) (portRef I1 (instanceRef LDS_000_INT_1)) (portRef I1 (instanceRef RW_000_INT_2)) - (portRef I1 (instanceRef RW_000_DMA_2)) (portRef I1 (instanceRef UDS_000_INT_1)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) + (portRef I1 (instanceRef VMA_INT_1)) (portRef I1 (instanceRef BG_000_1)) - (portRef I1 (instanceRef DS_000_ENABLE_1)) (portRef I1 (instanceRef IPL_030_1_2)) (portRef I1 (instanceRef IPL_030_1_1)) (portRef I1 (instanceRef IPL_030_1_0)) @@ -3369,33 +3308,40 @@ (portRef I1 (instanceRef IPL_D0_0_1)) (portRef I1 (instanceRef IPL_D0_0_0)) (portRef I1 (instanceRef DTACK_D0_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o6_0_o2_0)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) - (portRef I1 (instanceRef AS_030_D0_0_i_a2)) + (portRef I0 (instanceRef VPA_D_0)) + (portRef I0 (instanceRef nEXP_SPACE_D0_0)) + (portRef I1 (instanceRef DS_030_D0_0)) + (portRef I1 (instanceRef DS_000_DMA_1)) + (portRef I1 (instanceRef AS_000_DMA_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o6_0)) + (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_6)) + (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_7)) + (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_1)) + (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_2)) (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_3)) (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_4)) (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_5)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_6)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_7)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_0_0)) - (portRef I1 (instanceRef RESET_OUT_2)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_1)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_2)) + (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_0)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) + (portRef I1 (instanceRef RESET_OUT_2_i)) + (portRef I1 (instanceRef AS_030_D0_0_i_a2)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) + (portRef I1 (instanceRef RW_000_DMA_2)) (portRef I1 (instanceRef BGACK_030_INT_1)) - (portRef I1 (instanceRef VMA_INT_1)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2)) - (portRef I1 (instanceRef un1_rst_2)) - (portRef I1 (instanceRef CLK_030_H_2)) - (portRef I1 (instanceRef AS_000_DMA_1)) - (portRef I1 (instanceRef DS_000_DMA_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_6)) + (portRef I1 (instanceRef AS_030_000_SYNC_1)) + (portRef I1 (instanceRef A0_DMA_1)) + (portRef I1 (instanceRef DS_000_ENABLE_1)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_2_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_2_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_6)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_4)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_2_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_2)) + (portRef I1 (instanceRef CLK_030_H_2_i_1)) )) (net RST (joined (portRef RST) @@ -3407,7 +3353,7 @@ )) (net RW_c (joined (portRef O (instanceRef RW)) - (portRef I0 (instanceRef I_240)) + (portRef I0 (instanceRef I_228)) )) (net RW (joined (portRef IO (instanceRef RW)) @@ -3434,7 +3380,7 @@ (portRef AMIGA_ADDR_ENABLE) )) (net AMIGA_BUS_DATA_DIR_c (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_i)) + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_i)) (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR)) )) (net AMIGA_BUS_DATA_DIR (joined @@ -3453,247 +3399,193 @@ (portRef O (instanceRef CIIN)) (portRef CIIN) )) - (net N_6_i (joined - (portRef O (instanceRef N_6_i)) - (portRef I0 (instanceRef AS_000_DMA_1)) + (net N_21_i (joined + (portRef O (instanceRef N_21_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) )) - (net N_48_0 (joined - (portRef O (instanceRef AS_000_DMA_1)) - (portRef I0 (instanceRef AS_000_DMA_1_i)) + (net N_34_0 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1_i)) )) - (net N_3_i (joined - (portRef O (instanceRef N_3_i)) - (portRef I0 (instanceRef DS_000_DMA_1)) + (net N_20_i (joined + (portRef O (instanceRef N_20_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) )) - (net N_49_0 (joined - (portRef O (instanceRef DS_000_DMA_1)) - (portRef I0 (instanceRef DS_000_DMA_1_i)) + (net N_35_0 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1_i)) )) - (net (rename pos_clk_un29_bgack_030_int_i "pos_clk.un29_bgack_030_int_i") (joined - (portRef O (instanceRef pos_clk_un29_bgack_030_int_i)) - (portRef I1 (instanceRef pos_clk_un27_bgack_030_int)) + (net N_16_i (joined + (portRef O (instanceRef N_16_i)) + (portRef I0 (instanceRef RW_000_DMA_2)) )) - (net (rename pos_clk_un26_bgack_030_int_i "pos_clk.un26_bgack_030_int_i") (joined - (portRef O (instanceRef pos_clk_un26_bgack_030_int_i)) - (portRef I0 (instanceRef pos_clk_un27_bgack_030_int)) + (net N_39_0 (joined + (portRef O (instanceRef RW_000_DMA_2)) + (portRef I0 (instanceRef RW_000_DMA_2_i)) )) - (net (rename pos_clk_un27_bgack_030_int_0 "pos_clk.un27_bgack_030_int_0") (joined - (portRef O (instanceRef pos_clk_un27_bgack_030_int)) - (portRef I0 (instanceRef pos_clk_un27_bgack_030_int_i)) - )) - (net CLK_030_H_0_sqmuxa_i (joined - (portRef O (instanceRef CLK_030_H_0_sqmuxa_i)) - (portRef I0 (instanceRef CLK_030_H_1)) - )) - (net N_7_i (joined - (portRef O (instanceRef N_7_i)) - (portRef I1 (instanceRef CLK_030_H_1)) - )) - (net N_47_0 (joined - (portRef O (instanceRef CLK_030_H_1)) - (portRef I0 (instanceRef CLK_030_H_1_i)) - )) - (net N_133_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) - )) - (net N_176_i (joined - (portRef O (instanceRef N_176_i)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0)) - )) - (net N_175_i (joined - (portRef O (instanceRef N_175_i)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0)) - )) - (net AMIGA_BUS_DATA_DIR_c_0 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_i)) - )) - (net (rename pos_clk_DS_000_DMA_4_f1_0 "pos_clk.DS_000_DMA_4_f1_0") (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f1)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f1_i)) - )) - (net N_162_i (joined - (portRef O (instanceRef N_162_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_2_0)) - )) - (net N_163_i (joined - (portRef O (instanceRef N_163_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_1_0)) - )) - (net N_164_i (joined - (portRef O (instanceRef N_164_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_2_0)) - )) - (net N_165_i (joined - (portRef O (instanceRef N_165_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0)) - )) - (net N_131_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0)) - (portRef D (instanceRef SM_AMIGA_i_7)) - )) - (net (rename pos_clk_un22_bgack_030_int_0 "pos_clk.un22_bgack_030_int_0") (joined - (portRef O (instanceRef pos_clk_un22_bgack_030_int)) - (portRef I0 (instanceRef pos_clk_un22_bgack_030_int_i)) - )) - (net (rename pos_clk_un9_clk_000_n_sync_i "pos_clk.un9_clk_000_n_sync_i") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_n_sync_i)) - (portRef I1 (instanceRef pos_clk_un14_clk_000_n_sync)) - )) - (net (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (joined - (portRef O (instanceRef CLK_000_N_SYNC_i_10)) - (portRef I0 (instanceRef pos_clk_un14_clk_000_n_sync)) - )) - (net (rename pos_clk_un14_clk_000_n_sync_0 "pos_clk.un14_clk_000_n_sync_0") (joined - (portRef O (instanceRef pos_clk_un14_clk_000_n_sync)) - (portRef I0 (instanceRef pos_clk_un14_clk_000_n_sync_i)) - )) - (net N_22_i (joined - (portRef O (instanceRef N_22_i)) + (net N_13_i (joined + (portRef O (instanceRef N_13_i)) (portRef I0 (instanceRef BGACK_030_INT_1)) )) - (net N_33_0 (joined + (net N_42_0 (joined (portRef O (instanceRef BGACK_030_INT_1)) (portRef I0 (instanceRef BGACK_030_INT_1_i)) )) - (net N_18_i (joined - (portRef O (instanceRef N_18_i)) - (portRef I0 (instanceRef VMA_INT_1)) + (net N_12_i (joined + (portRef O (instanceRef N_12_i)) + (portRef I0 (instanceRef AS_030_000_SYNC_1)) )) - (net N_37_0 (joined - (portRef O (instanceRef VMA_INT_1)) - (portRef I0 (instanceRef VMA_INT_1_i)) + (net N_43_0 (joined + (portRef O (instanceRef AS_030_000_SYNC_1)) + (portRef I0 (instanceRef AS_030_000_SYNC_1_i)) )) - (net (rename pos_clk_un9_clk_000_ne_i "pos_clk.un9_clk_000_ne_i") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_ne_i)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe)) + (net N_8_i (joined + (portRef O (instanceRef N_8_i)) + (portRef I0 (instanceRef A0_DMA_1)) )) - (net (rename pos_clk_un5_clk_000_pe_i "pos_clk.un5_clk_000_pe_i") (joined - (portRef O (instanceRef pos_clk_un5_clk_000_pe_i)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe)) + (net N_46_0 (joined + (portRef O (instanceRef A0_DMA_1)) + (portRef I0 (instanceRef A0_DMA_1_i)) )) - (net (rename pos_clk_un7_clk_000_pe_0 "pos_clk.un7_clk_000_pe_0") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_i)) + (net N_76_i (joined + (portRef O (instanceRef pos_clk_un4_bgack_000_i_o2)) + (portRef I0 (instanceRef pos_clk_un4_bgack_000_i_o2_i)) )) - (net (rename pos_clk_un27_clk_000_ne_d0_i "pos_clk.un27_clk_000_ne_d0_i") (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_i)) - (portRef I1 (instanceRef pos_clk_un23_clk_000_ne_d0)) + (net N_72_0 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o2)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o2_i)) )) - (net (rename pos_clk_un21_clk_000_ne_d0_i "pos_clk.un21_clk_000_ne_d0_i") (joined - (portRef O (instanceRef pos_clk_un21_clk_000_ne_d0_i)) - (portRef I0 (instanceRef pos_clk_un23_clk_000_ne_d0)) + (net UDS_000_c_i (joined + (portRef O (instanceRef UDS_000_c_i)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) )) - (net (rename pos_clk_un23_clk_000_ne_d0_0 "pos_clk.un23_clk_000_ne_d0_0") (joined - (portRef O (instanceRef pos_clk_un23_clk_000_ne_d0)) - (portRef I0 (instanceRef pos_clk_un23_clk_000_ne_d0_i)) + (net LDS_000_c_i (joined + (portRef O (instanceRef LDS_000_c_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) )) - (net N_136_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_3)) + (net N_256_i (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_i_0)) )) - (net N_140_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_2)) + (net (rename pos_clk_un5_bgack_030_int_d_i "pos_clk.un5_bgack_030_int_d_i") (joined + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2)) + (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o2)) )) - (net N_195_i (joined - (portRef O (instanceRef N_195_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_2)) + (net (rename pos_clk_un24_bgack_030_int_i_0_0 "pos_clk.un24_bgack_030_int_i_0_0") (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_o2)) + (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa_i)) + (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_i)) + (portRef I1 (instanceRef CLK_030_H_2_i)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i)) )) - (net N_196_i (joined - (portRef O (instanceRef N_196_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_2)) - )) - (net N_186_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_2)) - (portRef I0 (instanceRef cpu_est_0_2__m)) - )) - (net N_188_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_o4_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_o4_i_2)) - )) - (net N_189_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_i_1)) - )) - (net N_190_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_i_3)) - )) - (net N_193_i (joined - (portRef O (instanceRef N_193_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_2_1)) - )) - (net N_191_i (joined - (portRef O (instanceRef N_191_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_2_1)) - )) - (net N_192_i (joined - (portRef O (instanceRef N_192_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_1_1)) - )) - (net N_194_i (joined - (portRef O (instanceRef N_194_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_1_1)) - )) - (net (rename pos_clk_cpu_est_11_0_1 "pos_clk.cpu_est_11_0[1]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_i_1)) - )) - (net N_198_i (joined - (portRef O (instanceRef N_198_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_3)) - )) - (net N_197_i (joined - (portRef O (instanceRef N_197_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_1_3)) - )) - (net N_199_i (joined - (portRef O (instanceRef N_199_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_1_3)) - )) - (net (rename pos_clk_cpu_est_11_0_3 "pos_clk.cpu_est_11_0[3]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_i_3)) - )) - (net N_151_i (joined - (portRef O (instanceRef N_151_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_2)) - )) - (net N_150_i (joined - (portRef O (instanceRef N_150_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_2)) - )) - (net N_121_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_2)) - (portRef D (instanceRef SM_AMIGA_2)) - )) - (net N_135_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_i_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) + (net N_104_i (joined + (portRef O (instanceRef N_104_i)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_2)) )) (net N_252_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o4_i_1)) + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_i)) )) - (net N_85_i (joined - (portRef O (instanceRef N_85_i)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_0_0)) + (net N_251_0 (joined + (portRef O (instanceRef un14_amiga_bus_data_dir_i_0)) + (portRef I0 (instanceRef un14_amiga_bus_data_dir_i_0_i)) + )) + (net (rename pos_clk_un6_bgack_000_0 "pos_clk.un6_bgack_000_0") (joined + (portRef O (instanceRef pos_clk_un6_bgack_000_0)) + (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_i)) + )) + (net N_97_i (joined + (portRef O (instanceRef N_97_i)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i)) + )) + (net N_96_i (joined + (portRef O (instanceRef N_96_i)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i)) + )) + (net N_250_0 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i)) + )) + (net N_89_i (joined + (portRef O (instanceRef N_89_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) + )) + (net (rename pos_clk_SIZE_DMA_6_0_0 "pos_clk.SIZE_DMA_6_0[0]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) + )) + (net N_88_i (joined + (portRef O (instanceRef N_88_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) + )) + (net (rename pos_clk_SIZE_DMA_6_0_1 "pos_clk.SIZE_DMA_6_0[1]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) + )) + (net N_138_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_5)) + )) + (net (rename un1_RST_DLY_i_m_i_1 "un1_RST_DLY_i_m_i[1]") (joined + (portRef O (instanceRef un1_RST_DLY_i_m_i_1)) + (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_0)) )) (net (rename pos_clk_RST_DLY_5_iv_i_0 "pos_clk.RST_DLY_5_iv_i[0]") (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_0_0)) + (portRef O (instanceRef pos_clk_RST_DLY_5_iv_0)) (portRef D (instanceRef RST_DLY_0)) )) - (net N_38_0 (joined - (portRef O (instanceRef RESET_OUT_1)) - (portRef I0 (instanceRef RESET_OUT_1_i)) + (net N_157_i (joined + (portRef O (instanceRef N_157_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_5)) + )) + (net N_158_i (joined + (portRef O (instanceRef N_158_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_5)) + )) + (net N_159_i (joined + (portRef O (instanceRef N_159_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_2_5)) + )) + (net N_127_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_5)) + (portRef D (instanceRef SM_AMIGA_5)) + )) + (net N_257_0 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2_i)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) + )) + (net un1_as_000_i (joined + (portRef O (instanceRef un1_as_000_0)) + (portRef OE (instanceRef AS_000)) + (portRef OE (instanceRef LDS_000)) + (portRef OE (instanceRef RW_000)) + (portRef OE (instanceRef UDS_000)) + )) + (net N_93_i (joined + (portRef O (instanceRef N_93_i)) + (portRef I0 (instanceRef RESET_OUT_2_i)) + )) + (net N_246_i (joined + (portRef O (instanceRef RESET_OUT_2_i)) + (portRef D (instanceRef RESET_OUT)) + )) + (net N_87_i (joined + (portRef O (instanceRef N_87_i)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0)) + )) + (net N_86_i (joined + (portRef O (instanceRef N_86_i)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0)) + )) + (net AMIGA_BUS_DATA_DIR_c_0 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_i)) )) (net (rename un1_RST_DLY_i_m_i_2 "un1_RST_DLY_i_m_i[2]") (joined (portRef O (instanceRef un1_RST_DLY_i_m_i_2)) @@ -3757,118 +3649,76 @@ (portRef OE (instanceRef AS_030)) (portRef OE (instanceRef DS_030)) )) - (net N_76_i (joined - (portRef O (instanceRef N_76_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_1)) + (net un1_as_030_i (joined + (portRef O (instanceRef un1_as_030)) + (portRef I1 (instanceRef un3_as_030)) + (portRef OE (instanceRef SIZE_0)) + (portRef OE (instanceRef SIZE_1)) + )) + (net (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (joined + (portRef O (instanceRef CLK_000_N_SYNC_i_10)) + (portRef I0 (instanceRef pos_clk_un14_clk_000_n_sync)) + )) + (net (rename pos_clk_un9_clk_000_n_sync_i "pos_clk.un9_clk_000_n_sync_i") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_n_sync_i)) + (portRef I1 (instanceRef pos_clk_un14_clk_000_n_sync)) + )) + (net (rename pos_clk_un14_clk_000_n_sync_0 "pos_clk.un14_clk_000_n_sync_0") (joined + (portRef O (instanceRef pos_clk_un14_clk_000_n_sync)) + (portRef I0 (instanceRef pos_clk_un14_clk_000_n_sync_i)) + )) + (net CLK_EXP_c_i (joined + (portRef O (instanceRef CLK_EXP_c_i)) + (portRef I1 (instanceRef CLK_030_H_2_i_o2)) + (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i)) + )) + (net N_244_0 (joined + (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i)) + (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i_i)) )) (net N_83_i (joined (portRef O (instanceRef N_83_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_1)) - )) - (net N_119_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1)) - (portRef D (instanceRef SM_AMIGA_1)) - )) - (net N_84_i (joined - (portRef O (instanceRef N_84_i)) (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0_1)) )) (net N_115_0 (joined (portRef O (instanceRef un1_SM_AMIGA_5_i_0)) (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0_i)) )) - (net N_86_i (joined - (portRef O (instanceRef N_86_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) + (net N_84_i (joined + (portRef O (instanceRef N_84_i)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_1)) )) - (net (rename pos_clk_SIZE_DMA_6_0_1 "pos_clk.SIZE_DMA_6_0[1]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) + (net N_245_0 (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_i)) )) - (net N_87_i (joined - (portRef O (instanceRef N_87_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) + (net N_85_i (joined + (portRef O (instanceRef N_85_i)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0)) )) - (net (rename pos_clk_SIZE_DMA_6_0_0 "pos_clk.SIZE_DMA_6_0[0]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) - )) - (net N_88_i (joined - (portRef O (instanceRef N_88_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0)) - )) - (net N_117_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0)) - (portRef D (instanceRef SM_AMIGA_0)) - )) - (net N_241_0 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_i)) - )) - (net N_242_0 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_i)) - )) - (net N_243_0 (joined - (portRef O (instanceRef AS_000_INT_1_sqmuxa_i)) - (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i_i)) - )) - (net N_93_i (joined - (portRef O (instanceRef N_93_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i)) + (net (rename pos_clk_DS_000_DMA_4_0 "pos_clk.DS_000_DMA_4_0") (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_i)) )) (net N_94_i (joined (portRef O (instanceRef N_94_i)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i)) + (portRef I0 (instanceRef CLK_030_H_2_i_1)) )) - (net N_244_0 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i)) + (net N_247_i (joined + (portRef O (instanceRef CLK_030_H_2_i)) + (portRef D (instanceRef CLK_030_H)) )) - (net N_245_0 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) - )) - (net N_246_0 (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_i)) - )) - (net (rename pos_clk_un3_as_030_d0_i "pos_clk.un3_as_030_d0_i") (joined - (portRef O (instanceRef pos_clk_un3_as_030_d0_0_o2)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i)) - (portRef I1 (instanceRef AS_000_INT_1_sqmuxa_i)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i)) - (portRef I0 (instanceRef pos_clk_un3_as_030_d0_0_o2_i)) - )) - (net (rename pos_clk_un5_bgack_030_int_d_i "pos_clk.un5_bgack_030_int_d_i") (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2)) - (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o4_1)) + (net N_248_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i)) + (portRef D (instanceRef CYCLE_DMA_1)) )) (net N_249_i (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_i_0)) + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i)) + (portRef D (instanceRef CYCLE_DMA_0)) )) - (net N_251_0 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o4)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o4_i)) - )) - (net N_71_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o4_i_0)) - )) - (net N_104_i (joined - (portRef O (instanceRef N_104_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o6_0_o2_0)) - )) - (net N_137_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o6_0_o2_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_1_0)) + (net N_73_0 (joined + (portRef O (instanceRef CLK_030_H_2_i_o2)) + (portRef I0 (instanceRef CLK_030_H_2_i_o2_i)) )) (net N_129_i (joined (portRef O (instanceRef SM_AMIGA_srsts_i_6)) @@ -3882,22 +3732,6 @@ (portRef O (instanceRef N_161_i)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_6)) )) - (net N_127_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_5)) - (portRef D (instanceRef SM_AMIGA_5)) - )) - (net N_159_i (joined - (portRef O (instanceRef N_159_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_2_5)) - )) - (net N_157_i (joined - (portRef O (instanceRef N_157_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_5)) - )) - (net N_158_i (joined - (portRef O (instanceRef N_158_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_5)) - )) (net N_125_i (joined (portRef O (instanceRef SM_AMIGA_srsts_i_4)) (portRef D (instanceRef SM_AMIGA_4)) @@ -3926,6 +3760,126 @@ (portRef O (instanceRef N_153_i)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_3)) )) + (net N_121_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_2)) + (portRef D (instanceRef SM_AMIGA_2)) + )) + (net N_150_i (joined + (portRef O (instanceRef N_150_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_2)) + )) + (net N_151_i (joined + (portRef O (instanceRef N_151_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_2)) + )) + (net N_119_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_1)) + (portRef D (instanceRef SM_AMIGA_1)) + )) + (net N_149_i (joined + (portRef O (instanceRef N_149_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_2_1)) + )) + (net N_147_i (joined + (portRef O (instanceRef N_147_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_1)) + )) + (net N_148_i (joined + (portRef O (instanceRef N_148_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_1)) + )) + (net N_131_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0)) + (portRef D (instanceRef SM_AMIGA_i_7)) + )) + (net N_164_i (joined + (portRef O (instanceRef N_164_i)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_2_0)) + )) + (net N_165_i (joined + (portRef O (instanceRef N_165_i)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0)) + )) + (net N_163_i (joined + (portRef O (instanceRef N_163_i)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_2_0)) + )) + (net N_199_i (joined + (portRef O (instanceRef N_199_i)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_1_3)) + )) + (net (rename pos_clk_cpu_est_11_0_3 "pos_clk.cpu_est_11_0[3]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_i_3)) + )) + (net N_197_i (joined + (portRef O (instanceRef N_197_i)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_1_3)) + )) + (net N_198_i (joined + (portRef O (instanceRef N_198_i)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_3)) + )) + (net N_194_i (joined + (portRef O (instanceRef N_194_i)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_2_1)) + )) + (net (rename pos_clk_cpu_est_11_0_1 "pos_clk.cpu_est_11_0[1]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_i_1)) + )) + (net N_192_i (joined + (portRef O (instanceRef N_192_i)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_1_1)) + )) + (net N_135_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_i_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) + )) + (net N_162_i (joined + (portRef O (instanceRef N_162_i)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_1_0)) + )) + (net N_191_i (joined + (portRef O (instanceRef N_191_i)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_1_1)) + )) + (net N_193_i (joined + (portRef O (instanceRef N_193_i)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_2_1)) + )) + (net N_190_i (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_i_3)) + )) + (net N_189_i (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_i_1)) + )) + (net N_188_i (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_o4_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_o4_i_2)) + )) + (net N_195_i (joined + (portRef O (instanceRef N_195_i)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_2)) + )) + (net N_196_i (joined + (portRef O (instanceRef N_196_i)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_i_2)) + )) + (net N_186_i (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_2)) + (portRef I0 (instanceRef cpu_est_0_2__m)) + )) + (net N_143_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_0)) + )) (net N_142_0 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_o3_6)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_6)) @@ -3934,22 +3888,80 @@ (portRef O (instanceRef SM_AMIGA_srsts_i_o3_4)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_4)) )) - (net N_138_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_5)) + (net N_140_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_2)) + )) + (net N_139_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_1)) + )) + (net N_166_i (joined + (portRef O (instanceRef N_166_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o6_0)) + )) + (net N_137_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o6_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_1_0)) + )) + (net N_136_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_3)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_3)) + )) + (net N_133_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) )) (net N_132_i (joined (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_6)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_4)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_6)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_i_0)) )) - (net un1_as_030_i (joined - (portRef O (instanceRef un1_as_030)) - (portRef I1 (instanceRef un3_as_030)) - (portRef OE (instanceRef SIZE_0)) - (portRef OE (instanceRef SIZE_1)) + (net N_146_i (joined + (portRef O (instanceRef N_146_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0)) + )) + (net N_117_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0)) + (portRef D (instanceRef SM_AMIGA_0)) + )) + (net N_144_i (joined + (portRef O (instanceRef N_144_i)) + (portRef I1 (instanceRef un1_SM_AMIGA_7)) + )) + (net un1_SM_AMIGA_7_i (joined + (portRef O (instanceRef un1_SM_AMIGA_7)) + (portRef I0 (instanceRef DS_000_ENABLE_0_n)) + (portRef I0 (instanceRef un1_SM_AMIGA_7_i_0)) + )) + (net (rename pos_clk_un21_clk_000_ne_d0_i "pos_clk.un21_clk_000_ne_d0_i") (joined + (portRef O (instanceRef pos_clk_un21_clk_000_ne_d0_i)) + (portRef I0 (instanceRef pos_clk_un23_clk_000_ne_d0)) + )) + (net (rename pos_clk_un27_clk_000_ne_d0_i "pos_clk.un27_clk_000_ne_d0_i") (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_i)) + (portRef I1 (instanceRef pos_clk_un23_clk_000_ne_d0)) + )) + (net (rename pos_clk_un23_clk_000_ne_d0_0 "pos_clk.un23_clk_000_ne_d0_0") (joined + (portRef O (instanceRef pos_clk_un23_clk_000_ne_d0)) + (portRef I0 (instanceRef pos_clk_un23_clk_000_ne_d0_i)) + )) + (net (rename pos_clk_un5_clk_000_pe_i "pos_clk.un5_clk_000_pe_i") (joined + (portRef O (instanceRef pos_clk_un5_clk_000_pe_i)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_pe)) + )) + (net (rename pos_clk_un9_clk_000_ne_i "pos_clk.un9_clk_000_ne_i") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_ne_i)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_pe)) + )) + (net (rename pos_clk_un7_clk_000_pe_0 "pos_clk.un7_clk_000_pe_0") (joined + (portRef O (instanceRef pos_clk_un7_clk_000_pe)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_i)) )) (net (rename pos_clk_un11_ds_030_d0_i "pos_clk.un11_ds_030_d0_i") (joined (portRef O (instanceRef pos_clk_un11_ds_030_d0)) @@ -4039,13 +4051,21 @@ (portRef O (instanceRef DS_030_D0_0)) (portRef I0 (instanceRef DS_030_D0_0_i)) )) - (net N_8_i (joined - (portRef O (instanceRef N_8_i)) - (portRef I0 (instanceRef A0_DMA_1)) + (net N_3_i (joined + (portRef O (instanceRef N_3_i)) + (portRef I0 (instanceRef DS_000_DMA_1)) )) - (net N_46_0 (joined - (portRef O (instanceRef A0_DMA_1)) - (portRef I0 (instanceRef A0_DMA_1_i)) + (net N_49_0 (joined + (portRef O (instanceRef DS_000_DMA_1)) + (portRef I0 (instanceRef DS_000_DMA_1_i)) + )) + (net N_6_i (joined + (portRef O (instanceRef N_6_i)) + (portRef I0 (instanceRef AS_000_DMA_1)) + )) + (net N_48_0 (joined + (portRef O (instanceRef AS_000_DMA_1)) + (portRef I0 (instanceRef AS_000_DMA_1_i)) )) (net N_9_i (joined (portRef O (instanceRef N_9_i)) @@ -4063,67 +4083,43 @@ (portRef O (instanceRef AS_000_INT_1)) (portRef I0 (instanceRef AS_000_INT_1_i)) )) - (net N_12_i (joined - (portRef O (instanceRef N_12_i)) - (portRef I0 (instanceRef AS_030_000_SYNC_1)) - )) - (net N_43_0 (joined - (portRef O (instanceRef AS_030_000_SYNC_1)) - (portRef I0 (instanceRef AS_030_000_SYNC_1_i)) - )) - (net N_13_i (joined - (portRef O (instanceRef N_13_i)) + (net N_14_i (joined + (portRef O (instanceRef N_14_i)) (portRef I0 (instanceRef LDS_000_INT_1)) )) - (net N_42_0 (joined + (net N_41_0 (joined (portRef O (instanceRef LDS_000_INT_1)) (portRef I0 (instanceRef LDS_000_INT_1_i)) )) - (net N_14_i (joined - (portRef O (instanceRef N_14_i)) + (net N_15_i (joined + (portRef O (instanceRef N_15_i)) (portRef I0 (instanceRef RW_000_INT_2)) )) - (net N_41_0 (joined + (net N_40_0 (joined (portRef O (instanceRef RW_000_INT_2)) (portRef I0 (instanceRef RW_000_INT_2_i)) )) - (net N_15_i (joined - (portRef O (instanceRef N_15_i)) - (portRef I0 (instanceRef RW_000_DMA_2)) - )) - (net N_40_0 (joined - (portRef O (instanceRef RW_000_DMA_2)) - (portRef I0 (instanceRef RW_000_DMA_2_i)) - )) - (net N_16_i (joined - (portRef O (instanceRef N_16_i)) + (net N_17_i (joined + (portRef O (instanceRef N_17_i)) (portRef I0 (instanceRef UDS_000_INT_1)) )) - (net N_39_0 (joined + (net N_38_0 (joined (portRef O (instanceRef UDS_000_INT_1)) (portRef I0 (instanceRef UDS_000_INT_1_i)) )) (net N_19_i (joined (portRef O (instanceRef N_19_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) + (portRef I0 (instanceRef VMA_INT_1)) )) (net N_36_0 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1_i)) + (portRef O (instanceRef VMA_INT_1)) + (portRef I0 (instanceRef VMA_INT_1_i)) )) - (net N_20_i (joined - (portRef O (instanceRef N_20_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) - )) - (net N_35_0 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1_i)) - )) - (net N_21_i (joined - (portRef O (instanceRef N_21_i)) + (net N_22_i (joined + (portRef O (instanceRef N_22_i)) (portRef I0 (instanceRef BG_000_1)) )) - (net N_34_0 (joined + (net N_33_0 (joined (portRef O (instanceRef BG_000_1)) (portRef I0 (instanceRef BG_000_1_i)) )) @@ -4139,17 +4135,21 @@ (portRef O (instanceRef pos_clk_un8_bg_030)) (portRef I0 (instanceRef pos_clk_un8_bg_030_i)) )) - (net N_251_0_1 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o4_1)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o4)) + (net N_127_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_1_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_5)) )) - (net N_121_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_1_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_2)) + (net N_127_i_2 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_2_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_5)) )) - (net (rename pos_clk_cpu_est_11_0_1_3 "pos_clk.cpu_est_11_0_1[3]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_1_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_3)) + (net (rename pos_clk_un11_ds_030_d0_i_1 "pos_clk.un11_ds_030_d0_i_1") (joined + (portRef O (instanceRef pos_clk_un11_ds_030_d0_1)) + (portRef I0 (instanceRef pos_clk_un11_ds_030_d0)) + )) + (net N_135_i_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) )) (net (rename pos_clk_cpu_est_11_0_1_1 "pos_clk.cpu_est_11_0_1[1]") (joined (portRef O (instanceRef pos_clk_cpu_est_11_0_1_1)) @@ -4159,6 +4159,18 @@ (portRef O (instanceRef pos_clk_cpu_est_11_0_2_1)) (portRef I1 (instanceRef pos_clk_cpu_est_11_0_1)) )) + (net (rename pos_clk_cpu_est_11_0_1_3 "pos_clk.cpu_est_11_0_1[3]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_1_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_3)) + )) + (net N_195_1 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_1_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_2)) + )) + (net N_196_1 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_0_1_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) + )) (net N_131_i_1 (joined (portRef O (instanceRef SM_AMIGA_nss_i_i_1_0)) (portRef I0 (instanceRef SM_AMIGA_nss_i_i_3_0)) @@ -4171,65 +4183,17 @@ (portRef O (instanceRef SM_AMIGA_nss_i_i_3_0)) (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0)) )) - (net (rename pos_clk_un11_ds_030_d0_i_1 "pos_clk.un11_ds_030_d0_i_1") (joined - (portRef O (instanceRef pos_clk_un11_ds_030_d0_1)) - (portRef I0 (instanceRef pos_clk_un11_ds_030_d0)) + (net N_119_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_1_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_1)) )) - (net un8_ciin_1 (joined - (portRef O (instanceRef un8_ciin_1)) - (portRef I0 (instanceRef un8_ciin_6)) + (net N_119_i_2 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_2_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_1)) )) - (net un8_ciin_2 (joined - (portRef O (instanceRef un8_ciin_2)) - (portRef I1 (instanceRef un8_ciin_6)) - )) - (net un8_ciin_3 (joined - (portRef O (instanceRef un8_ciin_3)) - (portRef I0 (instanceRef un8_ciin_7)) - )) - (net un8_ciin_4 (joined - (portRef O (instanceRef un8_ciin_4)) - (portRef I1 (instanceRef un8_ciin_7)) - )) - (net un8_ciin_5 (joined - (portRef O (instanceRef un8_ciin_5)) - (portRef I1 (instanceRef un8_ciin)) - )) - (net un8_ciin_6 (joined - (portRef O (instanceRef un8_ciin_6)) - (portRef I0 (instanceRef un8_ciin_8)) - )) - (net un8_ciin_7 (joined - (portRef O (instanceRef un8_ciin_7)) - (portRef I1 (instanceRef un8_ciin_8)) - )) - (net un8_ciin_8 (joined - (portRef O (instanceRef un8_ciin_8)) - (portRef I0 (instanceRef un8_ciin)) - )) - (net N_116_1 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - )) - (net N_116_2 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - )) - (net N_116_3 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - )) - (net N_116_4 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - )) - (net un22_berr_1_0 (joined - (portRef O (instanceRef un22_berr_0_a2_1_0)) - (portRef I0 (instanceRef un22_berr_0_a2)) - )) - (net un21_fpu_cs_1 (joined - (portRef O (instanceRef un21_fpu_cs_0_a2_1)) - (portRef I0 (instanceRef un21_fpu_cs_0_a2)) + (net N_121_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_1_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_2)) )) (net N_123_i_1 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_1_3)) @@ -4243,69 +4207,73 @@ (portRef O (instanceRef SM_AMIGA_srsts_i_1_4)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_4)) )) - (net N_127_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_1_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_5)) - )) - (net N_127_i_2 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_2_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_5)) - )) (net N_129_i_1 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_1_6)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_6)) )) - (net (rename pos_clk_un6_bg_030_1 "pos_clk.un6_bg_030_1") (joined - (portRef O (instanceRef pos_clk_un6_bg_030_1)) - (portRef I0 (instanceRef pos_clk_un6_bg_030)) + (net N_162_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_1_0_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0)) )) - (net (rename pos_clk_un7_clk_000_d0_1 "pos_clk.un7_clk_000_d0_1") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_d0_1)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_d0)) + (net N_163_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) )) - (net RESET_OUT_0_sqmuxa_7_1 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_7_1)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_7_3)) + (net N_165_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) )) - (net RESET_OUT_0_sqmuxa_7_2 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_7_2)) - (portRef I1 (instanceRef RESET_OUT_0_sqmuxa_7_3)) + (net N_165_2 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) )) - (net RESET_OUT_0_sqmuxa_7_3 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_7_3)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_7)) + (net N_165_3 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) )) - (net RESET_OUT_0_sqmuxa_5_1 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_5_1)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_5)) + (net un5_ciin_1 (joined + (portRef O (instanceRef un5_ciin_1)) + (portRef I0 (instanceRef un5_ciin_7)) )) - (net N_135_i_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) + (net un5_ciin_2 (joined + (portRef O (instanceRef un5_ciin_2)) + (portRef I1 (instanceRef un5_ciin_7)) )) - (net (rename pos_clk_un27_clk_000_ne_d0_1 "pos_clk.un27_clk_000_ne_d0_1") (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_1)) - (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_3)) + (net un5_ciin_3 (joined + (portRef O (instanceRef un5_ciin_3)) + (portRef I0 (instanceRef un5_ciin_8)) )) - (net (rename pos_clk_un27_clk_000_ne_d0_2 "pos_clk.un27_clk_000_ne_d0_2") (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) - (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_3)) + (net un5_ciin_4 (joined + (portRef O (instanceRef un5_ciin_4)) + (portRef I1 (instanceRef un5_ciin_8)) )) - (net (rename pos_clk_un27_clk_000_ne_d0_3 "pos_clk.un27_clk_000_ne_d0_3") (joined - (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_3)) - (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0)) + (net un5_ciin_5 (joined + (portRef O (instanceRef un5_ciin_5)) + (portRef I0 (instanceRef un5_ciin_9)) )) - (net (rename pos_clk_un5_clk_000_pe_1 "pos_clk.un5_clk_000_pe_1") (joined - (portRef O (instanceRef pos_clk_un5_clk_000_pe_1)) - (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_3)) + (net un5_ciin_6 (joined + (portRef O (instanceRef un5_ciin_6)) + (portRef I1 (instanceRef un5_ciin_9)) )) - (net (rename pos_clk_un5_clk_000_pe_2 "pos_clk.un5_clk_000_pe_2") (joined - (portRef O (instanceRef pos_clk_un5_clk_000_pe_2)) - (portRef I1 (instanceRef pos_clk_un5_clk_000_pe_3)) + (net un5_ciin_7 (joined + (portRef O (instanceRef un5_ciin_7)) + (portRef I0 (instanceRef un5_ciin_10)) )) - (net (rename pos_clk_un5_clk_000_pe_3 "pos_clk.un5_clk_000_pe_3") (joined - (portRef O (instanceRef pos_clk_un5_clk_000_pe_3)) - (portRef I0 (instanceRef pos_clk_un5_clk_000_pe)) + (net un5_ciin_8 (joined + (portRef O (instanceRef un5_ciin_8)) + (portRef I1 (instanceRef un5_ciin_10)) + )) + (net un5_ciin_9 (joined + (portRef O (instanceRef un5_ciin_9)) + (portRef I0 (instanceRef un5_ciin_11)) + )) + (net un5_ciin_10 (joined + (portRef O (instanceRef un5_ciin_10)) + (portRef I0 (instanceRef un5_ciin)) + )) + (net un5_ciin_11 (joined + (portRef O (instanceRef un5_ciin_11)) + (portRef I1 (instanceRef un5_ciin)) )) (net (rename pos_clk_un9_clk_000_ne_1 "pos_clk.un9_clk_000_ne_1") (joined (portRef O (instanceRef pos_clk_un9_clk_000_ne_1)) @@ -4323,141 +4291,157 @@ (portRef O (instanceRef pos_clk_un9_clk_000_ne_4)) (portRef I0 (instanceRef pos_clk_un9_clk_000_ne)) )) - (net N_196_1 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_0_1_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) + (net (rename pos_clk_un5_clk_000_pe_1 "pos_clk.un5_clk_000_pe_1") (joined + (portRef O (instanceRef pos_clk_un5_clk_000_pe_1)) + (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_3)) )) - (net N_195_1 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_1_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_2)) + (net (rename pos_clk_un5_clk_000_pe_2 "pos_clk.un5_clk_000_pe_2") (joined + (portRef O (instanceRef pos_clk_un5_clk_000_pe_2)) + (portRef I1 (instanceRef pos_clk_un5_clk_000_pe_3)) )) - (net (rename pos_clk_un24_bgack_030_int_1 "pos_clk.un24_bgack_030_int_1") (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_1)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int)) + (net (rename pos_clk_un5_clk_000_pe_3 "pos_clk.un5_clk_000_pe_3") (joined + (portRef O (instanceRef pos_clk_un5_clk_000_pe_3)) + (portRef I0 (instanceRef pos_clk_un5_clk_000_pe)) )) - (net N_165_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) + (net (rename pos_clk_un27_clk_000_ne_d0_1 "pos_clk.un27_clk_000_ne_d0_1") (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_1)) + (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_3)) )) - (net N_165_2 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) + (net (rename pos_clk_un27_clk_000_ne_d0_2 "pos_clk.un27_clk_000_ne_d0_2") (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) + (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_3)) )) - (net N_165_3 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) + (net (rename pos_clk_un27_clk_000_ne_d0_3 "pos_clk.un27_clk_000_ne_d0_3") (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_3)) + (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0)) )) - (net N_163_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) + (net RESET_OUT_0_sqmuxa_7_1 (joined + (portRef O (instanceRef RESET_OUT_0_sqmuxa_7_1)) + (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_7_3)) )) - (net N_162_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_1_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0)) + (net RESET_OUT_0_sqmuxa_7_2 (joined + (portRef O (instanceRef RESET_OUT_0_sqmuxa_7_2)) + (portRef I1 (instanceRef RESET_OUT_0_sqmuxa_7_3)) )) - (net N_176_1_0 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) + (net RESET_OUT_0_sqmuxa_7_3 (joined + (portRef O (instanceRef RESET_OUT_0_sqmuxa_7_3)) + (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_7)) )) - (net DS_000_DMA_2_sqmuxa_1 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_1)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa)) + (net RESET_OUT_0_sqmuxa_5_1 (joined + (portRef O (instanceRef RESET_OUT_0_sqmuxa_5_1)) + (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_5)) )) - (net N_119_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1)) + (net N_87_1 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_1)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0)) + )) + (net N_87_2 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_2)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0)) + )) + (net (rename pos_clk_un24_bgack_030_int_i_0_0_1 "pos_clk.un24_bgack_030_int_i_0_0_1") (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_1)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2)) + )) + (net (rename pos_clk_un24_bgack_030_int_i_0_0_2 "pos_clk.un24_bgack_030_int_i_0_0_2") (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_2)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2)) + )) + (net N_72_0_1 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o2_1)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o2)) + )) + (net (rename pos_clk_un7_clk_000_d0_1 "pos_clk.un7_clk_000_d0_1") (joined + (portRef O (instanceRef pos_clk_un7_clk_000_d0_1)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_d0)) + )) + (net un21_fpu_cs_1 (joined + (portRef O (instanceRef un21_fpu_cs_0_a2_1)) + (portRef I0 (instanceRef un21_fpu_cs_0_a2)) + )) + (net un22_berr_1_0 (joined + (portRef O (instanceRef un22_berr_0_a2_1_0)) + (portRef I0 (instanceRef un22_berr_0_a2)) + )) + (net N_116_1 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) + )) + (net N_116_2 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) + )) + (net N_116_3 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) + )) + (net N_116_4 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) + )) + (net (rename pos_clk_un6_bg_030_1 "pos_clk.un6_bg_030_1") (joined + (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_1)) + (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2)) )) (net N_115_0_1 (joined (portRef O (instanceRef un1_SM_AMIGA_5_i_0_1)) (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0)) )) + (net N_245_0_1 (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_1)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i)) + )) + (net N_247_i_1 (joined + (portRef O (instanceRef CLK_030_H_2_i_1)) + (portRef I0 (instanceRef CLK_030_H_2_i)) + )) + (net N_248_i_1 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) + )) + (net N_249_i_1 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i)) + )) (net (rename pos_clk_ipl_1 "pos_clk.ipl_1") (joined (portRef O (instanceRef G_168_1)) (portRef I0 (instanceRef G_168)) )) - (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined - (portRef O (instanceRef AS_000_DMA_0_r)) - (portRef I1 (instanceRef AS_000_DMA_0_n)) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un3 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un3") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) )) - (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined - (portRef O (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_p)) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un1 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un1") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) )) - (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined - (portRef O (instanceRef AS_000_DMA_0_n)) - (portRef I1 (instanceRef AS_000_DMA_0_p)) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un0 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un0") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) )) - (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined - (portRef O (instanceRef DS_000_DMA_0_r)) - (portRef I1 (instanceRef DS_000_DMA_0_n)) + (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un3 "AMIGA_BUS_ENABLE_DMA_LOW_0.un3") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) )) - (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined - (portRef O (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_p)) + (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un1 "AMIGA_BUS_ENABLE_DMA_LOW_0.un1") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) )) - (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined - (portRef O (instanceRef DS_000_DMA_0_n)) - (portRef I1 (instanceRef DS_000_DMA_0_p)) + (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un0 "AMIGA_BUS_ENABLE_DMA_LOW_0.un0") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) )) - (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined - (portRef O (instanceRef VMA_INT_0_r)) - (portRef I1 (instanceRef VMA_INT_0_n)) + (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined + (portRef O (instanceRef RW_000_DMA_0_r)) + (portRef I1 (instanceRef RW_000_DMA_0_n)) )) - (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined - (portRef O (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_p)) + (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined + (portRef O (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_p)) )) - (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined - (portRef O (instanceRef VMA_INT_0_n)) - (portRef I1 (instanceRef VMA_INT_0_p)) - )) - (net (rename SM_AMIGA_srsts_i_0_m2_1__un3 "SM_AMIGA_srsts_i_0_m2_1_.un3") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__r)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) - )) - (net (rename SM_AMIGA_srsts_i_0_m2_1__un1 "SM_AMIGA_srsts_i_0_m2_1_.un1") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__m)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) - )) - (net (rename SM_AMIGA_srsts_i_0_m2_1__un0 "SM_AMIGA_srsts_i_0_m2_1_.un0") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) - )) - (net (rename cpu_est_0_3__un3 "cpu_est_0_3_.un3") (joined - (portRef O (instanceRef cpu_est_0_3__r)) - (portRef I1 (instanceRef cpu_est_0_3__n)) - )) - (net (rename cpu_est_0_3__un1 "cpu_est_0_3_.un1") (joined - (portRef O (instanceRef cpu_est_0_3__m)) - (portRef I0 (instanceRef cpu_est_0_3__p)) - )) - (net (rename cpu_est_0_3__un0 "cpu_est_0_3_.un0") (joined - (portRef O (instanceRef cpu_est_0_3__n)) - (portRef I1 (instanceRef cpu_est_0_3__p)) - )) - (net (rename cpu_est_0_2__un3 "cpu_est_0_2_.un3") (joined - (portRef O (instanceRef cpu_est_0_2__r)) - (portRef I1 (instanceRef cpu_est_0_2__n)) - )) - (net (rename cpu_est_0_2__un1 "cpu_est_0_2_.un1") (joined - (portRef O (instanceRef cpu_est_0_2__m)) - (portRef I0 (instanceRef cpu_est_0_2__p)) - )) - (net (rename cpu_est_0_2__un0 "cpu_est_0_2_.un0") (joined - (portRef O (instanceRef cpu_est_0_2__n)) - (portRef I1 (instanceRef cpu_est_0_2__p)) - )) - (net (rename cpu_est_0_1__un3 "cpu_est_0_1_.un3") (joined - (portRef O (instanceRef cpu_est_0_1__r)) - (portRef I1 (instanceRef cpu_est_0_1__n)) - )) - (net (rename cpu_est_0_1__un1 "cpu_est_0_1_.un1") (joined - (portRef O (instanceRef cpu_est_0_1__m)) - (portRef I0 (instanceRef cpu_est_0_1__p)) - )) - (net (rename cpu_est_0_1__un0 "cpu_est_0_1_.un0") (joined - (portRef O (instanceRef cpu_est_0_1__n)) - (portRef I1 (instanceRef cpu_est_0_1__p)) + (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined + (portRef O (instanceRef RW_000_DMA_0_n)) + (portRef I1 (instanceRef RW_000_DMA_0_p)) )) (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined (portRef O (instanceRef BGACK_030_INT_0_r)) @@ -4471,29 +4455,53 @@ (portRef O (instanceRef BGACK_030_INT_0_n)) (portRef I1 (instanceRef BGACK_030_INT_0_p)) )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__un3 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.un3") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__r)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__n)) + (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_r)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__un1 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.un1") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__m)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__p)) + (net (rename AS_030_000_SYNC_0_un1 "AS_030_000_SYNC_0.un1") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_p)) )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__un0 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.un0") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__n)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__p)) + (net (rename AS_030_000_SYNC_0_un0 "AS_030_000_SYNC_0.un0") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_n)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_p)) )) - (net (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_un3 "DS_000_ENABLE_1_sqmuxa_1_i_m4.un3") (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_r)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_n)) + (net (rename DS_000_ENABLE_0_un3 "DS_000_ENABLE_0.un3") (joined + (portRef O (instanceRef DS_000_ENABLE_0_r)) + (portRef I1 (instanceRef DS_000_ENABLE_0_n)) )) - (net (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_un1 "DS_000_ENABLE_1_sqmuxa_1_i_m4.un1") (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_m)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_p)) + (net (rename DS_000_ENABLE_0_un1 "DS_000_ENABLE_0.un1") (joined + (portRef O (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef DS_000_ENABLE_0_p)) )) - (net (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_un0 "DS_000_ENABLE_1_sqmuxa_1_i_m4.un0") (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_n)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_p)) + (net (rename DS_000_ENABLE_0_un0 "DS_000_ENABLE_0.un0") (joined + (portRef O (instanceRef DS_000_ENABLE_0_n)) + (portRef I1 (instanceRef DS_000_ENABLE_0_p)) + )) + (net (rename A0_DMA_0_un3 "A0_DMA_0.un3") (joined + (portRef O (instanceRef A0_DMA_0_r)) + (portRef I1 (instanceRef A0_DMA_0_n)) + )) + (net (rename A0_DMA_0_un1 "A0_DMA_0.un1") (joined + (portRef O (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_p)) + )) + (net (rename A0_DMA_0_un0 "A0_DMA_0.un0") (joined + (portRef O (instanceRef A0_DMA_0_n)) + (portRef I1 (instanceRef A0_DMA_0_p)) + )) + (net (rename SIZE_DMA_0_1__un3 "SIZE_DMA_0_1_.un3") (joined + (portRef O (instanceRef SIZE_DMA_0_1__r)) + (portRef I1 (instanceRef SIZE_DMA_0_1__n)) + )) + (net (rename SIZE_DMA_0_1__un1 "SIZE_DMA_0_1_.un1") (joined + (portRef O (instanceRef SIZE_DMA_0_1__m)) + (portRef I0 (instanceRef SIZE_DMA_0_1__p)) + )) + (net (rename SIZE_DMA_0_1__un0 "SIZE_DMA_0_1_.un0") (joined + (portRef O (instanceRef SIZE_DMA_0_1__n)) + (portRef I1 (instanceRef SIZE_DMA_0_1__p)) )) (net (rename SIZE_DMA_0_0__un3 "SIZE_DMA_0_0_.un3") (joined (portRef O (instanceRef SIZE_DMA_0_0__r)) @@ -4507,17 +4515,53 @@ (portRef O (instanceRef SIZE_DMA_0_0__n)) (portRef I1 (instanceRef SIZE_DMA_0_0__p)) )) - (net (rename SIZE_DMA_0_1__un3 "SIZE_DMA_0_1_.un3") (joined - (portRef O (instanceRef SIZE_DMA_0_1__r)) - (portRef I1 (instanceRef SIZE_DMA_0_1__n)) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un3 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un3") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) )) - (net (rename SIZE_DMA_0_1__un1 "SIZE_DMA_0_1_.un1") (joined - (portRef O (instanceRef SIZE_DMA_0_1__m)) - (portRef I0 (instanceRef SIZE_DMA_0_1__p)) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un1 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un1") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) )) - (net (rename SIZE_DMA_0_1__un0 "SIZE_DMA_0_1_.un0") (joined - (portRef O (instanceRef SIZE_DMA_0_1__n)) - (portRef I1 (instanceRef SIZE_DMA_0_1__p)) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un0 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un0") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) + )) + (net (rename cpu_est_0_1__un3 "cpu_est_0_1_.un3") (joined + (portRef O (instanceRef cpu_est_0_1__r)) + (portRef I1 (instanceRef cpu_est_0_1__n)) + )) + (net (rename cpu_est_0_1__un1 "cpu_est_0_1_.un1") (joined + (portRef O (instanceRef cpu_est_0_1__m)) + (portRef I0 (instanceRef cpu_est_0_1__p)) + )) + (net (rename cpu_est_0_1__un0 "cpu_est_0_1_.un0") (joined + (portRef O (instanceRef cpu_est_0_1__n)) + (portRef I1 (instanceRef cpu_est_0_1__p)) + )) + (net (rename cpu_est_0_2__un3 "cpu_est_0_2_.un3") (joined + (portRef O (instanceRef cpu_est_0_2__r)) + (portRef I1 (instanceRef cpu_est_0_2__n)) + )) + (net (rename cpu_est_0_2__un1 "cpu_est_0_2_.un1") (joined + (portRef O (instanceRef cpu_est_0_2__m)) + (portRef I0 (instanceRef cpu_est_0_2__p)) + )) + (net (rename cpu_est_0_2__un0 "cpu_est_0_2_.un0") (joined + (portRef O (instanceRef cpu_est_0_2__n)) + (portRef I1 (instanceRef cpu_est_0_2__p)) + )) + (net (rename cpu_est_0_3__un3 "cpu_est_0_3_.un3") (joined + (portRef O (instanceRef cpu_est_0_3__r)) + (portRef I1 (instanceRef cpu_est_0_3__n)) + )) + (net (rename cpu_est_0_3__un1 "cpu_est_0_3_.un1") (joined + (portRef O (instanceRef cpu_est_0_3__m)) + (portRef I0 (instanceRef cpu_est_0_3__p)) + )) + (net (rename cpu_est_0_3__un0 "cpu_est_0_3_.un0") (joined + (portRef O (instanceRef cpu_est_0_3__n)) + (portRef I1 (instanceRef cpu_est_0_3__p)) )) (net (rename IPL_030_0_0__un3 "IPL_030_0_0_.un3") (joined (portRef O (instanceRef IPL_030_0_0__r)) @@ -4579,30 +4623,6 @@ (portRef O (instanceRef AS_000_INT_0_n)) (portRef I1 (instanceRef AS_000_INT_0_p)) )) - (net (rename DS_000_ENABLE_0_un3 "DS_000_ENABLE_0.un3") (joined - (portRef O (instanceRef DS_000_ENABLE_0_r)) - (portRef I1 (instanceRef DS_000_ENABLE_0_n)) - )) - (net (rename DS_000_ENABLE_0_un1 "DS_000_ENABLE_0.un1") (joined - (portRef O (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_p)) - )) - (net (rename DS_000_ENABLE_0_un0 "DS_000_ENABLE_0.un0") (joined - (portRef O (instanceRef DS_000_ENABLE_0_n)) - (portRef I1 (instanceRef DS_000_ENABLE_0_p)) - )) - (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_r)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) - )) - (net (rename AS_030_000_SYNC_0_un1 "AS_030_000_SYNC_0.un1") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_p)) - )) - (net (rename AS_030_000_SYNC_0_un0 "AS_030_000_SYNC_0.un0") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_n)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_p)) - )) (net (rename LDS_000_INT_0_un3 "LDS_000_INT_0.un3") (joined (portRef O (instanceRef LDS_000_INT_0_r)) (portRef I1 (instanceRef LDS_000_INT_0_n)) @@ -4627,18 +4647,6 @@ (portRef O (instanceRef RW_000_INT_0_n)) (portRef I1 (instanceRef RW_000_INT_0_p)) )) - (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined - (portRef O (instanceRef RW_000_DMA_0_r)) - (portRef I1 (instanceRef RW_000_DMA_0_n)) - )) - (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined - (portRef O (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_p)) - )) - (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined - (portRef O (instanceRef RW_000_DMA_0_n)) - (portRef I1 (instanceRef RW_000_DMA_0_p)) - )) (net (rename UDS_000_INT_0_un3 "UDS_000_INT_0.un3") (joined (portRef O (instanceRef UDS_000_INT_0_r)) (portRef I1 (instanceRef UDS_000_INT_0_n)) @@ -4651,29 +4659,17 @@ (portRef O (instanceRef UDS_000_INT_0_n)) (portRef I1 (instanceRef UDS_000_INT_0_p)) )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un3 "AMIGA_BUS_ENABLE_DMA_LOW_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) + (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined + (portRef O (instanceRef VMA_INT_0_r)) + (portRef I1 (instanceRef VMA_INT_0_n)) )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un1 "AMIGA_BUS_ENABLE_DMA_LOW_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) + (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined + (portRef O (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_p)) )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un0 "AMIGA_BUS_ENABLE_DMA_LOW_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un3 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un1 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un0 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined + (portRef O (instanceRef VMA_INT_0_n)) + (portRef I1 (instanceRef VMA_INT_0_p)) )) (net (rename BG_000_0_un3 "BG_000_0.un3") (joined (portRef O (instanceRef BG_000_0_r)) @@ -4687,17 +4683,29 @@ (portRef O (instanceRef BG_000_0_n)) (portRef I1 (instanceRef BG_000_0_p)) )) - (net (rename A0_DMA_0_un3 "A0_DMA_0.un3") (joined - (portRef O (instanceRef A0_DMA_0_r)) - (portRef I1 (instanceRef A0_DMA_0_n)) + (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined + (portRef O (instanceRef DS_000_DMA_0_r)) + (portRef I1 (instanceRef DS_000_DMA_0_n)) )) - (net (rename A0_DMA_0_un1 "A0_DMA_0.un1") (joined - (portRef O (instanceRef A0_DMA_0_m)) - (portRef I0 (instanceRef A0_DMA_0_p)) + (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined + (portRef O (instanceRef DS_000_DMA_0_m)) + (portRef I0 (instanceRef DS_000_DMA_0_p)) )) - (net (rename A0_DMA_0_un0 "A0_DMA_0.un0") (joined - (portRef O (instanceRef A0_DMA_0_n)) - (portRef I1 (instanceRef A0_DMA_0_p)) + (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined + (portRef O (instanceRef DS_000_DMA_0_n)) + (portRef I1 (instanceRef DS_000_DMA_0_p)) + )) + (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined + (portRef O (instanceRef AS_000_DMA_0_r)) + (portRef I1 (instanceRef AS_000_DMA_0_n)) + )) + (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined + (portRef O (instanceRef AS_000_DMA_0_m)) + (portRef I0 (instanceRef AS_000_DMA_0_p)) + )) + (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined + (portRef O (instanceRef AS_000_DMA_0_n)) + (portRef I1 (instanceRef AS_000_DMA_0_p)) )) ) (property orig_inst_of (string "BUS68030")) diff --git a/Logic/BUS68030.prj b/Logic/BUS68030.prj index f475860..f5fad56 100644 --- a/Logic/BUS68030.prj +++ b/Logic/BUS68030.prj @@ -1,6 +1,6 @@ #-- Lattice Semiconductor Corporation Ltd. #-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj -#-- Written on Thu Jul 09 18:48:45 2015 +#-- Written on Thu Sep 24 16:20:44 2015 #device options diff --git a/Logic/BUS68030.srm b/Logic/BUS68030.srm index 6e8a2ec..e73cf46 100644 --- a/Logic/BUS68030.srm +++ b/Logic/BUS68030.srm @@ -187,8 +187,8 @@ NR#3H_8PED;R4 RNP3ONsEDVHC;R( RNP38lFkVDCHRDC(N; P#R3$VM_lRNb"sIF "R\B\:\ks#C#l\\NC0x\F\8OCklM\0#\0oHE\kL\jnUd j0\F\Do\HO\jnUdnj-Ujjj-#Lk38PE\M"\"N; -POR3DMCNk#b_0.Cb_l0HC3Rjj.d4j;jj -RNP3CODNbMk_C#0b04_HRlCj43j6jnjjN; +POR3DMCNk#b_0.Cb_l0HC3Rjj.d46;jj +RNP3CODNbMk_C#0b04_HRlCj43j66n.jN; POR3F0M#N_M0sRCo"qAtBji_dQj_hua_)4 R"N; P#R30Dl0H0#0HRlCjj3jjjjj;P NRHFsoM_H#F0_VAR"zU1nj"dj;P @@ -202,8 +202,8 @@ PVR3D_FIDbFF#s_LFM CR j;}N; P$R#M#_HlCHG8MDNo;R4 RNP3M#$_#lV_FoskHb_8;Rj -RNP3M#$_lMkOsEN#cRUj -j;N3PR#_$MD HMC8sHRq"{wwcgw-B(jAc -.cABq-g7.c-U4gc7d cA}Uc"N; +RNP3M#$_lMkOsEN#cRU( +.;N3PR#_$MD HMC8sHR7"{ U6jn-w67.4 -gc(7U-AjBq-B(nAUg dA}dd"N; POR38#L_NRPC{P NRM#$_VsCCMsCOOC_D FORN{ P$R1#l0CRN{ @@ -340,299 +340,143 @@ MMRkdH_#x C;N3MR#CNP_0MC_NVDoR#4.;6n RoMk_Mc#CHx;M NRN3#PMC_CV0_D#No46R.no; -MMRkUH_OH +MMRk6H_OH M;N3MR#CNP_0MC_NVDoR#4.;6n -RoMkcM4_HNloLN_k8#_N_0N8;Hs -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRckM__N#j;jj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.kM4b_Vk#_O;M +RoMk_MUOMHH;M NRN3#PMC_CV0_D#No46R.no; -MMRk.L._C;ss -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnkM__8#j;dj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnkM_#k8_jjj;M +MMRkc#_N_jjj;M NRN3#PMC_CV0_D#No46R.no; -MMRkn8_D#j_jjN; +MMRk4v_1_Qqvt(q_;M +NRN3#PMC_CV0_D#No46R.no; +MMRk.V4_bOk_#N; +M#R3N_PCM_C0VoDN#.4R6 +n;okMRM_..LsCs;M +NRN3#PMC_CV0_D#No46R.no; +MMRkn#_8_jjd;M +NRN3#PMC_CV0_D#No46R.no; +MMRkn8_k#j_jjN; +M#R3N_PCM_C0VoDN#.4R6 +n;okMRMDn_8j#_j +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_d +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRch_;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh6N; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_ -c;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh;_6 +n;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_g RNM3P#NCC_M0D_VN4o#Rn.6;M -oRUh_;M -NRN3#PMC_CV0_D#No46R.no; -M_RhgN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4j -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_4N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4. -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_dN; +oR4h_jN; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;4c RNM3P#NCC_M0D_VN4o#Rn.6;M oR4h_6N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4n +n;ohMR_;4( RNM3P#NCC_M0D_VN4o#Rn.6;M oR4h_gN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.j +n;ohMR_;.. RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_4N; +oR.h_dN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.d +n;ohMR_;.c RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_cN; +oR.h_6N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.6 +n;ohMR_;.n RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_nN; +oR.h_(N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.( +n;ohMR_;.U RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_UN; +oR.h_gN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.g +n;ohMR_;dj RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_jN; +oRdh_4N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;d4 +n;ohMR_;d. RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_.N; +oRdh_dN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;dd +n;ohMR_;dc RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_cN; +oRdh_6N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;d6 +n;ohMR_;dn RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_nN; +oRdh_UN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;d( +n;ohMR_;dg RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_gN; +oRch_jN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;cj +n;ohMR_;c4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_4N; +oRch_.N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;c. +n;ohMR_;cd RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_dN; +oRch_cN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;cc +n;ohMR_;c6 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_6N; +oRch_nN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;cn +n;ohMR_;cU RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_UN; +oRch_gN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;cg +n;ohMR_;6j RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_jN; +oR6h_.N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6. +n;ohMR_;6d RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_dN; +oR6h_cN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6c +n;ohMR_;66 RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_6N; +oR6h_nN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6n +n;ohMR_;6( RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_(N; +oRnh_jN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6U +n;ohMR_;nd RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_gN; +oRnh_cN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;nj +n;ohMR_;n6 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnh_dN; +oRnh_nN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;nc +n;ohMR_;n( RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnh_6N; +oRnh_UN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;nn +n;ohMR_;ng RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnh_(N; +oR(h_jN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;nU -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnh_gN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;(j -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_4 -6;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh._.cN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_6..;M +n;ohMR_644;M NRN3#PMC_CV0_D#No46R.no; -M_Rh.;.n +M_Rh.;.j RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_c -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhc_..N; +oR.h_. +c;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._.6N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_d.c;M +n;ohMR_n..;M NRN3#PMC_CV0_D#No46R.no; M_Rh.;cc RNM3P#NCC_M0D_VN4o#Rn.6;M oR.h_c 6;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhc_.nN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjv_7q3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjv_7q3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj7_vqjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjv_7q3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj7_vqjM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oeMRvQq_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MvReqh_Qa3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRqev_aQh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM1qv_vqQt_##s0H#__lj_.__43dkM;M -NRN3#PMC_CV0_D#No46R.no; -MvR1_Qqvt#q_s##0_jH___l.4k_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM1qv_vqQt_##s0H#__lj_.__43jkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__jdk_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__d34kM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__jdk_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__.3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__j.k_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__.3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__j4k_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__434kM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__j4k_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMABtqid_jjh_Qa3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRqAtBji_dQj_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MtRAq_Bij_djQ_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_c__j3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]Hc_l_3j_k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___lcjk_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7j1_j j_hpqA __4#kJlG4N__lH_cM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjh_ q Ap_#4_JGlkN__4Hc_l34kM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7_jjj_q hA_p 4J_#lNkG_H4__3lck;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRZ1Q v_7q__jjk_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM1 QZ_q7v_jj__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRQ_Z 7_vqj__j3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MQR1Z7 _vjq__34_k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRZ1Q v_7q__j4k_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM1 QZ_q7v_4j__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3j_k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_jj__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3j_k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_4j__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__34_k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_4j__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3._k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_.j__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3._k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRq71B_i4Q_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1iqB4h_Qa3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRq71B_i4Q_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjh_Qa3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jjQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjh_Qa3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj Ahqpj _3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7_jjj_q hA_p jM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjh_ q Ap_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_djj_j1j_Y_hBjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1d_jjj_jjY_1hjB_34kM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjd_jjj_h1YB3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1p7_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMp_71j_jjQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;opMR7j1_jQj_hja_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_jQj_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_aQh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_j7j_vjq_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_q7v_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_j7j_vjq_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -M7Rz1j_jjh_Qa3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1z7_jjj_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMz_71j_jjQ_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRvqQt_1Az_q hA_p 7_vqp_mWjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRvqQt_1Az_q hA_p 7_vqp_mWjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRvqQt_1Az_q hA_p 7_vqp_mWjM3kjN; +RoMh6_.(N; M#R3N_PCM_C0VoDN#.4R6 n;oqMRvqQt_1Az_q hA_p 7_vq]]Qt_kj3M d;N3MR#CNP_0MC_NVDoR#4.;6n @@ -640,72 +484,169 @@ RoMqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M oRQqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM;M NRN3#PMC_CV0_D#No46R.no; -MtRA_jjj_kj3M +MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_34kM;M +NRN3#PMC_CV0_D#No46R.no; +MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MWR)_jjj_q7v_kj3M d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMAjt_jjj_34kM;M +RoM)jW_j7j_vjq_34kM;M NRN3#PMC_CV0_D#No46R.no; -MtRA_jjj_kj3M +MWR)_jjj_q7v_kj3M j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMq7j_vjq_3dkM;M +RoMABtqid_jjh_Qa3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRqAtBji_dQj_hja_34kM;M NRN3#PMC_CV0_D#No46R.no; -MjRq_q7v_kj3M +MtRAq_Bij_djQ_hajM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMR1d_jjj_jjY_1hjB_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjd_jjj_h1YB3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_q1j_djj_jj1BYh_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoM7j1_j j_hpqA 3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_71j_jj Ahqpj _34kM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7_jjj_q hA_p jM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRjv_7q3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_qj7_vqjM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRjv_7q3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRZ1Q v_7q__j4k_3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoM1 QZ_q7v_4j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;o1MRQ_Z 7_vqj__43jkM;M +NRN3#PMC_CV0_D#No46R.no; +MQR1Z7 _vjq__3j_k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRZ1Q v_7q__jjk_3M 4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMq7j_vjq_3jkM;M -NRN3#PMC_CV0_D#No46R.nb; -Rj@@:44::.4:+:4j0CskR:fjjsR0k0CRsRkCe;BB -@bR@4j::44::4.+jN:VDR#Cfjj:RDVN#VCRNCD#R7th;R -b@:@(4:dgd4(:ddg:gj+4:_1vqtvQq:rj(f9RjR:jo#EF0vR1_Qqvtjqr:R(9hd_n,nh_c_,hnh6,_,nnh(_n,nh_U_,hnhg,_;(j -Robm9rj;b -NRM#$_sbF0NVDon#Rco; -brRm4 -9;N#bR$bM_FVs0D#NoR;nc -Robm9r.;b -NRM#$_sbF0NVDon#Rco; -brRmd -9;N#bR$bM_FVs0D#NoR;nc -Robm9rc;b -NRM#$_sbF0NVDon#Rco; -brRm6 -9;N#bR$bM_FVs0D#NoR;nc -Robm9rn;b -NRM#$_sbF0NVDon#Rco; -brRm( -9;N#bR$bM_FVs0D#NoR;nc -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4ddg(g4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NR03#N_0ClbNbHRMo"RRRjjjjj4jjRR->jjjjjjjj\RMRRjjjj4jjj>R-Rjjjj4jj4R\MRjRjj4jjj-jR>jRjj4jjjM4\RjRRj4jjjRjj-j>Rj4jjj\j4MRRRj4jjjjjjRR->j4jjj4jj\RMRR4jjjjjjj>R-R4jjjjjj4R\MR4Rjjjjjj-jR>4RjjjjjjM4\R4RRjjjjjRjj-4>Rjjjjj\j4M -";s@R@(d:4g(:d:g4d:+dg4Qj:u7p_j:r.jf9RjR:jlENORw7wRHbsluRQpj_7r -.9SQT=u7p_j9r. -=S7hc_6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRQ_up7;j" -RNH3VkMs_NOHCM8G;R. -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_QqvtHq_r -(9S1T=vv_qQ_tqH9r( -=S7hd_44 -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4ddg(g4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';N3HRH_MP#sFkO'CR1qv_vqQtr'(9;H -NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtqnS9 -Tv=1_Qqvtnqr97 -S=4h_.Hg_ -pSBip=Bi1_mZOQ_;H +RoM1 QZ_q7v_jj__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jk_3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__43dkM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__j4k_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__43jkM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__j.k_3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__.34kM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__j.k_3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__d3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__jdk_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__d3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MuRQpd_jj__jjk_3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMQ_upj_djj__j34kM;M +NRN3#PMC_CV0_D#No46R.no; +MuRQpd_jj__jjk_3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMQ_upj_djj__43dkM;M +NRN3#PMC_CV0_D#No46R.no; +MuRQpd_jj__j4k_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMQ_upj_djj__43jkM;M +NRN3#PMC_CV0_D#No46R.no; +MuRQpd_jj__j.k_3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMQ_upj_djj__.34kM;M +NRN3#PMC_CV0_D#No46R.no; +MuRQpd_jj__j.k_3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoM7B1qiQ4_hja_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7q4Bi_aQh_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoM7B1qiQ4_hja_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjj_aQh_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_jQj_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjj_aQh_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMp_71j_jjQ_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;opMR7j1_jQj_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M7Rp1j_jjh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_)Wj_jjQ_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;o)MRWj_jjh_Qa3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_)Wj_jjQ_hajM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;ozMR7j1_jQj_hja_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +M7Rz1j_jjh_Qa3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR1z7_jjj_aQh_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMe_vqQ_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oeMRvQq_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +MvReqh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_Atj_jjjM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oAMRtj_jj3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_Atj_jjjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1j_jjv_7q3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_71j_jj7_vqjM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1j_jjv_7q3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_q1j_jj7_vqjM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMR1j_jjv_7q3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_q1j_jj7_vqjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;b@R@j::44::4.j+4:k0sCjRf:0jRsRkC0CskRBeB;R +b@:@j4::44+:.4Vj:NCD#R:fjjNRVDR#CV#NDChRt7b; +R(@@:g4d::d(4:dgd4g+jv:1_Qqvtjqr:R(9fjj:RFoE#10Rvv_qQrtqj9:(Rnh_d_,hnhc,_,n6hn_n,nh_(_,hnhU,_,nghj_(;b +oRjmr9N; +b$R#MF_bsD0VNRo#n +c;ombRr;49 +RNb#_$Mb0FsVoDN#cRn;b +oR.mr9N; +b$R#MF_bsD0VNRo#n +c;ombRr;d9 +RNb#_$Mb0FsVoDN#cRn;b +oRcmr9N; +b$R#MF_bsD0VNRo#n +c;ombRr;69 +RNb#_$Mb0FsVoDN#cRn;b +oRnmr9N; +b$R#MF_bsD0VNRo#n +c;ombRr;(9 +RNb#_$Mb0FsVoDN#cRn;H NR03sD0C_F;R4 RNH#_$MV_#lH"8R(g4ddd(4g;4" RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H @@ -714,121 +655,7 @@ HVR3#0l_FR#0"_1vqtvQq"RU;H NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H NR#3Vls_FHNoMl"CR1qv_vqQt"N; HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4(:ddg:(d:4gg:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9r6 -=ST1qv_vqQtr -69Sh7=_(4._SH -B=piB_pimQ1Z_ -O;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(d(gd44dg"N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -R(@@:g4d::d(4:dgd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtqcS9 -Tv=1_Qqvtcqr97 -S=4h_.H6_ -pSBip=Bi1_mZOQ_;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(g4ddd(4g;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4(:ddg:(d:4gg:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9rd -=ST1qv_vqQtr -d9Sh7=_d4._SH -B=piB_pimQ1Z_ -O;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(d(gd44dg"N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -R(@@:g4d::d(4:dgd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtq.S9 -Tv=1_Qqvt.qr97 -S=4h_.H4_ -pSBip=Bi1_mZOQ_;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(g4ddd(4g;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4(:ddg:(d:4gg:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9r4 -=ST1qv_vqQtr -49Sh7=_g44_SH -B=piB_pimQ1Z_ -O;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(d(gd44dg"N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -R(@@:g4d::d(4:dgd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtqjS9 -Tv=1_Qqvtjqr97 -S=4h_4H(_ -pSBip=Bi1_mZOQ_;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(g4ddd(4g;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4(:ddg:(d:4gg:d+:4jO_bkCr#0d9:jR:fjjNRlO7ERwbwRsRHlO_bkCr#0jS9 -Tb=Ok#_C09rj -=S7hn_. -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRO_bkC"#0;H -NRM3kVOsN_8HMCjGR;R -s@:@(4:dgd4(:ddg:gj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r -49SOT=bCk_#40r97 -S=.h_(B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"kOb_0C#"N; -HkR3MNVsOM_H8RCG4s; -R(@@:g4d::d(4:dgd4g+jb:Ok#_C0:rdjf9RjR:jlENORw7wRHbslbROk#_C09r. -=STO_bkCr#0.S9 -7_=h.SU -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRb"Ok#_C0 -";N3HRksMVNHO_MG8CR -.;s@R@(d:4g(:d:g4d:+dg4Oj:bCk_#d0r:Rj9fjj:ROlNEwR7wsRbHOlRbCk_#d0r9T -S=kOb_0C#r -d9Sh7=_ -.gSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCOR"bCk_#;0" -RNH3VkMs_NOHCM8G;Rd -@sR@4(:ddg:(d:4gg:d+:4jQ_upjrdj.9:jR:fjjNRlO7ERwbwRsRHlQ_upj7djwjwr9T -S=pQu_jjd_jOr97 -S=dh_jB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"pQu_jjd"N; -HkR3MNVsOM_H8RCGjs; -R(@@:g4d::d(4:dgd4g+ju:Qpd_jj:r.jf9RjR:jlENORw7wRHbsluRQpd_jjw7wr -49SQT=ujp_dOj_r -49Sh7=_ -d4SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCQR"ujp_d;j" -RNH3VkMs_NOHCM8G;R4 +H#R30CN0_blNboHMRR"RRjjjjjjj4>R-RjjjjjjjjR\MRjRjjjjj4-jR>jRjjjjj4M4\RjRRjjjj4Rjj-j>Rjjjj4\j4MRRRjjjj4jjjRR->jjjj44jj\RMRRjjj4jjjj>R-Rjjj4jjj4R\MRjRj4jjjj-jR>jRj4jjjjM4\RjRR4jjjjRjj-j>R4jjjj\j4MRRR4jjjjjjjRR->4jjjj4jj\;M" @sR@4(:ddg:(d:4gg:d+:4jQ_upjrdj.9:jR:fjjNRlO7ERwbwRsRHlQ_upj7djw.wr9T S=pQu_jjd_.Or97 S=dh_.B @@ -849,201 +676,374 @@ SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"pQu_"7j;H NRM3kVOsN_8HMC4GR;H NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9rj -=ST)_1a7rpYjS9 -7F=b#D_O )\317a_p6Y___HPH9rj +R(@@:g4d::d(4:dgd4g+ju:Qpj_7rj.:9jRf:ljRNROE7RwwblsHRpQu_r7j.S9 +Tu=Qpj_7r +.9Sh7=_ +6cSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCQR"u7p_j +";N3HRksMVNHO_MG8CR +.;N3HR#_$MH0MHPRND";4" +@sR@4(:ddg:(d:4gg:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQqr_H(S9 +Tv=1_QqvtHq_r +(9Sh7=_44d_SH +B=piB_pimQ1Z_ +O;N3HRsC0D_R0F4N; +H$R#M#_Vl8_HR4"(d(gd44dg"N; +HsR30FD_sMHoNRlC"_1vqtvQq +";N3HRV_#lVlsF#"0R1qv_vqQtR;d" +RNH3lV#_#0F01R"vv_qQRtqU +";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs +";N3HRV_#lFosHMCNlRv"1_Qqvt;q" +RNH3lV#_N#00CCso;R4 +RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('N; +HHR3M#P_FOksC1R'vv_qQrtq(;9' +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvtnqr9T +S=_1vqtvQq9rn +=S7h._4g +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"4ddg(g4d4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@(d:4g(:d:g4d:+dg41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr +69S1T=vv_qQrtq6S9 +7_=h4_.(HB +SpBi=pmi_1_ZQON; +HsR30_DC04FR;H +NRM#$_lV#_RH8"d(4g4d(d"g4;H +NR03sDs_FHNoMl"CR1qv_vqQt"N; +HVR3#Vl_s#Fl01R"vv_qQRtqd +";N3HRV_#l00F#Rv"1_QqvtUqR"N; +HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; +HVR3#Fl_sMHoNRlC"_1vqtvQq +";N3HRV_#l#00NCosCR +4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R +s@:@(4:dgd4(:ddg:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvtcqr9T +S=_1vqtvQq9rc +=S7h._46 +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"4ddg(g4d4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@(d:4g(:d:g4d:+dg41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr +d9S1T=vv_qQrtqdS9 +7_=h4_.dHB +SpBi=pmi_1_ZQON; +HsR30_DC04FR;H +NRM#$_lV#_RH8"d(4g4d(d"g4;H +NR03sDs_FHNoMl"CR1qv_vqQt"N; +HVR3#Vl_s#Fl01R"vv_qQRtqd +";N3HRV_#l00F#Rv"1_QqvtUqR"N; +HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; +HVR3#Fl_sMHoNRlC"_1vqtvQq +";N3HRV_#l#00NCosCR +4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R +s@:@(4:dgd4(:ddg:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvt.qr9T +S=_1vqtvQq9r. +=S7h._44 +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"4ddg(g4d4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@(d:4g(:d:g4d:+dg41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr +49S1T=vv_qQrtq4S9 +7_=h4_4gHB +SpBi=pmi_1_ZQON; +HsR30_DC04FR;H +NRM#$_lV#_RH8"d(4g4d(d"g4;H +NR03sDs_FHNoMl"CR1qv_vqQt"N; +HVR3#Vl_s#Fl01R"vv_qQRtqd +";N3HRV_#l00F#Rv"1_QqvtUqR"N; +HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; +HVR3#Fl_sMHoNRlC"_1vqtvQq +";N3HRV_#l#00NCosCR +4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R +s@:@(4:dgd4(:ddg:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvtjqr9T +S=_1vqtvQq9rj +=S7h4_4( +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"4ddg(g4d4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@(d:4g(:d:g4d:+dg4Oj:bCk_#d0r:Rj9fjj:ROlNEwR7wsRbHOlRbCk_#j0r9T +S=kOb_0C#r +j9Sh7=_ +.nSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCOR"bCk_#;0" +RNH3VkMs_NOHCM8G;Rj +@sR@4(:ddg:(d:4gg:d+:4jO_bkCr#0d9:jR:fjjNRlO7ERwbwRsRHlO_bkCr#04S9 +Tb=Ok#_C09r4 +=S7h(_. pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR)_1a7"pY;H +NR03sDs_FHNoMl"CRO_bkC"#0;H +NRM3kVOsN_8HMC4GR;R +s@:@(4:dgd4(:ddg:gj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r +.9SOT=bCk_#.0r97 +S=.h_UB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"kOb_0C#"N; +HkR3MNVsOM_H8RCG.s; +R(@@:g4d::d(4:dgd4g+jb:Ok#_C0:rdjf9RjR:jlENORw7wRHbslbROk#_C09rd +=STO_bkCr#0dS9 +7_=h.Sg +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRb"Ok#_C0 +";N3HRksMVNHO_MG8CR +d;s@R@(d:4g(:d:g4d:+dg4Qj:ujp_d.jr:Rj9fjj:ROlNEwR7wsRbHQlRujp_dwj7w9rj +=STQ_upj_djO9rj +=S7hj_d +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRQ_upj"dj;H +NRM3kVOsN_8HMCjGR;R +s@:@(4:dgd4(:ddg:gj+4:pQu_jjdrj.:9jRf:ljRNROE7RwwblsHRpQu_jjd7rww4S9 +Tu=Qpd_jjr_O4S9 +7_=hdS4 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRu"Qpd_jj +";N3HRksMVNHO_MG8CR +4;s@R@(d:464:n:64d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB9rg +=STB_pij_jjhY_1hgBr97 +S=iBp_jjj_1h_YrhBUS9 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; +HIR3NCsM8kbsMoHMR +4;N3HRksMVNHO_MG8CR +g;N3HR#_$MH0MHPRND";j" +@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1h4BrjS9 +Tp=Bij_jj__h1BYhr94j +=S7B_pij_jjhY_1hgBr9B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H +NRN3Is8MCbMskHRMo4N; +HkR3MNVsOM_H8RCG4 +j;N3HR#_$MH0MHPRND";j" +@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1h4Br4S9 +Tp=Bij_jj__h1BYhr944 +=S7B_pij_jjhY_1h4BrjS9 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; +HIR3NCsM8kbsMoHMR +4;N3HRksMVNHO_MG8CR;44 +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr +j9S)T=17a_pjYr97 +S=#bF_ OD\13)ap_7Y__6HHP_r +j9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC)R"17a_p;Y" +RNH3VkMs_NOHCM8G;Rj +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr +49S)T=17a_p4Yr97 +S=#bF_ OD\13)ap_7Y__6HHP_r +49SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC)R"17a_p;Y" +RNH3VkMs_NOHCM8G;R4 +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr +.9S)T=17a_p.Yr97 +S=#bF_ OD\13)ap_7Y__6HHP_r +.9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC)R"17a_p;Y" +RNH3VkMs_NOHCM8G;R. +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr +d9S)T=17a_pdYr97 +S=#bF_ OD\13)ap_7Y__6HHP_r +d9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC)R"17a_p;Y" +RNH3VkMs_NOHCM8G;Rd +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr +c9S)T=17a_pcYr97 +S=#bF_ OD\13)ap_7Y__6HHP_r +c9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC)R"17a_p;Y" +RNH3VkMs_NOHCM8G;Rc +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr +69S)T=17a_p6Yr97 +S=#bF_ OD\13)ap_7Y__6HHP_r +69SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC)R"17a_p;Y" +RNH3VkMs_NOHCM8G;R6 +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr +n9S)T=17a_pnYr97 +S=#bF_ OD\13)ap_7Y__6HHP_r +n9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC)R"17a_p;Y" +RNH3VkMs_NOHCM8G;Rn +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:a)1_Y7prj(:9jRf:ljRNROE7RwwblsHRa)1_Y7pr +(9S)T=17a_p(Yr97 +S=#bF_ OD\13)ap_7Y__6HHP_r +(9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC)R"17a_p;Y" +RNH3VkMs_NOHCM8G;R( +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:BBYp7 _v4qr:Rj9fjj:ROlNEwR7wsRbHBlRY Bp_q7vr +j9SBT=Y Bp_q7vr +j9Sh7=_g.c_SH +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRY"BB_p 7"vq;H NRM3kVOsN_8HMCjGR;H NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9r4 -=ST)_1a7rpY4S9 -7F=b#D_O )\317a_p6Y___HPH9r4 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR)_1a7"pY;H -NRM3kVOsN_8HMC4GR;H -NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9r. -=ST)_1a7rpY.S9 -7F=b#D_O )\317a_p6Y___HPH9r. -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR)_1a7"pY;H -NRM3kVOsN_8HMC.GR;H -NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9rd -=ST)_1a7rpYdS9 -7F=b#D_O )\317a_p6Y___HPH9rd -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR)_1a7"pY;H -NRM3kVOsN_8HMCdGR;H -NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9rc -=ST)_1a7rpYcS9 -7F=b#D_O )\317a_p6Y___HPH9rc -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR)_1a7"pY;H -NRM3kVOsN_8HMCcGR;H -NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9r6 -=ST)_1a7rpY6S9 -7F=b#D_O )\317a_p6Y___HPH9r6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR)_1a7"pY;H -NRM3kVOsN_8HMC6GR;H -NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9rn -=ST)_1a7rpYnS9 -7F=b#D_O )\317a_p6Y___HPH9rn -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR)_1a7"pY;H -NRM3kVOsN_8HMCnGR;H -NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9r( -=ST)_1a7rpY(S9 -7F=b#D_O )\317a_p6Y___HPH9r( -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR)_1a7"pY;H -NRM3kVOsN_8HMC(GR;H -NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+jY:BB_p 7rvq49:jR:fjjNRlO7ERwbwRsRHlBpYB v_7q9rj -=STBpYB v_7q9rj -=S7b_F#O\D 3BBYp7 _v6q_r -j9SiBp=iBp_Zm1Q;_O +R(@@:g4d::d(4:dgd4g+jY:BB_p 7rvq49:jR:fjjNRlO7ERwbwRsRHlBpYB v_7q9r4 +=STBpYB v_7q9r4 +=S7hc_.U +_HSiBp=iBp_Zm1Q;_O RNH3Ds0_HFsolMNCBR"Y Bp_q7v"N; -HkR3MNVsOM_H8RCGjN; +HkR3MNVsOM_H8RCG4N; H#R3$HM_MPH0N"DRj -";s@R@(d:4g(:d:g4d:+dg4Bj:Y Bp_q7vrj4:9jRf:ljRNROE7RwwblsHRBBYp7 _v4qr9T -S=BBYp7 _v4qr97 -S=#bF_ OD\Y3BB_p 7_vq69r4 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRBpYB v_7q +";s@R@(d:4g(:d:g4d:+dg41j:Q_Z 7rvq49:jR:fjjNRlO7ERwbwRsRHl1 QZ_q7vr +j9S1T=Q_Z 7rvqjS9 +7_=hcB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"Z1Q v_7q ";N3HRksMVNHO_MG8CR -4;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j1 QZ_q7vrj4:9jRf:ljRNROE7RwwblsHRZ1Q v_7q9rj +j;N3HR#_$MH0MHPRND";4" +@sR@4(:ddg:(d:4gg:d+:4j1 QZ_q7vrj4:9jRf:ljRNROE7RwwblsHRZ1Q v_7q9r4 =ST1 QZ_q7vr -j9Sh7=_Sc +49Sh7=_S6 B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRQ"1Z7 _v;q" -RNH3VkMs_NOHCM8G;Rj +RNH3VkMs_NOHCM8G;R4 RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:Z1Q v_7q:r4jf9RjR:jlENORw7wRHbslQR1Z7 _v4qr9T -S=Z1Q v_7q9r4 -=S7h -_6SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC1R"Q_Z 7"vq;H -NRM3kVOsN_8HMC4GR;H -NR$3#MM_HHN0PD4R""s; +s@:@(4:dcd4c:ddc:nj+4:iBp_jjj_1u_YrhB4jj:9jRf:ljRNROE7RwwblsHRiBp_jjj_1u_YrhB6S9 +Tp=Bij_jj__u1BYhr +69SB7=pji_juj__h1YB9rc +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" +RNH3sINMbC8sHkMM4oR;H +NRM3kVOsN_8HMC6GR;H +NR$3#MM_HHN0PDjR""s; R(@@:c4d::dc4:dcd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr -U9SBT=pji_juj__h1YB9rU -=S7B_pij_jjuY_1h(Br9B +n9SBT=pji_juj__h1YB9rn +=S7B_pij_jjuY_1h6Br9B SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGUN; +HkR3MNVsOM_H8RCGnN; H#R3$HM_MPH0N"DRj -";s@R@(d:4cc:d:c4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9rg -=STB_pij_jjuY_1hgBr97 -S=iBp_jjj_1u_YrhBUS9 +";s@R@(d:4cc:d:c4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9r( +=STB_pij_jjuY_1h(Br97 +S=iBp_jjj_1u_YrhBnS9 B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; HIR3NCsM8kbsMoHMR 4;N3HRksMVNHO_MG8CR -g;N3HR#_$MH0MHPRND";j" -@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1hjBr9T -S=iBp_jjj_1h_YrhBjS9 -7F=b#D_O B\3pji_jhj__h1YBr_.jS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -j;N3HR#_$MH0MHPRND";j" -@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1h4Br9T +(;N3HR#_$MH0MHPRND";j" +@sR@4(:ddc:cd:4cn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1hUBr9T +S=iBp_jjj_1u_YrhBUS9 +7p=Bij_jj__u1BYhr +(9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_juj__h1YB +";N3HRIMNsCs8bkMMHo;R4 +RNH3VkMs_NOHCM8G;RU +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dcd4c:ddc:nj+4:iBp_jjj_1u_YrhB4jj:9jRf:ljRNROE7RwwblsHRiBp_jjj_1u_YrhBgS9 +Tp=Bij_jj__u1BYhr +g9SB7=pji_juj__h1YB9rU +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" +RNH3sINMbC8sHkMM4oR;H +NRM3kVOsN_8HMCgGR;H +NR$3#MM_HHN0PDjR""s; +R(@@:64d::n44:d6(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr +j9SBT=pji_jhj__h1YB9rj +=S7b_F#O\D 3iBp_jjj_1h_Y_hB.9rj +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" +RNH3sINMbC8sHkMM4oR;H +NRM3kVOsN_8HMCjGR;H +NR$3#MM_HHN0PDjR""s; +R(@@:64d::n44:d6(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr +49SBT=pji_jhj__h1YB9r4 +=S7B_pij_jjhY_1hjBr9B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H +NRN3Is8MCbMskHRMo4N; +HkR3MNVsOM_H8RCG4N; +H#R3$HM_MPH0N"DRj +";s@R@(d:464:n:64d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB9r. +=STB_pij_jjhY_1h.Br97 S=iBp_jjj_1h_YrhB4S9 -7p=Bij_jj__h1BYhr -j9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_jhj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;R4 -RNH3M#$_HHM0DPNR""j;R -s@:@(4:d6n44:d(6:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhB.S9 -Tp=Bij_jj__h1BYhr -.9SB7=pji_jhj__h1YB9r4 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC.GR;H -NR$3#MM_HHN0PDjR""s; -R(@@:64d::n44:d6(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr -d9SBT=pji_jhj__h1YB9rd -=S7B_pij_jjhY_1h.Br9B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGdN; -H#R3$HM_MPH0N"DRj -";s@R@(d:464:n:64d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB9rc -=STB_pij_jjhY_1hcBr97 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; +HIR3NCsM8kbsMoHMR +4;N3HRksMVNHO_MG8CR +.;N3HR#_$MH0MHPRND";j" +@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1hdBr9T S=iBp_jjj_1h_YrhBdS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -c;N3HR#_$MH0MHPRND";j" -@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1h6Br9T -S=iBp_jjj_1h_YrhB6S9 7p=Bij_jj__h1BYhr -c9SiBp=iBp_Zm1Q;_O +.9SiBp=iBp_Zm1Q;_O RNH3Ds0_HFsolMNCBR"pji_jhj__h1YB ";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;R6 +RNH3VkMs_NOHCM8G;Rd RNH3M#$_HHM0DPNR""j;R -s@:@(4:d6n44:d(6:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhBnS9 +s@:@(4:d6n44:d(6:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhBcS9 Tp=Bij_jj__h1BYhr -n9SB7=pji_jhj__h1YB9r6 +c9SB7=pji_jhj__h1YB9rd pSBip=Bi1_mZOQ_;H NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCnGR;H +NRM3kVOsN_8HMCcGR;H NR$3#MM_HHN0PDjR""s; R(@@:64d::n44:d6(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr -(9SBT=pji_jhj__h1YB9r( -=S7B_pij_jjhY_1hnBr9B +69SBT=pji_jhj__h1YB9r6 +=S7B_pij_jjhY_1hcBr9B SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG(N; +HkR3MNVsOM_H8RCG6N; H#R3$HM_MPH0N"DRj -";s@R@(d:464:n:64d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB9rU -=STB_pij_jjhY_1hUBr97 -S=iBp_jjj_1h_YrhB(S9 +";s@R@(d:464:n:64d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB9rn +=STB_pij_jjhY_1hnBr97 +S=iBp_jjj_1h_YrhB6S9 B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; HIR3NCsM8kbsMoHMR 4;N3HRksMVNHO_MG8CR -U;N3HR#_$MH0MHPRND";j" -@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1hgBr9T -S=iBp_jjj_1h_YrhBgS9 +n;N3HR#_$MH0MHPRND";j" +@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1h(Br9T +S=iBp_jjj_1h_YrhB(S9 7p=Bij_jj__h1BYhr -U9SiBp=iBp_Zm1Q;_O +n9SiBp=iBp_Zm1Q;_O RNH3Ds0_HFsolMNCBR"pji_jhj__h1YB ";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;Rg +RNH3VkMs_NOHCM8G;R( RNH3M#$_HHM0DPNR""j;R -s@:@(4:d6n44:d(6:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhB4 -j9SBT=pji_jhj__h1YBjr497 -S=iBp_jjj_1h_YrhBgS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR;4j -RNH3M#$_HHM0DPNR""j;R -s@:@(4:d6n44:d(6:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhB4 -49SBT=pji_jhj__h1YB4r497 -S=iBp_jjj_1h_YrhB4 -j9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_jhj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G4R4;H +s@:@(4:d6n44:d(6:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhBUS9 +Tp=Bij_jj__h1BYhr +U9SB7=pji_jhj__h1YB9r( +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" +RNH3sINMbC8sHkMM4oR;H +NRM3kVOsN_8HMCUGR;H NR$3#MM_HHN0PDjR""s; R(@@:c4d::dc4:dcd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr j9SBT=pji_juj__h1YB9rj @@ -1085,174 +1085,150 @@ NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" RNH3sINMbC8sHkMM4oR;H NRM3kVOsN_8HMCcGR;H NR$3#MM_HHN0PDjR""s; -R(@@:c4d::dc4:dcd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr -69SBT=pji_juj__h1YB9r6 -=S7B_pij_jjuY_1hcBr9B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG6N; -H#R3$HM_MPH0N"DRj -";s@R@(d:4cc:d:c4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9rn -=STB_pij_jjuY_1hnBr97 -S=iBp_jjj_1u_YrhB6S9 +R(@@:g4d::d(4:dgd4g+jp:Bid_jjR_]fjj:ROlNEwR7wsRbHBlRpji_d]j_ +=STB_pij_dj]7 +S=.h_cH(_ +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pij_dj] +";N3HR#_$MH0MHPRND";4" +@sR@4(:ddg:(d:4gg:d+:4j) 1az_majRf:ljRNROE7RwwblsHR1) ma_zSa +T =)1_ am +zaSh7=_n.c_SH B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -n;N3HR#_$MH0MHPRND";j" -@sR@4(:ddc:cd:4cn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1h(Br9T -S=iBp_jjj_1u_YrhB(S9 -7p=Bij_jj__u1BYhr -n9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_juj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;R( +O;N3HRs_0DFosHMCNlR ")1_ am"za;H +NRM3H_FDFb;Rc RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:1p7_jjj_aQhR:fjjNRlO7ERwbwRsRHlp_71j_jjQ -haSpT=7j1_jQj_hSa -7_=hcS. +s@:@(4:dgd4(:ddg:gj+4:_71j_jj Ahqpf RjR:jlENORw7wRHbsl1R7_jjj_q hA +p S7T=1j_jjh_ q Ap +=S7hj_n +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR7j1_j j_hpqA +";N3HR#_$MH0MHPRND";j" +@sR@4(:ddg:(d:4gg:d+:4j)jW_j7j_vfqRjR:jlENORw7wRHbslWR)_jjj_q7v +=ST)jW_j7j_vSq +7_=hdSg B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR7"p1j_jjh_Qa -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4jqj1_djj_j1j_YRhBfjj:ROlNEwR7wsRbHqlR1d_jjj_jjY_1hSB -T1=q_jjd_jjj_h1YB7 -S=ch_dB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_q1j_djj_jj1BYh"N; +O;N3HRs_0DFosHMCNlRW")_jjj_q7v"N; H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg4qj:1j_jjh_QajRf:ljRNROE7RwwblsHR_q1j_jjQ -haSqT=1j_jjh_Qa7 -S=ch_cB +";s@R@(d:4g(:d:g4d:+dg4)j:Wj_jjh_QajRf:ljRNROE7RwwblsHR_)Wj_jjQ +haS)T=Wj_jjh_Qa7 +S=ch_jB SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_q1j_jjQ"ha;H +HsR30FD_sMHoNRlC"_)Wj_jjQ"ha;H NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+j1:7q4Bi_aQhR:fjjNRlO7ERwbwRsRHl7B1qiQ4_hSa -T1=7q4Bi_aQh -=S7h6_c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR7B1qiQ4_h;a" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:_qj7Rvqfjj:ROlNEwR7wsRbHqlRjv_7qT -S=_qj7 -vqSh7=_ -cnSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"jv_7q -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4jqj1_j7j_vfqRjR:jlENORw7wRHbsl1Rq_jjj_q7v -=STqj1_j7j_vSq -7_=hcSU -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1"q_jjj_q7v"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg47j:1j_jjv_7qjRf:ljRNROE7RwwblsHR_71j_jj7 -vqS7T=1j_jjv_7q7 -S=ch_gB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_71j_jj7"vq;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+j1:7_jjd_R7jfjj:ROlNEwR7wsRbH7lR1d_jjj_7 -=ST7j1_d7j_j7 -S=6h_jB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_71j_dj7;j" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:_q1j_dj7fjRjR:jlENORw7wRHbsl1Rq_jjd_ -7jSqT=1d_jjj_7 -=S7hg_U_SH -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1"q_jjd_"7j;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+j :MX1u_u qB_R7jfjj:ROlNEwR7wsRbHMlR _Xu1Buq j_7 -=STMu X_q1uB7 _j7 -S=6h_6B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"XM uu_1q_B 7;j" -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:qeu_f7RjR:jlENORw7wRHbsluReq -_7SeT=u7q_ -=S7hn_6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRe_uq7 -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4j7Baqij_7R:fjjNRlO7ERwbwRsRHl7Baqij_7 -=ST7Baqij_7 -=S7h(_6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR7Baqij_7"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg4Bj:pji_d]j_R:fjjNRlO7ERwbwRsRHlB_pij_dj]T -S=iBp_jjd_S] -7_=h6SU -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bid_jj"_];H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+j :)1_ amRzafjj:ROlNEwR7wsRbH)lR a1 _amz -=ST) 1az_ma7 -S=6h_gB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"1) ma_z;a" -RNH3_HMDbFFR -c;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j7j1_j j_hpqA jRf:ljRNROE7RwwblsHR_71j_jj AhqpS -T1=7_jjj_q hA -p Sh7=_ -njSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC7R"1j_jjh_ q Ap"N; -H#R3$HM_MPH0N"DRj -";s@R@(d:4g(:d:g4d:+dg4Aj:tiqB_jjd_aQhR:fjjNRlO7ERwbwRsRHlABtqid_jjh_QaT -S=qAtBji_dQj_hSa -7_=hdSd -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRt"Aq_Bij_djQ"ha;H -NRM3H_FDFb;R4 -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:_AtjRjjfjj:ROlNEwR7wsRbHAlRtj_jjw7w -=STAjt_jOj_ -=S7hc_d -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRAjt_j;j" -RNH3_HMDbFFR -j;s@R@(d:4g(:d:g4d:+dg4qj:vqQt_1Az_q hA_p 7_vq]]QtR:fjjNRlO7ERwbwRsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]T -S=QqvtAq_z 1_hpqA v_7qQ_]tS] -7_=hdS6 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRv"qQ_tqA_z1 Ahqp7 _v]q_Q"t];H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+jv:qQ_tqA_z1 Ahqp7 _vpq_mfWRjR:jlENORw7wRHbslvRqQ_tqA_z1 Ahqp7 _vpq_mSW -Tv=qQ_tqA_z1 Ahqp7 _vpq_mSW -7_=hdSn -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRv"qQ_tqA_z1 Ahqp7 _vpq_m;W" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:qev_aQhR:fjjNRlO7ERwbwRsRHle_vqQ -haSeT=vQq_hSa -7_=hdS( -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRv"eqh_Qa -";N3HRHDM_FRFbdN; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg4zj:7j1_jQj_hfaRjR:jlENORw7wRHbsl7Rz1j_jjh_QaT -S=1z7_jjj_aQh -=S7hg_d -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRz_71j_jjQ"ha;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+jW:)_jjj_q7vR:fjjNRlO7ERwbwRsRHl)jW_j7j_vSq -TW=)_jjj_q7v -=S7hj_c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR)jW_j7j_v;q" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:_)Wj_jjQRhafjj:ROlNEwR7wsRbH)lRWj_jjh_QaT -S=_)Wj_jjQ +R(@@:g4d::d(4:dgd4g+j7:p1j_jjh_QajRf:ljRNROE7RwwblsHR1p7_jjj_aQh +=STp_71j_jjQ haSh7=_ c4SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"Wj_jjh_Qa +RNH3Ds0_HFsolMNCpR"7j1_jQj_h;a" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dgd4(:ddg:gj+4:qAtBji_dQj_hfaRjR:jlENORw7wRHbsltRAq_Bij_djQ +haSAT=tiqB_jjd_aQh +=S7h._c +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRABtqid_jjh_Qa +";N3HRHDM_FRFb4N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4g(:d:g4d:+dg4qj:1d_jjj_jjY_1hfBRjR:jlENORw7wRHbsl1Rq_jjd_jjj_h1YBT +S=_q1j_djj_jj1BYh +=S7hd_c +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRqj1_djj_j1j_Y"hB;H +NR$3#MM_HHN0PD4R""s; +R(@@:g4d::d(4:dgd4g+j1:q_jjj_aQhR:fjjNRlO7ERwbwRsRHlqj1_jQj_hSa +T1=q_jjj_aQh +=S7hc_c +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRqj1_jQj_h;a" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dgd4(:ddg:gj+4:q71B_i4QRhafjj:ROlNEwR7wsRbH7lR1iqB4h_QaT +S=q71B_i4Q +haSh7=_ +c6SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC7R"1iqB4h_Qa ";N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4jABtqid_jjh_QaR_7fjj:ROlNEwR7wsRbHAlRtiqB_jjd_aQh_S7 -Tt=Aq_Bij_djQ_ha77 -S=QqvtAq_z 1_hpqA v_7qQ_]tj]__l#Jk_GNHB +@sR@4(:ddg:(d:4gg:d+:4jq7j_vfqRjR:jlENORw7wRHbsljRq_q7v +=STq7j_vSq +7_=hcSn +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRj"q_q7v"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4g(:d:g4d:+dg4qj:1j_jjv_7qjRf:ljRNROE7RwwblsHR_q1j_jj7 +vqSqT=1j_jjv_7q7 +S=ch_UB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_q1j_jj7"vq;H +NR$3#MM_HHN0PD4R""s; +R(@@:g4d::d(4:dgd4g+j1:7_jjj_q7vR:fjjNRlO7ERwbwRsRHl7j1_j7j_vSq +T1=7_jjj_q7v +=S7hg_c +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR7j1_j7j_v;q" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dgd4(:ddg:gj+4:_71j_dj7fjRjR:jlENORw7wRHbsl1R7_jjd_ +7jS7T=1d_jjj_7 +=S7hj_6 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR7j1_d7j_j +";N3HR#_$MH0MHPRND";4" +@sR@4(:ddg:(d:4gg:d+:4jqj1_d7j_jjRf:ljRNROE7RwwblsHR_q1j_dj7Sj +T1=q_jjd_ +7jSh7=__gjHB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_q1j_dj7;j" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dgd4(:ddg:gj+4:XM uu_1q_B 7fjRjR:jlENORw7wRHbsl RMX1u_u qB_ +7jSMT= _Xu1Buq j_7 +=S7h6_6 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRMu X_q1uB7 _j +";N3HR#_$MH0MHPRND";j" +@sR@4(:ddg:(d:4gg:d+:4je_uq7jRf:ljRNROE7RwwblsHRqeu_S7 +Tu=eq +_7Sh7=_ +6nSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCeR"u7q_"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4g(:d:g4d:+dg47j:aiqB_R7jfjj:ROlNEwR7wsRbH7lRaiqB_ +7jS7T=aiqB_ +7jSh7=_ +6(SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC7R"aiqB_"7j;H +NR$3#MM_HHN0PD4R""s; +R(@@:g4d::d(4:dgd4g+jt:A_jjjR:fjjNRlO7ERwbwRsRHlAjt_jwj7wT +S=_Atj_jjO7 +S=dh_dB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_Atj"jj;H +NRM3H_FDFb;Rj +@sR@4(:ddg:(d:4gg:d+:4jqtvQqz_A1h_ q Ap_q7v_t]Q]jRf:ljRNROE7RwwblsHRQqvtAq_z 1_hpqA v_7qQ_]tS] +Tv=qQ_tqA_z1 Ahqp7 _v]q_Q +t]Sh7=_ +dcSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"vqQt_1Az_q hA_p 7_vq]]Qt"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4g(:d:g4d:+dg4qj:vqQt_1Az_q hA_p 7_vqpRmWfjj:ROlNEwR7wsRbHqlRvqQt_1Az_q hA_p 7_vqp +mWSqT=vqQt_1Az_q hA_p 7_vqp +mWSh7=_ +d6SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"vqQt_1Az_q hA_p 7_vqp"mW;H +NR$3#MM_HHN0PD4R""s; +R(@@:g4d::d(4:dgd4g+jv:eqh_QajRf:ljRNROE7RwwblsHRqev_aQh +=STe_vqQ +haSh7=_ +dnSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCeR"vQq_h;a" +RNH3_HMDbFFR +d;N3HR#_$MH0MHPRND";4" +@sR@4(:ddg:(d:4gg:d+:4jz_71j_jjQRhafjj:ROlNEwR7wsRbHzlR7j1_jQj_hSa +T7=z1j_jjh_Qa7 +S=dh_UB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"1z7_jjj_aQh"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4g(:d:g4d:+dg4Aj:tiqB_jjd_aQh_f7RjR:jlENORw7wRHbsltRAq_Bij_djQ_ha7T +S=qAtBji_dQj_h7a_ +=S7h6_.(B SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"qAtBji_dQj_h7a_"N; H#R3$HM_MPH0N"DR4 @@ -1310,15 +1286,15 @@ fsRjR:jlENOR_AQ7RQ)blsHR_q1j jjSqm=1j_jj _OS=Qjk_McNj#_jHj_ mSQ=_q1j -jjS=m hU_g;b +jjS=m k_M4Nj#_jHj_;b oR;Qm RNb#_$Mb0FsVoDN#;RU fsRjR:jlENOR_AQ7RQ)blsHR_)Wj jjS)m=Wj_jj _OS=Qj)jW_jQj_hSa Q)m=Wj_jjm -S _=hg -U;oQbRmN; +S M=k4#_N_jjj_ +H;oQbRmN; b$R#MF_bsD0VNRo#Us; R:fjjNRlOAERQQ_7)sRbH7lR1d_jjm S=_71j_djOQ @@ -1331,15 +1307,15 @@ R:fjjNRlOAERQQ_7)sRbHzlR7j1_jSj m7=z1j_jj _OS=Qjk_Mnk_8#j_jjHQ Sm7=z1j_jjm -S _=hg -U;oQbRmN; +S M=k4#_N_jjj_ +H;oQbRmN; b$R#MF_bsD0VNRo#Us; R:fjjNRlOAERQQ_7)sRbHplR7j1_jSj m7=p1j_jj _OS=Qjk_MnD_8#j_jjHQ Sm7=p1j_jjm -S _=hg -U;oQbRmN; +S M=k4#_N_jjj_ +H;oQbRmN; b$R#MF_bsD0VNRo#Us; R:fjjNRlOAERQQ_7)sRbH1lRQrZ jS9 mQ=1ZO _r @@ -1550,125 +1526,341 @@ sfjj:ROlNEQRA_)7QRHbslWR) =Sm)OW_ jSQ=_)Wj_jj7 vqS=Qm)SW -mh =_;gg -RobQ -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEQwAzRHbslBRwr -j9Swm=Br_OjS9 -Qwj=B9rj;R -sfjj:ROlNEARQzbwRsRHlw4Br9m -S=_wBO9r4 -jSQ=rwB4 -9;sjRf:ljRNROEmwAzRHbslvRqQ_tqq)77_q hA -p Sqm=vqQt_7q7)h_ q Ap -jSQ=7th;R -sfjj:ROlNEARmzbwRsRHlqtvQqz_A1q_7a7q_QS) -mv=qQ_tqA_z17qqa_)7Q -jSQ=QqvtAq_z71_q_aq7_Q)Os; -R:fjjNRlOmERARzwblsHRQqvtAq_z 1_hpqA m_pWm -S=QqvtAq_z 1_hpqA m_pWQ -SjM=k4l_NH_oNL_k#CLMNDDC_FHI_;R -sfjj:ROlNEARmzbwRsRHlqtvQqz_A1h_ q Ap_t]Q]m -S=QqvtAq_z 1_hpqA Q_]tS] -Qhj=_;(U -fsRjR:jlENORwAzab]RsRHlBhQQ -=SmBhQQ -jSQ=BeB - Sm=UkM_HOHMo; +mh =_j4j;b +oR;Qm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENORzQAwsRbHwlRB9rj +=SmwOB_r +j9S=QjwjBr9s; +R:fjjNRlOQERARzwblsHRrwB4S9 +mB=w_4Or9Q +SjB=wr;49 +fsRjR:jlENORzmAwsRbHqlRvqQt_7q7)h_ q Ap +=SmqtvQq7_q7 )_hpqA Q +Sjh=t7s; +R:fjjNRlOmERARzwblsHRQqvtAq_z71_q_aq7 +Q)Sqm=vqQt_1Az_a7qqQ_7)Q +Sjv=qQ_tqA_z17qqa_)7Q_ +O;sjRf:ljRNROEmwAzRHbslvRqQ_tqA_z1 Ahqpp _mSW +mv=qQ_tqA_z1 Ahqpp _mSW +Qkj=MN4_lNHo_#Lk_NCML_DCD_FIHs; +R:fjjNRlOmERARzwblsHRQqvtAq_z 1_hpqA Q_]tS] +mv=qQ_tqA_z1 Ahqp] _Q +t]S=Qjhj_U;R +sfjj:ROlNEzRAwRa]blsHRQBQhm +S=QBQhQ +SjM=k6H_OHSM +mk =MOU_H_HMHo; b;Rm RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \BpYB v_7q__64 +_HShm=_U.c_SH +Qhj=_U.c_4H_ +4SQ=.h_6j(_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__Hj__S4 +m_=h._cgH +_4S=Qjqj1_jHj_ +4SQ=(h_g;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \BpYB v_7q__6j +_HShm=_g.c_SH +Qhj=_g.c_4H_ +4SQ=.h_6j(_;R +sfjj:ROlNEhRq7b.RsRHltn_4U +_4Sbm=FO#_D3 \H_bD4Q +Sj_=h._.nHQ +S4_=h._.cHs; +R:fjjNRlOqERhR7.blsHR4t_nSU +mF=b#D_O H\3bSD +Qbj=FO#_D3 \H_bD4Q +S4_=h._.6Hs; +R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs__ +N.Skm=M_..LsCs +jSQ=.kM.C_Ls4s__Sj +Qh4=_n44;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH._N_44_ +=Smh4_4n +_4S=QjwOB_r +j9S=Q4wOB_r;49 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj___N.4 +_.Shm=_n44_S. +Qqj=_4Or(S9 +Qq4=_4Hrn +9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HN4.__Sd +m_=h4_4ndQ +Sj_=qHUr49Q +S4_=qHgr49s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_.__4cm +S=4h_4cn_ +jSQ=4h_44n_ +4SQ=4h_4.n_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH._N_S4 +m_=h4 +4nS=Qjh4_4n +_cS=Q4h4_4n;_d +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MnLjo_djj___N.4m +S=#bF_ OD\M3kno_L_jjd_S4 +QMj= _Xu1Buq j_7 +4SQ=_q1j_dj7 +j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLn_od_jj__jNS. +mF=b#D_O k\3MLn_od_jjQ +SjF=b#D_O k\3MLn_od_jj +_4S=Q4B_pij_jj7 +j;sjRf:ljRNROEq.h7RHbslMRk4v_1_Qqvt6q__jH__S4 +m_=h4_46j +_4S=Qjhd_U_SH +Q14=vv_qQ_tqH9r6;R +sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_H6__Sj +m_=h4_46jQ +Sj_=h4_46j +_4S=Q41qv_vqQt_(Hr9s; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vq.J_#lNkG_4H_ +=Smhc_.6__j4Q +Sj_=hUHc_ +4SQ=_)Wj_jjHs; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vq.J_#lNkG_SH +m_=h._c6jQ +Sj_=h._c6j +_4S=Q4b_F#O\D 3.kMco_LN_O j_djH_M0H__jjs; +R:fjjNRlOqERhR7.blsHRiBp_jjd_.]__4H_ +=Smhc_.(__H4Q +Sj_=hgHc_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslpRBid_jj__]. +_HShm=_(.c_SH +Qhj=_(.c_4H_ +4SQ=#bF_ OD\M3k.Lc_o NO_jjd_0HM_jH__ +j;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_46__4H_ +=Smhc_.U__H4Q +Sj1=q_jjj_SH +Qh4=__(UHs; +R:fjjNRlOqERhR7.blsHR1) ma_zja__l#Jk_GN6 +_4S)m= a1 _amz_#j_JGlkN__64Q +Sj =)1_ am_zajJ_#lNkG_S4 +Q)4=17a_p4Yr9s; +R:fjjNRlOqERhR7.blsHR1) ma_zja__l#Jk_GN6m +S=1) ma_zja__l#Jk_GN6Q +Sj =)1_ am_zajJ_#lNkG_46_ +4SQ=a)1_Y7pr;.9 +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jj._N_4j_ +=Smh(_U_S4 +Qqj=1j_jj +_HS=Q4ABtqid_jjh_Qa;_H +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jj._N_.j_ +=Smh(_U_S. +Q)j=Wj_jj +_OS=Q4Mu X_q1uB7 _j;_H +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jj._N_Sj +m_=hUS( +Qhj=__U(4Q +S4_=hU.(_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMco_LN_O j_djH_M0H__jF4._ +=Smb_F#O\D 3.kMco_LN_O j_djH_M0H__jj +_4S=Qjqj1_jHj_ +4SQ=qAtBji_dQj_hHa_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMco_LN_O j_djH_M0H__jF.._ +=Smb_F#O\D 3.kMco_LN_O j_djH_M0H__jj +_.S=Qjhd_n_SH +Qh4=_c4j_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.cLOoN d_jjM_H0__Hj._F +=Smb_F#O\D 3.kMco_LN_O j_djH_M0H__jjQ +SjF=b#D_O k\3M_.cLOoN d_jjM_H0__Hj__j4Q +S4F=b#D_O k\3M_.cLOoN d_jjM_H0__Hj__j.s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_FH_. +_4Shm=__(.j +_4S=Qj1qv_vqQt_HH_r +(9S=Q4Mu X_q1uB7 _js; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_FH_.m +S=(h_. +_jS=Qjh._(_4j_ +4SQ=#bF_ OD\M3k6o_LN_O j_djH_M08;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M(O_D j_jj84j_ +=Smb_F#O\D 3(kM_ OD_jjj__8j4Q +SjF=b#D_O B\3pji_jhj__h1YBr_.jS9 +Qq4=1d_jjj_jjY_1hHB_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3(kM_ OD_jjj_ +8jSbm=FO#_D3 \k_M(O_D j_jj8Sj +Qbj=FO#_D3 \k_M(O_D j_jj84j_ +4SQ=XM uu_1q_B 7 +j;sjRf:ljRNROEq.h7RHbslMRk.V4_bOk_#__jN4._ +=Smk4M._kVb__O#4Q +Sju=wz _1h_1 HQ +S4_=h4;4n +fsRjR:jlENOR7qh.sRbHklRM_.4V_bkOj#__ +N.Skm=M_.4V_bkOS# +Qkj=M_.4V_bkO4#_ +4SQ=.kM.C_Ls4s_;R +sfjj:ROlNEhRq7b.RsRHlk.M._sLCs__jN4.__Sj +mM=k.L._C_ss4 +_jS=Qjk.M._sLCs +_4S=Q4w_uz11 h ;_O +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjMdC_ +=Smb_F#O\D 3gkM_ OD_jjj__MCdQ +Sjb=Ok#_C09rj +4SQ=kOb_0C#r;.9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjMcC_ +=Smb_F#O\D 3gkM_ OD_jjj__MCcQ +SjF=b#D_O k\3MOg_Dj _jMj_C +_4S=Q4b_F#O\D 3gkM_ OD_jjj__MC.s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_M +=Smb_F#O\D 3gkM_ OD_jjj_ +MCS=Qjb_F#O\D 3gkM_ OD_jjj__MCcQ +S4F=b#D_O k\3MOg_Dj _jMj_C;_d +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M6O_D j_jjb4C_ +=Smb_F#O\D 36kM_ OD_jjj__bC4Q +Sjb=Ok#_C0r_HdS9 +QB4=pji_juj_ s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k6D_O j_jjC_b_S. +mF=b#D_O k\3MO6_Dj _jbj_C +_.S=QjO_bkCr#04S9 +QO4=bCk_#.0r9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k6D_O j_jjC_b_Sd +mF=b#D_O k\3MO6_Dj _jbj_C +_dS=Qjb_F#O\D 36kM_ OD_jjj__bC4Q +S4F=b#D_O k\3MO6_Dj _jbj_C;_. +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M6O_D j_jjbSC +mF=b#D_O k\3MO6_Dj _jbj_CQ +SjF=b#D_O k\3MO6_Dj _jbj_C +_dS=Q4O_bkC_#0H9rj;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM(D_O j_jjC_M__8j4m +S=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_S4 +QOj=bCk_#d0r9Q +S4_=h4_gg4s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.O(_Dj _jMj_Cj_8_j._ +=Smb_F#O\D 3.kM(D_O j_jjC_M__8j.Q +Sjv=eqh_Qa +_HS=Q4e_uq7;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(M._ OD_jjj__MC8dj_ +=Smb_F#O\D 3.kM(D_O j_jjC_M__8jdQ +SjF=b#D_O k\3M_.(O_D j_jjM8C_j +_4S=Q4b_F#O\D 3.kM(D_O j_jjC_M__8j.s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.O(_Dj _jMj_Cj_8 +=Smb_F#O\D 3.kM(D_O j_jjC_M_ +8jS=Qjb_F#O\D 3.kM(D_O j_jjC_M__8jdQ +S4b=Ok#_C09r.;R +sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlG(N__S4 +m =)1_ am_zajJ_#lNkG_4(_ +jSQ=1) ma_zja__l#Jk_GN6Q +S41=)ap_7Y9rd;R +sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlG(N__S. +m =)1_ am_zajJ_#lNkG_.(_ +jSQ=a)1_Y7pr +c9S=Q4)_1a7rpY6 +9;sjRf:ljRNROEq.h7RHbsl R)1_ am_zajJ_#lNkG_d(_ +=Sm) 1az_ma__j#kJlG(N__Sd +Q)j= a1 _amz_#j_JGlkN__(4Q +S4 =)1_ am_zajJ_#lNkG_.(_;R +sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlG(N_ +=Sm) 1az_ma__j#kJlG(N_ +jSQ=1) ma_zja__l#Jk_GN( +_dS=Q4)_1a7rpYn +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__NH_nr_.jS9 +m_=h4 +n6S=Qjhn_46 +_dS=Q4b_F#O\D 3(kM_ OD_jjj__8jHs; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_4Skm=MO6_H_HM4Q +Sj1=q_jjd__7jHQ +S4_=qOjr.9s; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_.Skm=MO6_H_HM.Q +Sj_=qO4r.9Q +S4_=qO.r.9s; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_dSkm=MO6_H_HMdQ +Sj_=qOdr.9Q +S4_=qHcr.9s; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_cSkm=MO6_H_HMcQ +Sj_=qH6r.9Q +S4_=qHnr.9s; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_6Skm=MO6_H_HM6Q +Sj_=qH4rd9Q +S4_=qH(r.9s; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_nSkm=MO6_H_HMnQ +Sj_=qHUr.9Q +S4_=qHgr.9s; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_(Skm=MO6_H_HM(Q +SjM=k6H_OH4M_ +4SQ=6kM_HOHM;_. +fsRjR:jlENOR7qh.sRbHklRMO6_H_HMUm +S=6kM_HOHM +_US=Qjk_M6OMHH_Sd +Qk4=MO6_H_HMcs; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_gSkm=MO6_H_HMgQ +SjM=k6H_OH6M_ +4SQ=6kM_HOHM;_n +fsRjR:jlENOR7qh.sRbHklRMO6_H_HM4Sj +mM=k6H_OH4M_jQ +SjM=k6H_OH(M_ +4SQ=6kM_HOHM;_U +fsRjR:jlENOR7qh.sRbHklRMO6_H_HM4S4 +mM=k6H_OH4M_4Q +SjM=k6H_OHgM_ +4SQ=Hq_r9dj;R +sfjj:ROlNEhRq7b.RsRHlk_M6OMHH +=Smk_M6OMHH +jSQ=6kM_HOHMj_4 +4SQ=6kM_HOHM4_4;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__MC4m +S=#bF_ OD\M3kgD_O j_jjC_M_S4 +QOj=bCk_#H0_r +49S=Q4O_bkC_#0H9rd;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__MC.m +S=#bF_ OD\M3kgD_O j_jjC_M_S. +QBj=pji_jhj_ Q +S4u=eq__7Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9r. +=Smh._44 +_HS=Qjh._44__H4Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__H49rd +=Smh._4d__H4Q +Sj_=h4_6.HQ +S4_=h4_6dHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_.dS9 +m_=h4_.dH +_.S=Qjh6_4c +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9rd +=Smh._4d +_HS=Qjh._4d__H4Q +S4_=h4_.dH;_. +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__H49rc +=Smh._46__H4Q +Sj_=h4_66HQ +S4_=h4_6nHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9rc +=Smh._46 +_HS=Qjh._46__H4Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__H49rn +=Smh._4g__H4Q +Sj_=h4_njHQ +S4_=h4_n4Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9rn +=Smh._4g +_HS=Qjh._4g__H4Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HN4n__jjr9m +S=4h_n4._ +jSQ=4h_dS6 +QA4= _))Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HHn_Nr +j9Shm=_.4n +jSQ=4h_n4._ +4SQ=iBp_jjj__u Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HHn_N_4j_r +j9Shm=_d4n_S4 +Qhj=_d4d +4SQ=)A );_H fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HNjn_r j9Shm=_d4n jSQ=4h_n4d_ 4SQ=iBp_jjj__h Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HHn_N_j4_r -j9Shm=_.4n_S4 -Qhj=_64d -4SQ=)A );_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HNjnr9m -S=4h_nS. -Qhj=_.4n_S4 -QB4=pji_juj_ ;_H -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jNjd__j4_ -=Smh(_4n__4jQ -Sj_=h4_(n4Q -S4W=)_jjj_ -O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_Nj_d -_jShm=_n4( -jSQ=4h_(4n__Sj -QM4= _Xu1Buq j_7_ -H;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_#._JGlkN -_4S7m=1j_jjv_7q__.#kJlG4N_ -jSQ=_)Wj_jjHQ -S4F=b#D_O k\3M_.cLOoN d_jjM_H0s; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vq.J_#lNkG -=Sm7j1_j7j_v.q__l#Jk -GNS=Qj7j1_j7j_v.q__l#Jk_GN4Q -S4F=b#D_O k\3M_cjLOoN d_jjM_H0__4Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__j49r4 -=Smh4_4g__H4Q -Sj_=h(Hn_ -4SQ=Uh_d;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj9r4 -=Smh4_4g -_HS=Qjh4_4g__H4Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tq6__Hj -_4Shm=_644_4j_ -jSQ=Uh_c -_HS=Q41qv_vqQt_6Hr9s; -R:fjjNRlOqERhR7.blsHR4kM__1vqtvQq__6H -_jShm=_644_Sj -Qhj=_644_4j_ -4SQ=_1vqtvQqr_H( -9;sjRf:ljRNROEq.h7RHbsl_Rt4_nU4m -S=#bF_ OD\b3HD -_4S=Qjh._.n -_HS=Q4h._.c;_H -fsRjR:jlENOR7qh.sRbHtlR_U4n -=Smb_F#O\D 3DHb -jSQ=#bF_ OD\b3HD -_4S=Q4h._.6;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjM.C_ -=Smb_F#O\D 3gkM_ OD_jjj__MC.Q -Sjp=Bij_jj _h -4SQ=qeu_H7_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__MCdm -S=#bF_ OD\M3kgD_O j_jjC_M_Sd -QOj=bCk_#j0r9Q -S4b=Ok#_C09r.;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__MCcm -S=#bF_ OD\M3kgD_O j_jjC_M_Sc -Qbj=FO#_D3 \k_MgO_D j_jjM4C_ -4SQ=#bF_ OD\M3kgD_O j_jjC_M_ -.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MOg_Dj _jMj_Cm -S=#bF_ OD\M3kgD_O j_jjC_M -jSQ=#bF_ OD\M3kgD_O j_jjC_M_Sc -Qb4=FO#_D3 \k_MgO_D j_jjMdC_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44Hc_N_4j_r -.9Shm=_n4g_S4 -QOj=bCk_#40r9Q -S4b=Ok#_C0r_Hj -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__HNjc_r -.9Shm=_n4g -jSQ=4h_g4n_ -4SQ=kOb_0C#_.Hr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_NH_cr_4.S9 -m_=h4_g64Q -Sj_=h4 -UUS=Q4O_bkCr#0j -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__HN.cr9m -S=4h_gS6 -Qhj=_64g_S4 -QO4=bCk_#H0_r;d9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcM._NLoOj _dHj_M40_ -=Smb_F#O\D 3.kMco_LN_O j_djH_M04Q -Sj_=h4_(n4Q -S4F=b#D_O k\3M_..LOoN d_jjM_H0s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.Lc_o NO_jjd_0HM -=Smb_F#O\D 3.kMco_LN_O j_djH -M0S=Qjb_F#O\D 3.kMco_LN_O j_djH_M04Q -S4F=b#D_O k\3M_.(LOoN d_jjM_H0s; R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HHn_N_4._r j9Shm=_64n_S4 Qhj=_d4d_SH @@ -1681,221 +1873,41 @@ fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HN.n__jdr9m S=4h_nd6_ jSQ=4h_n46_ 4SQ=4h_n.6_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH___Nn.9rj -=Smhn_46Q -Sj_=h4_n6dQ -S4F=b#D_O k\3MO(_Dj _j8j_j;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HNjn__j4r9m -S=4h_n4d_ -jSQ=4h_dSd -QA4= _))Hs; -R:fjjNRlOqERhR7.blsHR1) ma_zja__l#Jk_GN( -_dS)m= a1 _amz_#j_JGlkN__(dQ -Sj =)1_ am_zajJ_#lNkG_4(_ -4SQ=1) ma_zja__l#Jk_GN(;_. -fsRjR:jlENOR7qh.sRbH)lR a1 _amz_#j_JGlkN -_(S)m= a1 _amz_#j_JGlkN -_(S=Qj) 1az_ma__j#kJlG(N__Sd -Q)4=17a_pnYr9s; -R:fjjNRlOqERhR7.blsHR1) ma_zja__l#Jk_GN6 -_4S)m= a1 _amz_#j_JGlkN__64Q -Sj =)1_ am_zajJ_#lNkG_S4 -Q)4=17a_p4Yr9s; -R:fjjNRlOqERhR7.blsHR1) ma_zja__l#Jk_GN6m -S=1) ma_zja__l#Jk_GN6Q -Sj =)1_ am_zajJ_#lNkG_46_ -4SQ=a)1_Y7pr;.9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HFjd__j4r9m -S=4h_dH6__S4 -Q1j=vv_qQ_tqH9r. -4SQ=_1vqtvQqr_Hc -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__FH_dr_jjS9 -m_=h4_d6HQ -Sj_=h4_d6H -_4S=Q41qv_vqQt_nHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.O(_Dj _jMj_Cj_8_S4 -mF=b#D_O k\3M_.(O_D j_jjM8C_j -_4S=QjO_bkCr#0dS9 -Qh4=_g4g_ -4;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.(O_D j_jjM8C_j__.jm -S=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_S. -Qej=vQq_hHa_ -4SQ=qeu_H7_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM(D_O j_jjC_M__8jdm -S=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_Sd -Qbj=FO#_D3 \k(M._ OD_jjj__MC84j_ -4SQ=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_ -.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.(O_D j_jjM8C_jm -S=#bF_ OD\M3k.O(_Dj _jMj_Cj_8 -jSQ=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_Sd -QO4=bCk_#.0r9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k6D_O j_jjC_b_S4 -mF=b#D_O k\3MO6_Dj _jbj_C -_4S=QjO_bkC_#0H9rd -4SQ=iBp_jjj_;u -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M6O_D j_jjb.C_ -=Smb_F#O\D 36kM_ OD_jjj__bC.Q +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44j9r4 +=Smb_F#O\D 3kOb_0C#__44j9r4 +jSQ=#bF_ OD\b3Ok#_C04_4_4j_r +49S=Q4b_F#O\D 3kOb_0C#__44jr_.4 +9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__j49rd +=Smb_F#O\D 3kOb_0C#__44jr_4dS9 +Qhj=_g4g_SH +Qh4=_(4g_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4r_jdS9 +mF=b#D_O O\3bCk_#40_4r_jdS9 +Qbj=FO#_D3 \O_bkC_#04j4__d4r9Q +S4_=h4_gUHs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_NH_cr_4.S9 +m_=h4_g64Q +Sj_=h4 +UUS=Q4O_bkCr#0j +9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__HN.cr9m +S=4h_gS6 +Qhj=_64g_S4 +QO4=bCk_#H0_r;d9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04H4___Ncjr_4.S9 +m_=h4_gn4Q Sjb=Ok#_C09r4 -4SQ=kOb_0C#r;.9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M6O_D j_jjbdC_ -=Smb_F#O\D 36kM_ OD_jjj__bCdQ -SjF=b#D_O k\3MO6_Dj _jbj_C -_4S=Q4b_F#O\D 36kM_ OD_jjj__bC.s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k6D_O j_jjC_b -=Smb_F#O\D 36kM_ OD_jjj_ -bCS=Qjb_F#O\D 36kM_ OD_jjj__bCdQ -S4b=Ok#_C0r_Hj -9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MOg_Dj _jMj_C -_4Sbm=FO#_D3 \k_MgO_D j_jjM4C_ -jSQ=kOb_0C#_4Hr9Q -S4b=Ok#_C0r_Hd -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_.H_r -d9Shm=_d4._.H_ -jSQ=4h_6Hc_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_dHr9m -S=4h_.Hd_ -jSQ=4h_.Hd__S4 -Qh4=_d4._.H_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__c4r9m -S=4h_.H6__S4 -Qhj=_646_SH -Qh4=_n46_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_cHr9m -S=4h_.H6_ -jSQ=4h_.H6__S4 -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__64r9m -S=4h_.H(__S4 -Qhj=_(46_SH -Qh4=_U46_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_.H_r -69Shm=_(4._.H_ -jSQ=4h_6Hg_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_6Hr9m -S=4h_.H(_ -jSQ=4h_.H(__S4 -Qh4=_(4._.H_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__n4r9m -S=4h_.Hg__S4 -Qhj=_j4n_SH -Qh4=_44n_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_nHr9m -S=4h_.Hg_ -jSQ=4h_.Hg__S4 -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3nkM__Loj_dj4m -S=#bF_ OD\M3kno_L_jjd_S4 -QMj= _Xu1Buq j_7 -4SQ=_q1j_dj7 -j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLn_od_jjm -S=#bF_ OD\M3kno_L_jjd -jSQ=#bF_ OD\M3kno_L_jjd_S4 -QB4=pji_j7j_js; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k(D_O j_jjj_8_S4 -mF=b#D_O k\3MO(_Dj _j8j_j -_4S=Qjb_F#O\D 3iBp_jjj_1h_Y_hB.9rj -4SQ=_q1j_djj_jj1BYh_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MO(_Dj _j8j_jm -S=#bF_ OD\M3k(D_O j_jjj_8 -jSQ=#bF_ OD\M3k(D_O j_jjj_8_S4 -QM4= _Xu1Buq j_7;R -sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlG(N__S4 -m =)1_ am_zajJ_#lNkG_4(_ -jSQ=1) ma_zja__l#Jk_GN6Q -S41=)ap_7Y9rd;R -sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlG(N__S. -m =)1_ am_zajJ_#lNkG_.(_ -jSQ=a)1_Y7pr -c9S=Q4)_1a7rpY6 -9;sjRf:ljRNROEq.h7RHbslMRkUH_OH6M_ -=Smk_MUOMHH_S6 -Qqj=_.HrgS9 -Qq4=_dHrj -9;sjRf:ljRNROEq.h7RHbslMRkUH_OHnM_ -=Smk_MUOMHH_Sn -Qkj=MOU_H_HM4Q -S4M=kUH_OH.M_;R -sfjj:ROlNEhRq7b.RsRHlk_MUOMHH_S( -mM=kUH_OH(M_ -jSQ=UkM_HOHM -_dS=Q4k_MUOMHH_ -c;sjRf:ljRNROEq.h7RHbslMRkUH_OHUM_ -=Smk_MUOMHH_SU -Qkj=MOU_H_HMnQ -S4M=kUH_OH(M_;R -sfjj:ROlNEhRq7b.RsRHlk_MUOMHH -=Smk_MUOMHH -jSQ=UkM_HOHM -_US=Q4k_MUOMHH_ -6;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HN4.__S4 -m_=h4_4n4Q -SjB=w_jOr9Q -S4B=w_4Or9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_.__4.m -S=4h_4.n_ -jSQ=Oq_r94( -4SQ=Hq_r94n;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH._N_d4_ -=Smh4_4n -_dS=Qjqr_H4 -U9S=Q4qr_H4;g9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj___N.4 -_cShm=_n44_Sc -Qhj=_n44_S4 -Qh4=_n44_ -.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HN4._ -=Smh4_4nQ -Sj_=h4_4ncQ -S4_=h4_4nds; -R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.4 -_jSkm=M_..LsCs_j4_ -jSQ=.kM.C_Ls4s_ -4SQ=zwu_h1 1O _;R -sfjj:ROlNEhRq7b.RsRHlk.M._sLCs__jNS. -mM=k.L._C -ssS=Qjk.M._sLCs__4jQ -S4_=h4;4n -fsRjR:jlENOR7qh.sRbHklRM_.4V_bkOj#___N.4m -S=.kM4b_Vk#_O_S4 -Qwj=u1z_ h1_SH -Qh4=_n44;R -sfjj:ROlNEhRq7b.RsRHlk4M._kVb__O#j._N -=Smk4M._kVb_ -O#S=Qjk4M._kVb__O#4Q -S4M=k.L._C_ss4s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_4dS9 -m_=h4_.dH -_4S=Qjh6_4. -_HS=Q4h6_4d;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__d4r9m -S=#bF_ OD\b3Ok#_C04_4_4j_r -d9S=Qjhg_4g -_HS=Q4hg_4(;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4_r -d9Sbm=FO#_D3 \O_bkC_#04j4_r -d9S=Qjb_F#O\D 3kOb_0C#__44jr_4dS9 -Qh4=_U4g_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__j49r4 -=Smb_F#O\D 3kOb_0C#__44jr_44S9 -Qhj=_c4g_SH -Qh4=_.4g_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__j.9r4 -=Smb_F#O\D 3kOb_0C#__44jr_.4S9 -Qhj=_44g_SH -Qh4=_d4g_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4r_j4S9 -mF=b#D_O O\3bCk_#40_4r_j4S9 -Qbj=FO#_D3 \O_bkC_#04j4__44r9Q -S4F=b#D_O O\3bCk_#40_4__j.9r4;R +4SQ=kOb_0C#_jHr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_NH_cr_j.S9 +m_=h4 +gnS=Qjhg_4n +_4S=Q4O_bkC_#0H9r.;R sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__j4r9m S=4h_dH4__S4 -Qhj=_d4n_SH -Qh4=_(4d_ +Qhj=_(4d_SH +Qh4=_.4n_ H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__.H_r j9Shm=_44d_.H_ -jSQ=4h_nH._ +jSQ=4h_nHd_ 4SQ=4h_nHc_;R sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jdr9m S=4h_dH4__Sd @@ -1905,260 +1917,359 @@ Qhj=_44d_4H_ S=4h_dH4_ jSQ=4h_dH4__Sd Qh4=_64n_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_448j#_d8j_j -_4Sbm=FO#_D3 \k4M4__8#j_dj8Hj__S4 -Q1j=Q_Z Or_H4S9 -Qq4=j__OHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k484_#d_jjj_8 -=Smb_F#O\D 34kM4#_8_jjd__8jHQ -SjF=b#D_O k\3M_448j#_d8j_j__H4Q -S4Q=1ZO _r;j9 -fsRjR:jlENOR7qh.sRbHklRMOU_H_HM4m -S=UkM_HOHM -_4S=Qjqr_Hd -49S=Q4Mu X_q1uB7 _js; -R:fjjNRlOqERhR7.blsHRUkM_HOHM -_.Skm=MOU_H_HM.Q -Sj1=q_jjd__7jHQ -S4_=qHcr.9s; -R:fjjNRlOqERhR7.blsHRUkM_HOHM -_dSkm=MOU_H_HMdQ -Sj_=qH6r.9Q -S4_=qHnr.9s; -R:fjjNRlOqERhR7.blsHRUkM_HOHM -_cSkm=MOU_H_HMcQ -Sj_=qH(r.9Q -S4_=qHUr.9s; -R:fjjNRlOQERhbeRsRHlhn_4_SH -m_=h4Hn_ -jSQ=4h_ns; -R:fjjNRlOQERhbeRsRHlz_71j_jjQ_ha4 -_HShm=_ -dgS=Qjhg_d_ -j;sjRf:ljRNROEQRheblsHR4h_g -_HShm=__4gHQ -Sj_=h4 -g;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qm_pW__4Hm -S=dh_nQ -Sj_=hdjn_;R -sfjj:ROlNEhRQesRbHhlR__.jHm -S=.h_j -_HS=Qjhj_.;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_H4_ -=Smh6_d -jSQ=dh_6;_j -fsRjR:jlENOReQhRHbsl_Rh.H4_ -=Smh4_._SH -Qhj=_;.4 -fsRjR:jlENOReQhRHbsltRA_jjj_H4_ -=Smhc_d -jSQ=dh_c;_j -fsRjR:jlENOReQhRHbsltRA_jjd_HO_ -=SmAjt_dOj__SH -QAj=td_jj;_O -fsRjR:jlENOReQhRHbslFRb#D_O k\3MLn_od_jj -_HSbm=FO#_D3 \k_MnLjo_dHj_ -jSQ=#bF_ OD\M3kno_L_jjd;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \k_MULjo_dHj_ -=Smb_F#O\D 3UkM__Loj -djS=Qjb_F#O\D 3UkM__Loj_djjs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_FH_c -_4Shm=_4.6_4j_ -jSQ=_1vqtvQq__HH9r( -4SQ=#bF_ OD\M3k6o_LN_O j_djH_M08;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj__ -FcShm=_4.6_Sj -Qhj=_4.6_4j_ -4SQ=XM uu_1q_B 7 -j;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_4H_r +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_4H_r +49Shm=_g44_4H_ +jSQ=4h_cH(_ +4SQ=4h_cHU_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__4.r9m +S=4h_4Hg__S. +Qhj=_g4c_SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#_r +49Shm=_g44_SH +Qhj=_g44_4H_ +4SQ=4h_4Hg__ +.;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_4H_r .9Shm=_44._4H_ jSQ=4h_6Hj_ 4SQ=4h_6H4_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#_r -.9Shm=_44._SH -Qhj=_44._4H_ -4SQ=a)1_ -O;sjRf:ljRNROEQRheblsHR_71j_dj7jj__SH -m_=h6Sj -Qhj=__6jjs; -R:fjjNRlOQERhbeRsRHlh__UHm -S=Uh__SH -Qhj=_ -U;sjRf:ljRNROEQRheblsHR_qj7_vq4 -_HShm=_ -cnS=Qjhn_c_ -j;sjRf:ljRNROEQRheblsHRgh__SH -m_=hg -_HS=Qjh;_g -fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_H4_ -=Smh6_c -jSQ=ch_6;_j -fsRjR:jlENOReQhRHbsl_Rh4Hj_ -=Smhj_4_SH -Qhj=_;4j -fsRjR:jlENOReQhRHbsl1Rq_jjj_aQh_H4_ -=Smhc_c -jSQ=ch_c;_j -fsRjR:jlENOReQhRHbsl_Rh4H._ -=Smh._4_SH -Qhj=_;4. -fsRjR:jlENOReQhRHbsl1Rq_jjd_jjj_h1YB__4Hm -S=ch_dQ -Sj_=hcjd_;R -sfjj:ROlNEhRQesRbHhlR__4dHm -S=4h_d -_HS=Qjhd_4;R -sfjj:ROlNEhRQesRbHplR7j1_jQj_h4a__SH -m_=hcS. -Qhj=__c.js; +sfjj:ROlNEhRQesRbHelRvQq_h4a__SH +m_=hdSn +Qhj=__dnjs; +R:fjjNRlOQERhbeRsRHlh._._SH +m_=h.H._ +jSQ=.h_.s; +R:fjjNRlOQERhbeRsRHlAjt_j4j__SH +m_=hdSd +Qhj=__ddjs; +R:fjjNRlOQERhbeRsRHlAjt_dOj__SH +mt=A_jjd_HO_ +jSQ=_Atj_djOs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3nkM__Loj_djHm +S=#bF_ OD\M3kno_L_jjd_SH +Qbj=FO#_D3 \k_MnLjo_d +j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kUo_L_jjd_SH +mF=b#D_O k\3MLU_od_jjQ +SjF=b#D_O k\3MLU_od_jj;_j +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__H49r6 +=Smh._4(__H4Q +Sj_=h4_6(HQ +S4_=h4_6UHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_.6S9 +m_=h4_.(H +_.S=Qjh6_4g +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9r6 +=Smh._4( +_HS=Qjh._4(__H4Q +S4_=h4_.(H;_. +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k4M4__8#j_dj84j_ +=Smb_F#O\D 34kM4#_8_jjd__8jH +_4S=Qj1 QZ_HO_r +49S=Q4qOj__ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_448j#_d8j_jm +S=#bF_ OD\M3k484_#d_jjj_8_SH +Qbj=FO#_D3 \k4M4__8#j_dj8Hj__S4 +Q14=Q_Z O9rj;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH___Fdjr_4jS9 +m_=h4_d6H +_4S=Qj1qv_vqQt_.Hr9Q +S4v=1_QqvtHq_r;c9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HFjd_r +j9Shm=_64d_SH +Qhj=_64d_4H_ +4SQ=_1vqtvQqr_Hn +9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__j49r4 +=Smb_F#O\D 3kOb_0C#__44jr_44S9 +Qhj=_44g_SH +Qh4=_.4g_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__j.9r4 +=Smb_F#O\D 3kOb_0C#__44jr_.4S9 +Qhj=_d4g_SH +Qh4=_c4g_ +H;sjRf:ljRNROEQRheblsHRdh__SH +m_=hd +_HS=Qjh;_d +fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_H4_ +=Smhg_c +jSQ=ch_g;_j +fsRjR:jlENOReQhRHbsl_Rhn +_HShm=_Hn_ +jSQ=nh_;R +sfjj:ROlNEhRQesRbHqlR1j_jjv_7q__4Hm +S=ch_UQ +Sj_=hcjU_;R +sfjj:ROlNEhRQesRbHhlR_Hg_ +=Smh__gHQ +Sj_=hgs; +R:fjjNRlOQERhbeRsRHl7B1qiQ4_h4a__SH +m_=hcS6 +Qhj=__c6js; +R:fjjNRlOQERhbeRsRHlhj_4_SH +m_=h4Hj_ +jSQ=4h_js; +R:fjjNRlOQERhbeRsRHlqj1_jQj_h4a__SH +m_=hcSc +Qhj=__ccjs; R:fjjNRlOQERhbeRsRHlhc_4_SH m_=h4Hc_ jSQ=4h_cs; -R:fjjNRlOQERhbeRsRHl)jW_jQj_h.a__SH -m_=hcS4 -Qhj=__c4js; -R:fjjNRlOQERhbeRsRHlh6_4_SH -m_=h4H6_ -jSQ=4h_6s; -R:fjjNRlOQERhbeRsRHl)jW_j7j_v.q__SH -m_=hcSj -Qhj=__cjjs; -R:fjjNRlOQERhbeRsRHlQ_upj_dj4r_H4S9 -m_=hdS4 -Qhj=__d4js; -R:fjjNRlOQERhbeRsRHlhd_._SH -m_=h.Hd_ -jSQ=.h_ds; -R:fjjNRlOQERhbeRsRHlQ_upj_dj4r_HjS9 -m_=hdSj -Qhj=__djjs; -R:fjjNRlOQERhbeRsRHlQ_upOr_H.S9 -mu=Qp__OH9r. -jSQ=pQu_.Or9s; -R:fjjNRlOQERhbeRsRHlQ_up7jj__.Hr9m -S=6h_cQ -Sj_=h6jc_;R -sfjj:ROlNEhRQesRbHQlRuOp__4Hr9m -S=pQu_HO_r -49S=QjQ_upO9r4;R -sfjj:ROlNEhRQesRbHQlRu7p_j__jH9r4 -=Smhd_6 -jSQ=6h_d;_j -fsRjR:jlENOReQhRHbsluRQp__OH9rj -=SmQ_upOr_HjS9 -QQj=uOp_r;j9 -fsRjR:jlENOReQhRHbsluRQpj_7_Hj_r -j9Shm=_ -6.S=Qjh._6_ -j;sjRf:ljRNROEQRheblsHRq7aBOi__SH -ma=7q_BiO -_HS=Qj7Baqi;_O -fsRjR:jlENOReQhRHbslaR7q_Bi7jj__SH -m_=h6S( -Qhj=__6(js; -R:fjjNRlOQERhbeRsRHle_uqO -_HSem=uOq__SH -Qej=uOq_;R -sfjj:ROlNEhRQesRbHelRu7q__Hj_ -=Smhn_6 -jSQ=6h_n;_j -fsRjR:jlENOReQhRHbsl RMX1u_u qB_HO_ -=SmMu X_q1uBO __SH -QMj= _Xu1Buq ;_O -fsRjR:jlENOReQhRHbsl RMX1u_u qB__7jj +R:fjjNRlOQERhbeRsRHlp_71j_jjQ_ha4 _HShm=_ -66S=Qjh6_6_ -j;sjRf:ljRNROEQRheblsHR4h_6HU_ -=Smh6_4U -_HS=Qjh6_4Us; -R:fjjNRlOQERhbeRsRHlh6_46 -_HShm=_646_SH -Qhj=_646;R -sfjj:ROlNEhRQesRbHhlR_n46_SH -m_=h4_6nHQ -Sj_=h4;6n -fsRjR:jlENOReQhRHbsl_Rh4_6cHm -S=4h_6Hc_ -jSQ=4h_6 -c;sjRf:ljRNROEQRheblsHR4h_6H._ -=Smh6_4. -_HS=Qjh6_4.s; -R:fjjNRlOQERhbeRsRHlh6_4d -_HShm=_d46_SH -Qhj=_d46;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r -n9Shm=_.4c -jSQ=4h_cj._;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r -c9Shm=_44c -jSQ=4h_cj4_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r -69Shm=_U4d -jSQ=4h_djU_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFjd__jHr9m -S=4h_dS. -Qhj=_.4d_ -H;sjRf:ljRNROEQRheblsHR_qjO -_HSqm=j__OHQ -Sjj=q_ -O;sjRf:ljRNROEQRheblsHRZ1Q __OH9r4 -=Sm1 QZ_HO_r -49S=Qj1 QZ_4Or9s; -R:fjjNRlOQERhbeRsRHlh6_._SH -m_=h.H6_ -jSQ=.h_6s; -R:fjjNRlOQERhbeRsRHlQ_upj_dj4r_H.S9 -m_=hdS. -Qhj=__d.js; -R:fjjNRlOQERhbeRsRHlhc_._SH -m_=h.Hc_ -jSQ=.h_cs; -R:fjjNRlOQERhbeRsRHlhd_g_SH -m_=hgHd_ -jSQ=gh_ds; -R:fjjNRlOQERhbeRsRHlhc_g_SH -m_=hgHc_ -jSQ=gh_cs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkM(#_N_jjd__8jH -_HShm=_c.c -jSQ=.h_cjc_;R -sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_#4_JGlkN__Hj +c4S=Qjh4_c_ +j;sjRf:ljRNROEQRheblsHR4h_6 +_HShm=__46HQ +Sj_=h4 +6;sjRf:ljRNROEQRheblsHR_)Wj_jjQ_ha. +_HShm=_ +cjS=Qjhj_c_ +j;sjRf:ljRNROEQRheblsHR4h_( +_HShm=__4(HQ +Sj_=h4 +(;sjRf:ljRNROEQRheblsHR1z7_jjj_aQh_H4_ +=SmhU_d +jSQ=dh_U;_j +fsRjR:jlENOReQhRHbsl_Rh4Hg_ +=Smhg_4_SH +Qhj=_;4g +fsRjR:jlENOReQhRHbsl_Rh.Hd_ +=Smhd_._SH +Qhj=_;.d +fsRjR:jlENOReQhRHbsluRQpd_jj__4H9rj +=Smhj_d +jSQ=dh_j;_j +fsRjR:jlENOReQhRHbsluRQp__OH9r. +=SmQ_upOr_H.S9 +QQj=uOp_r;.9 +fsRjR:jlENOReQhRHbsluRQpj_7_Hj_r +.9Shm=_ +6cS=Qjhc_6_ +j;sjRf:ljRNROEQRheblsHRpQu_HO_r +49SQm=uOp__4Hr9Q +Sju=Qpr_O4 +9;sjRf:ljRNROEQRheblsHRpQu__7jjr_H4S9 +m_=h6Sd +Qhj=__6djs; +R:fjjNRlOQERhbeRsRHlQ_upOr_HjS9 +mu=Qp__OH9rj +jSQ=pQu_jOr9s; +R:fjjNRlOQERhbeRsRHlQ_up7jj__jHr9m +S=6h_.Q +Sj_=h6j._;R +sfjj:ROlNEhRQesRbH7lRaiqB_HO_ +=Sm7Baqi__OHQ +Sja=7q_BiOs; +R:fjjNRlOQERhbeRsRHl7Baqij_7_Hj_ +=Smh(_6 +jSQ=6h_(;_j +fsRjR:jlENOReQhRHbsluReq__OHm +S=qeu_HO_ +jSQ=qeu_ +O;sjRf:ljRNROEQRheblsHRqeu_j7__SH +m_=h6Sn +Qhj=__6njs; +R:fjjNRlOQERhbeRsRHlMu X_q1uBO __SH +m =MX1u_u qB_HO_ +jSQ=XM uu_1q_B Os; +R:fjjNRlOQERhbeRsRHlMu X_q1uB7 _j__jHm +S=6h_6Q +Sj_=h6j6_;R +sfjj:ROlNEhRQesRbH7lR1d_jjj_7_Hj_ +=Smhj_6 +jSQ=6h_j;_j +fsRjR:jlENOReQhRHbsl_Rh4_cnHm +S=4h_cHn_ +jSQ=4h_c +n;sjRf:ljRNROEQRheblsHR4h_cHc_ +=Smhc_4c +_HS=Qjhc_4cs; +R:fjjNRlOQERhbeRsRHlk_M41qv_vqQt_H(__Sj +mM=k4v_1_Qqvt(q_ +jSQ=4kM__1vqtvQq__(Hs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kM4D_O j_jjC_M__8jHm +S=#bF_ OD\M3k.O4_Dj _jMj_Cj_8_SH +Qbj=FO#_D3 \k4M._ OD_jjj__MC8 +j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k.O(_Dj _jMj_Cj_8_SH +mF=b#D_O k\3M_.(O_D j_jjM8C_j +_HS=Qjb_F#O\D 3.kM(D_O j_jjC_M_;8j +fsRjR:jlENOReQhRHbslFRb#D_O k\3M_.dO_D j_jjM8C_j +_HSbm=FO#_D3 \kdM._ OD_jjj__MC8Sj +Qbj=FO#_D3 \kdM._ OD_jjj__MC8jj_;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \k_M6O_D j_jjbHC_ +=Smb_F#O\D 36kM_ OD_jjj__bCHQ +SjF=b#D_O k\3MO6_Dj _jbj_Cs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3gkM_ OD_jjj__MCHm +S=#bF_ OD\M3kgD_O j_jjC_M_SH +Qbj=FO#_D3 \k_MgO_D j_jjM +C;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k(D_O j_jjC_b_SH +mF=b#D_O k\3MO(_Dj _jbj_CQ +SjF=b#D_O k\3MO(_Dj _jbj_C;_j +fsRjR:jlENOReQhRHbsljRq_HO_ +=SmqOj__SH +Qqj=j;_O +fsRjR:jlENOReQhRHbslQR1ZO __4Hr9m +S=Z1Q __OH9r4 +jSQ=Z1Q r_O4 +9;sjRf:ljRNROEQRheblsHR.h_6 +_HShm=__.6HQ +Sj_=h. +6;sjRf:ljRNROEQRheblsHRpQu_jjd_H4_r +.9Shm=_ +d.S=Qjh._d_ +j;sjRf:ljRNROEQRheblsHR.h_c +_HShm=__.cHQ +Sj_=h. +c;sjRf:ljRNROEQRheblsHRpQu_jjd_H4_r +49Shm=_ +d4S=Qjh4_d_ +j;sjRf:ljRNROEQRheblsHR4h_gHd_ +=Smhg_4d +_HS=Qjhg_4ds; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3kOb_0C#__44jc_F_dHr9m +S=4h_gSj +Qhj=_j4g_ +H;sjRf:ljRNROEQRheblsHR#bF_ OD\b3Ok#_C04_4_Fj_cr_H4S9 +m_=h4 +UgS=QjhU_4g;_H +fsRjR:jlENOReQhRHbslFRb#D_O O\3bCk_#40_4__HFHc_r +.9Shm=_U4U +jSQ=4h_UHU_;R +sfjj:ROlNEhRQesRbHhlR_64g_SH +m_=h4_g6HQ +Sj_=h4;g6 +fsRjR:jlENOReQhRHbsl_Rh4_gnHm +S=4h_gHn_ +jSQ=4h_g +n;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#Hd_F_jHr9m +S=4h_cSd +Qhj=_d4c_ +j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#Hd_F_nHr9m +S=4h_cS. +Qhj=_.4c_ +j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#Hd_F_cHr9m +S=4h_cS4 +Qhj=_44c_ +j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#Hd_F_.Hr9m +S=4h_cSj +Qhj=_j4c_ +j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#Hd_F_4Hr9m +S=4h_dSg +Qhj=_g4d_ +j;sjRf:ljRNROEQRheblsHR4h_nHn_ +=Smhn_4n +_HS=Qjhn_4ns; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#___FdH9rd +=Smhd_4nQ +Sj_=h4_dnHs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_#M#_HH___FdH9rj +=Smhd_4dQ +Sj_=h4_ddHs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#___Fdjr_HjS9 +m_=h4 +d.S=Qjhd_4.;_H +fsRjR:jlENOReQhRHbsl_Rh4_c(Hm +S=4h_cH(_ +jSQ=4h_c +(;sjRf:ljRNROEQRheblsHR4h_cHU_ +=Smhc_4U +_HS=Qjhc_4Us; +R:fjjNRlOQERhbeRsRHlhn_4c +_HShm=_c4n_SH +Qhj=_c4n;R +sfjj:ROlNEhRQesRbHhlR_64n_SH +m_=h4_n6HQ +Sj_=h4;n6 +fsRjR:jlENOReQhRHbsl_Rh4_ndHm +S=4h_nHd_ +jSQ=4h_n +d;sjRf:ljRNROEQRheblsHR4h_gHg_ +=Smhg_4g +_HS=Qjhg_4gs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3kOb_0C#__44jr_HdS9 +mF=b#D_O O\3bCk_#40_49rd +jSQ=#bF_ OD\b3Ok#_C04_4_djr9s; +R:fjjNRlOQERhbeRsRHlhg_4( +_HShm=_(4g_SH +Qhj=_(4g;R +sfjj:ROlNEhRQesRbHhlR_U4g_SH +m_=h4_gUHQ +Sj_=h4;gU +fsRjR:jlENOReQhRHbsl_Rh4_gcHm +S=4h_gHc_ +jSQ=4h_g +c;sjRf:ljRNROEQRheblsHR#bF_ OD\b3Ok#_C04_4_Hj_r +49Sbm=FO#_D3 \O_bkC_#0444r9Q +SjF=b#D_O O\3bCk_#40_4r_j4 +9;sjRf:ljRNROEQRheblsHR4h_gH._ +=Smhg_4. +_HS=Qjhg_4.s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_#M#_HH___Fdjr_HjS9 +m_=h4 +d6S=Qjhd_46;_H +fsRjR:jlENOReQhRHbsl_Rh4_n.Hm +S=4h_nH._ +jSQ=4h_n +.;sjRf:ljRNROEQRheblsHR4h_gH4_ +=Smhg_44 +_HS=Qjhg_44s; +R:fjjNRlOQERhbeRsRHl7j1_j7j_v.q__l#Jk_GNH _HShm=_6.c jSQ=.h_cj6_;R -sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqdJ_#lNkG_HH_ -=Smhc_.nQ -Sj_=h._cnjs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkM__N#j_dj8jj___F.Hm -S=#bF_ OD\M3kd#_N_jjd_ -8jS=Qjb_F#O\D 3dkM__N#j_dj8Hj_;R -sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqdJ_#lNkG_FH_. -_HSbm=FO#_D3 \k_M6LOoN d_jjM_H0 -_8S=Qjb_F#O\D 36kM_NLoOj _dHj_M80__ -H;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj___FcH9rj -=Smhc_.gQ -Sj_=h._cgHs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkM(#_N_jjd__8jHc_F_SH -m_=h. -64S=Qjh6_.4;_j -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH___FcH9rj -=Smh4_( -jSQ=(h_4;_j -fsRjR:jlENOReQhRHbsl_Rh4_jcHm -S=4h_jHc_ -jSQ=4h_j -c;sjRf:ljRNROEQRheblsHR4h_nHj_ -=Smhn_4j -_HS=Qjhn_4js; -R:fjjNRlOQERhbeRsRHlhn_44 -_HShm=_44n_SH -Qhj=_44n;R -sfjj:ROlNEhRQesRbHhlR_g46_SH -m_=h4_6gHQ -Sj_=h4;6g -fsRjR:jlENOReQhRHbsl_Rh4_6(Hm -S=4h_6H(_ +sfjj:ROlNEhRQesRbHhlR__U6Hm +S=Uh_6 +_HS=Qjh6_U;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \7j1_j7j_vcq___Vjj +_HSbm=FO#_D3 \7j1_j7j_vcq_ +jSQ=#bF_ OD\137_jjj_q7v_jc_;R +sfjj:ROlNEhRQesRbHhlR__gcHm +S=gh_c +_HS=Qjhc_g;R +sfjj:ROlNEhRQesRbHBlRpji_d]j__H.___F.Hm +S=(h_dQ +Sj_=h(jd_;R +sfjj:ROlNEhRQesRbHhlR_j4n_SH +m_=h4_njHQ +Sj_=h4;nj +fsRjR:jlENOReQhRHbsl_Rh4_n4Hm +S=4h_nH4_ +jSQ=4h_n +4;sjRf:ljRNROEQRheblsHR4h_6H6_ +=Smh6_46 +_HS=Qjh6_46s; +R:fjjNRlOQERhbeRsRHlh6_4n +_HShm=_n46_SH +Qhj=_n46;R +sfjj:ROlNEhRQesRbHhlR_c46_SH +m_=h4_6cHQ +Sj_=h4;6c +fsRjR:jlENOReQhRHbsl_Rh4_6.Hm +S=4h_6H._ jSQ=4h_6 -(;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__nHr9m +.;sjRf:ljRNROEQRheblsHR4h_6Hd_ +=Smh6_4d +_HS=Qjh6_4ds; +R:fjjNRlOQERhbeRsRHlh6_4j +_HShm=_j46_SH +Qhj=_j46;R +sfjj:ROlNEhRQesRbHhlR_446_SH +m_=h4_64HQ +Sj_=h4;64 +fsRjR:jlENOReQhRHbsl_Rh4_cgHm +S=4h_cHg_ +jSQ=4h_c +g;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__.Hr9m +S=4kM_a)1_Y7p_lH__.Hr9Q +SjM=k41_)ap_7Y__Hl9r.;R +sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r +d9Skm=M)4_17a_pHY__Hl_r +d9S=Qjk_M4)_1a7_pYHr_ld +9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__cHr9m +S=4kM_a)1_Y7p_lH__cHr9Q +SjM=k41_)ap_7Y__Hl9rc;R +sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r +69Skm=M)4_17a_pHY__Hl_r +69S=Qjk_M4)_1a7_pYHr_l6 +9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__nHr9m S=4kM_a)1_Y7p_lH__nHr9Q SjM=k41_)ap_7Y__Hl9rn;R sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r @@ -2167,546 +2278,1116 @@ sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r 9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__UHr9m S=4kM_a)1_Y7p_lH__UHr9Q SjM=k41_)ap_7Y__Hl9rU;R -sfjj:ROlNEhRQesRbHhlR__(nHm -S=(h_n -_HS=Qjhn_(;R -sfjj:ROlNEhRQesRbHhlR__UdHm -S=Uh_d -_HS=Qjhd_U;R -sfjj:ROlNEhRQesRbHhlR__UcHm -S=Uh_c -_HS=Qjhc_U;R -sfjj:ROlNEhRQesRbHklRM14_vv_qQ_tq6__Hj -_HShm=_644 -jSQ=4h_4j6_;R +sfjj:ROlNEhRQesRbHBlRpji_jhj__h1YBr_H4 +j9SBm=pji_jhj__h1YBr_H4 +j9S=QjB_pij_jjhY_1h4Brj +9;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kgD_O j_jj__M#O$M_SH +mF=b#D_O k\3MOg_Dj _jMj__M#$O +_HS=Qjb_F#O\D 3gkM_ OD_jjj_#M_$;MO +fsRjR:jlENOReQhRHbslFRb#D_O k\3M_4cO_D j_jjM$_#MHO_ +=Smb_F#O\D 34kMcD_O j_jj__M#O$M +jSQ=#bF_ OD\M3k4Oc_Dj _jMj__M#$O;_j +fsRjR:jlENOReQhRHbslpRBiX_ u__OHm +S=iBp_u X_HO_ +jSQ=iBp_u X_ +O;sjRf:ljRNROEQRheblsHR_q1j_jj7_vq4J_#lNkG_HH_ +=Smhc_.cQ +Sj_=h._ccjs; +R:fjjNRlOQERhbeRsRHlhd_U_SH +m_=hUHd_ +jSQ=Uh_ds; +R:fjjNRlOQERhbeRsRHlk_M41qv_vqQt_H6__Hj_ +=Smh4_46Q +Sj_=h4_46js; +R:fjjNRlOQERhbeRsRHlhc_U_SH +m_=hUHc_ +jSQ=Uh_cs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkM(#_N_jjd__8jH +_HShm=_j.6 +jSQ=.h_6jj_;R +sfjj:ROlNEhRQesRbHhlR__UgHm +S=Uh_g +_HS=Qjhg_U;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \1 QZ_q7v_jn__Hj_r +j9Sbm=FO#_D3 \1 QZ_q7v_jnr9Q +SjF=b#D_O 1\3Q_Z 7_vqnr_jj +9;sjRf:ljRNROEQRheblsHRUh_U +_HShm=__UUHQ +Sj_=hU +U;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj__4Hr9m +S=#bF_ OD\Q31Z7 _vnq_r +49S=Qjb_F#O\D 3Z1Q v_7q__nj9r4;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r +69Shm=_U4d +jSQ=4h_djU_;R +sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r +49Skm=M)4_17a_pHY__Hl_r +49S=Qjk_M4)_1a7_pYHr_l4 +9;sjRf:ljRNROEQRheblsHR4h_6H(_ +=Smh6_4( +_HS=Qjh6_4(s; +R:fjjNRlOQERhbeRsRHlh6_4U +_HShm=_U46_SH +Qhj=_U46;R +sfjj:ROlNEhRQesRbHhlR_g46_SH +m_=h4_6gHQ +Sj_=h4;6g +fsRjR:jlENOReQhRHbslFRb#D_O B\3Y Bp_q7v_46__FH_. +_HShm=_(.6 +jSQ=.h_6j(_;R +sfjj:ROlNEhRQesRbHhlR__gdHm +S=gh_d +_HS=Qjhd_g;R +sfjj:ROlNEhRQesRbHhlR__U(Hm +S=Uh_( +_HS=Qjh(_U;R sfjj:ROlNEhRQesRbHhlR__UnHm S=Uh_n _HS=Qjhn_U;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \1 QZ_q7v_jn__Hj_r -49Sbm=FO#_D3 \1 QZ_q7v_4nr9Q -SjF=b#D_O 1\3Q_Z 7_vqnr_j4 -9;sjRf:ljRNROEQRheblsHRUh_( -_HShm=__U(HQ -Sj_=hU -(;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj__jHr9m -S=#bF_ OD\Q31Z7 _vnq_r -j9S=Qjb_F#O\D 3Z1Q v_7q__nj9rj;R -sfjj:ROlNEhRQesRbHhlR__UUHm -S=Uh_U -_HS=QjhU_U;R -sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_#4_JGlkN__4H -_HShm=_4.c -jSQ=.h_cj4_;R -sfjj:ROlNEhRQesRbH7lR1iqB4h_Qa__4#kJlGHN__SH +sfjj:ROlNEhRQesRbHqlRvqQt_1Az_a7qqQ_7)__jj +_HSqm=vqQt_1Az_a7qqQ_7) +_OS=QjqtvQqz_A1q_7a7q_QO)__ +j;sjRf:ljRNROEQRheblsHRUh__SH +m_=hU +_HS=Qjh;_U +fsRjR:jlENOReQhRHbsljRq_q7v_H4_ +=Smhn_c +jSQ=ch_n;_j +fsRjR:jlENOReQhRHbslFRb#D_O k\3MLc_o NO_jjj_FH_. +_HShm=_ +(nS=Qjhn_(_ +H;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kdN(_#d_jjj_8_FH_. +_HShm=_ +(.S=Qjh._(_ +j;sjRf:ljRNROEQRheblsHR1z7_jjj_HO_ +=Smz_71j_jjO +_HS=Qjz_71j_jjOs; +R:fjjNRlOQERhbeRsRHlp_71j_jjO +_HSpm=7j1_jOj__SH +Qpj=7j1_jOj_;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \1 QZ_q7v_jn__Fj_.r_HjS9 m_=h. -c.S=Qjhc_..;_j -fsRjR:jlENOReQhRHbsl1Rq_jjj_aQh_#4_JGlkN__HHm -S=.h_cSd -Qhj=_d.c_ -j;sjRf:ljRNROEQRheblsHR#bF_ OD\b3Ok#_C04_4_Hj_r -49Sbm=FO#_D3 \O_bkC_#0444r9Q -SjF=b#D_O O\3bCk_#40_4r_j4 -9;sjRf:ljRNROEQRheblsHR4h_gHU_ -=Smhg_4U -_HS=Qjhg_4Us; -R:fjjNRlOQERhbeRsRHlhg_4( -_HShm=_(4g_SH -Qhj=_(4g;R -sfjj:ROlNEhRQesRbHhlR_g4g_SH -m_=h4_ggHQ -Sj_=h4;gg -fsRjR:jlENOReQhRHbslFRb#D_O O\3bCk_#40_4__jH9rd -=Smb_F#O\D 3kOb_0C#_r44dS9 -Qbj=FO#_D3 \O_bkC_#04j4_r;d9 -fsRjR:jlENOReQhRHbsl_Rh4_64Hm -S=4h_6H4_ -jSQ=4h_6 -4;sjRf:ljRNROEQRheblsHR4h_6Hj_ -=Smh6_4j -_HS=Qjh6_4js; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_#M#_HH___Fdjr_HjS9 -m_=h4 -d6S=Qjhd_46;_H -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH___FcH9r4 +6nS=Qjh6_.n;_H +fsRjR:jlENOReQhRHbslQR1Z7 _vdq__l#Jk_GNH._F_SH +mF=b#D_O k\3ML6_o NO_jjd_0HM_S8 +Qbj=FO#_D3 \k_M6LOoN d_jjM_H0__8Hs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kMco_LN_O j_djH_M0H__jFH._ +=Smb_F#O\D 3.kMco_LN_O j_djH_M0H +_jS=Qjb_F#O\D 3.kMco_LN_O j_djH_M0H__jjs; +R:fjjNRlOQERhbeRsRHlhj_4c +_HShm=_c4j_SH +Qhj=_c4j;R +sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqdJ_#lNkG_HH_ =Smh6_..Q Sj_=h._6.js; -R:fjjNRlOQERhbeRsRHlh6_U_SH -m_=hUH6_ -jSQ=Uh_6s; -R:fjjNRlOQERhbeRsRHl) 1az_ma__4Hm -S=dh_UQ -Sj_=hdjU_;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r -.9Skm=M)4_17a_pHY__Hl_r -.9S=Qjk_M4)_1a7_pYHr_l. -9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__dHr9m -S=4kM_a)1_Y7p_lH__dHr9Q -SjM=k41_)ap_7Y__Hl9rd;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r -c9Skm=M)4_17a_pHY__Hl_r -c9S=Qjk_M4)_1a7_pYHr_lc -9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__6Hr9m -S=4kM_a)1_Y7p_lH__6Hr9Q -SjM=k41_)ap_7Y__Hl9r6;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \k_M(O_D j_jjbHC_ -=Smb_F#O\D 3(kM_ OD_jjj_ -bCS=Qjb_F#O\D 3(kM_ OD_jjj__bCjs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kM(D_O j_jjC_M__8jHm -S=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_SH -Qbj=FO#_D3 \k(M._ OD_jjj__MC8 -j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k.O4_Dj _jMj_Cj_8_SH -mF=b#D_O k\3M_.4O_D j_jjM8C_j -_HS=Qjb_F#O\D 3.kM4D_O j_jjC_M_;8j -fsRjR:jlENOReQhRHbslFRb#D_O k\3M_.dO_D j_jjM8C_j -_HSbm=FO#_D3 \kdM._ OD_jjj__MC8Sj -Qbj=FO#_D3 \kdM._ OD_jjj__MC8jj_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r -d9Shm=_n4d -jSQ=4h_dHn_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r -.9Shm=_j4c -jSQ=4h_cjj_;R -sfjj:ROlNEhRQesRbHhlR_64g_SH -m_=h4_g6HQ -Sj_=h4;g6 -fsRjR:jlENOReQhRHbsl_Rh4_gnHm -S=4h_gHn_ -jSQ=4h_g -n;sjRf:ljRNROEQRheblsHR#bF_ OD\b3Ok#_C04_4_FH_cr_H.S9 -m_=h4 -UUS=QjhU_4U;_H -fsRjR:jlENOReQhRHbslFRb#D_O O\3bCk_#40_4__jFHc_r -49Shm=_g4U -jSQ=4h_UHg_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \O_bkC_#04j4___FcH9rd -=Smhg_4jQ -Sj_=h4_gjHs; -R:fjjNRlOQERhbeRsRHlhg_4d -_HShm=_d4g_SH -Qhj=_d4g;R -sfjj:ROlNEhRQesRbHhlR_44g_SH -m_=h4_g4HQ -Sj_=h4;g4 -fsRjR:jlENOReQhRHbsl_Rh4_g.Hm -S=4h_gH._ -jSQ=4h_g -.;sjRf:ljRNROEQRheblsHR4h_gHc_ -=Smhg_4c -_HS=Qjhg_4cs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3_71j_jj7_vqc4_V_SH -mF=b#D_O 7\31j_jjv_7q__cVS4 -Qbj=FO#_D3 \7j1_j7j_vcq___V4js; -R:fjjNRlOQERhbeRsRHlhn_4. -_HShm=_.4n_SH -Qhj=_.4n;R -sfjj:ROlNEhRQesRbHhlR_d4n_SH -m_=h4_ndHQ -Sj_=h4;nd -fsRjR:jlENOReQhRHbsl_Rh4_ncHm -S=4h_nHc_ -jSQ=4h_n -c;sjRf:ljRNROEQRheblsHR4h_nH6_ -=Smhn_46 -_HS=Qjhn_46s; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kM.o_LN_O j_djH_M0Hm -S=#bF_ OD\M3k.L._o NO_jjd_0HM -jSQ=#bF_ OD\M3k.L._o NO_jjd_0HM_ -j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kgD_O j_jj__M#O$M_SH -mF=b#D_O k\3MOg_Dj _jMj__M#$O -_HS=Qjb_F#O\D 3gkM_ OD_jjj_#M_$;MO -fsRjR:jlENOReQhRHbslpRBij_jj__h1BYh_4HrjS9 -mp=Bij_jj__h1BYh_4HrjS9 -QBj=pji_jhj__h1YBjr49s; -R:fjjNRlOQERhbeRsRHlb_F#O\D 34kMcD_O j_jj__M#O$M_SH -mF=b#D_O k\3M_4cO_D j_jjM$_#MSO -Qbj=FO#_D3 \kcM4_ OD_jjj_#M_$_MOjs; -R:fjjNRlOQERhbeRsRHlh._._SH -m_=h.H._ -jSQ=.h_.s; -R:fjjNRlOQERhbeRsRHlABtqid_jjh_Qa__4Hm -S=dh_dQ -Sj_=hdjd_;R -sfjj:ROlNEhRQesRbHhlR__4UHm -S=4h_U -_HS=QjhU_4;R -sfjj:ROlNEhRQesRbHelRvQq_h4a__SH -m_=hdS( -Qhj=__d(js; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3gkM_ OD_jjj__MCHm -S=#bF_ OD\M3kgD_O j_jjC_M_SH -Qbj=FO#_D3 \k_MgO_D j_jjM -C;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k6D_O j_jjC_b_SH -mF=b#D_O k\3MO6_Dj _jbj_C -_HS=Qjb_F#O\D 36kM_ OD_jjj_;bC -fsRjR:jlENOReQhRHbsl_Rhn -_HShm=_Hn_ -jSQ=nh_;R -sfjj:ROlNEhRQesRbHqlR1j_jjv_7q__4Hm -S=ch_UQ -Sj_=hcjU_;R -sfjj:ROlNEhRQesRbHhlR_Hd_ -=Smh__dHQ -Sj_=hds; -R:fjjNRlOQERhbeRsRHl7j1_j7j_v4q__SH -m_=hcSg -Qhj=__cgjs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kMgo_LN_O j_djH_M0Hm -S=#bF_ OD\M3k.Lg_o NO_jjd_0HM_SH -Qbj=FO#_D3 \kgM._NLoOj _dHj_M -0;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k.Ln_o NO_jjd_0HM_SH -mF=b#D_O k\3M_.nLOoN d_jjM_H0 -_HS=Qjb_F#O\D 3.kMno_LN_O j_djH;M0 -fsRjR:jlENOReQhRHbslFRb#D_O k\3M_.(LOoN d_jjM_H0 -_HSbm=FO#_D3 \k(M._NLoOj _dHj_MS0 -Qbj=FO#_D3 \k(M._NLoOj _dHj_Mj0_;R -sfjj:ROlNEhRQesRbHBlRpji_d]j__#j_JGlkN -_HSBm=pji_d]j__#j_JGlkN -_HS=QjB_pij_dj]__j#kJlG -N;sjRf:ljRNROEQRheblsHR(h__SH -m_=h( -_HS=Qjh;_( -fsRjR:jlENOReQhRHbslpRBid_jj__]4 +R:fjjNRlOQERhbeRsRHlkcM4_HNloLN_k8#_N_0N8_HsH__jHm +S=.h_6S4 +Qhj=_4.6_ +j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kno_LN_O j_jjj +_HSbm=FO#_D3 \k_MnLOoN j_jjQ +SjF=b#D_O k\3MLn_o NO_jjj_ +j;sjRf:ljRNROEQRheblsHRgh_( +_HShm=__g(HQ +Sj_=hg +(;sjRf:ljRNROEQRheblsHRgh_n +_HShm=__gnHQ +Sj_=hg +n;sjRf:ljRNROEQRheblsHR.h_4 +_HShm=__.4HQ +Sj_=h. +4;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qQ_]t4]__SH +m_=hdSc +Qhj=__dcjs; +R:fjjNRlOQERhbeRsRHlhj_._SH +m_=h.Hj_ +jSQ=.h_js; +R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_H4_ +=Smh6_d +jSQ=dh_6;_j +fsRjR:jlENOReQhRHbsl_Rh4Hn_ +=Smhn_4_SH +Qhj=_;4n +fsRjR:jlENOReQhRHbslWR)_jjj_q7v_H._ +=Smhg_d +jSQ=dh_g;_j +fsRjR:jlENOReQhRHbsl_Rh4Hd_ +=Smhd_4_SH +Qhj=_;4d +fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_ha4 _HShm=_ -c(S=Qjh(_c_ -j;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M#__HHd_F_jHr9m -S=4h_dSd -Qhj=_d4d_ -H;sjRf:ljRNROEQRheblsHR4h_(Hn_ -=Smh(_4n -_HS=Qjh(_4ns; -R:fjjNRlOQERhbeRsRHlh(_46 -_HShm=_64(_SH -Qhj=_64(;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_a7qqQ_7)__jHm -S=QqvtAq_z71_q_aq7_Q)OQ -Sjv=qQ_tqA_z17qqa_)7Q_jO_;R -sfjj:ROlNEhRQesRbHklRM_.4V_bkOH#_ -=Smk4M._kVb__O#HQ -SjM=k.V4_bOk_#s; -R:fjjNRlOqERhR7.blsHRnkM__N#j -djSkm=MNn_#d_jjQ -Sj1=q_jjj_q7v_SH -Qq4=1j_jj;_H -fsRjR:jlENOReQhRHbslYRBB_p 7_vqH9rj -=SmBpYB v_7qr_HjS9 -QBj=Y Bp_q7vr;j9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \knM._NLoOj _dHj_MS0 -mF=b#D_O k\3M_.nLOoN d_jjM_H0Q -SjY=BB_p 7rvq4S9 -QB4=Y Bp_q7v_jHr9s; -R:fjjNRlOQERhbeRsRHlBpYB v_7qr_H4S9 -mY=BB_p 7_vqH9r4 -jSQ=BBYp7 _v4qr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.Lg_o NO_jjd_0HM -=Smb_F#O\D 3.kMgo_LN_O j_djH -M0S=QjBpYB v_7q9rj -4SQ=BBYp7 _vHq_r;49 -fsRjR:jlENOR7qh.sRbHtlR_j4n -=Smh._.jQ -Sjp=Bij_jj _u -4SQ=BBYp7 _vjqr9s; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vq4m -S=ch_g -_jS=Qjh__dHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q -_4Shm=__cUjQ -Sj_=hn -_HS=Q4)_1aOs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kMco_LN_O j_djH_M0Hm -S=#bF_ OD\M3k.Lc_o NO_jjd_0HM_SH -Qbj=FO#_D3 \kcM._NLoOj _dHj_M -0;sjRf:ljRNROEQRheblsHR_q1j_jj7_vqj -3sSqm=1j_jjv_7q3_jk -MdS=Qjqj1_j7j_v4q__l#Jk;GN -fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q3_jlm -S=_q1j_jj7_vqjM3k4Q -Sj1=q_jjj_q7v -4SQ=_q1j_jj7_vq4J_#lNkG;R -sfjj:ROlNEhRq7b.RsRHlqj1_j7j_vjq_3SM -m1=q_jjj_q7v_kj3MSj -Qbj=FO#_D3 \kcM._NLoOj _dHj_MH0_ -4SQ=_q1j_jj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHlqj1_j7j_vjq_3Sb -m_=hnQ -Sj1=q_jjj_q7v_kj3MS4 -Qq4=1j_jjv_7q3_jk;Mj -fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_sj3 -=Sm7j1_j7j_vjq_3dkM -jSQ=_71j_jj7_vq.J_#lNkG;R -sfjj:ROlNEhRq7b.RsRHl7j1_j7j_vjq_3Sl -m1=7_jjj_q7v_kj3MS4 -Q7j=1j_jjv_7qQ -S41=7_jjj_q7v_#._JGlkNs; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vqj -3MS7m=1j_jjv_7q3_jk -MjS=Qjb_F#O\D 3_71j_jj7_vqcQ -S41=7_jjj_q7v_kj3M -d;sjRf:ljRNROEmR).blsHR_71j_jj7_vqj -3bShm=_Sd -Q7j=1j_jjv_7q3_jk -M4S=Q47j1_j7j_vjq_3jkM;R -sfjj:ROlNEhRQesRbHQlR_c.d -=Smqj1_jHj_ -jSQ=_q1j_jjOs; -R:fjjNRlOQERhbeRsRHl7j1_j7j_vHq_ -=Sm7j1_j7j_vHq_ -jSQ=_71j_jj7;vq -fsRjR:jlENOR7qh.sRbHklRM8n_#d_jjm -S=nkM__8#j -djS=Qjqj1_jHj_ -4SQ=_71j_jj7_vqHs; -R:fjjNRlOQERhbeRsRHlk_M4NolHNk_L#M_CNCLD_IDF_SH -mM=k4l_NH_oNL_k#CLMNDDC_FHI_ -jSQ=4kM_HNloLN_kC#_MDNLCF_DIs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)jd_N -=Smh(_46Q -Sjt=Aq_Bij_djQ -haS=Q4)jW_jHj_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__rFdjS9 -m_=h4_ddHQ -Sjv=1_QqvtHq_r -49S=Q41qv_vqQt_6Hr9s; -R:fjjNRlOQERhbeRsRHlQd_.6m -S=)A ) -_HS=QjA) )_ -O;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__NH_nr_4jS9 -m_=h4 -ncS=QjA) )_SH -Qh4=_n4d_ -H;sjRf:ljRNROEq.h7RHbslpRBid_jj__]4m -S=ch_( -_jS=QjB_pij_dj]__j#kJlGHN_ -4SQ=(h__ -H;sjRf:ljRNROEq.h7RHbslpRBid_jj__].m -S=6h_UQ -Sj_=hcS( -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlB_pij_dj] -_jShm=_S( -QBj=pji_d]j_ -4SQ=#bF_ OD\M3k.Lc_o NO_jjd_0HM;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__S4 -mF=b#D_O B\3Y Bp_q7v_46r9Q -SjM=k4Y_BB_p 7_vq49r. -4SQ=4kM_0s#_ -.;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_j6_ -=Smb_F#O\D 3BBYp7 _v6q_r -j9S=Qjk_M4BpYB v_7qr_44S9 -Qk4=Ms4_#.0_;R -sfjj:ROlNEhRq7b.RsRHl7j1_j7j_vjq__l#Jk -GNS7m=1j_jjv_7q__j#kJlGSN -Q)j=Wj_jj -_OS=Q4b_F#O\D 3.kMco_LN_O j_djH;M0 -fsRjR:jlENOReQhRHbslpRBiX_ u -_HSBm=p i_XHu_ -jSQ=iBp_u X_ -O;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_#4_JGlkNm -S=_q1j_jj7_vq4J_#lNkG -jSQ=iBp_u X_SH -Qb4=FO#_D3 \kcM._NLoOj _dHj_M -0;sjRf:ljRNROEq.h7RHbslMRk4#_s0 -_.Skm=Ms4_#.0_ -jSQ=4h_(4n_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.(LOoN d_jjM_H0m -S=#bF_ OD\M3k.L(_o NO_jjd_0HM_Sj -Qbj=FO#_D3 \knM._NLoOj _dHj_MH0_ -4SQ=#bF_ OD\M3k.Lg_o NO_jjd_0HM_ -H;sjRf:ljRNROEQRheblsHR_q1j_jj7_vqHm -S=_q1j_jj7_vqHQ -Sj1=q_jjj_q7v;R -sfjj:ROlNEhRq7b.RsRHlB_pij_dj]__j#kJlGSN -mp=Bid_jj__]jJ_#lNkG -jSQ=_q1j_jj7_vq4J_#lNkG -4SQ=_q1j_jj7_vqHs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3(kM_ OD_jjj__8jHm -S=#bF_ OD\M3k(D_O j_jjj_8_SH -Qbj=FO#_D3 \k_M(O_D j_jj8 -j;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HdS9 -mv=1_QqvtHq_r -d9S=Qj1qv_vqQtr;d9 -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -j9S1m=vv_qQ_tqH9rj -jSQ=_1vqtvQq9rj;R -sfjj:ROlNEhRQesRbHBlRpji_jhj_ -_HSBm=pji_jhj_ -_HS=QjB_pij_jjh - ;sjRf:ljRNROEQRheblsHRiBp_jjj__u Hm -S=iBp_jjj__u HQ -Sjp=Bij_jj _u;R -sfjj:ROlNEhRQesRbHMlR _Xu1Buq j_7_SH -m =MX1u_u qB__7jHQ -Sj =MX1u_u qB_;7j +c.S=Qjh._c_ +j;sjRf:ljRNROEQRheblsHR4h_. +_HShm=__4.HQ +Sj_=h4 +.;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_H4_ +=Smhd_c +jSQ=ch_d;_j fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haHm S=qAtBji_dQj_hHa_ jSQ=qAtBji_dQj_h -a;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_Nj_d__j4m -S=4h_(4n_ -jSQ=_q1j_jjHQ +a;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qm_pW +_HSqm=vqQt_1Az_q hA_p 7_vqp_mWHQ +Sjv=qQ_tqA_z1 Ahqp7 _vpq_m +W;sjRf:ljRNROEq.h7RHbslMRk4l_NH_oNL_k#CLMNDDC_FSI +mM=k4l_NH_oNL_k#CLMNDDC_FSI +Qqj=vqQt_1Az_q hA_p 7_vqp_mWHQ S4t=Aq_Bij_djQ_haHs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3ckMjo_LN_O j_djH_M04 -_HSbm=FO#_D3 \kjMc_NLoOj _dHj_M40__SH -Qbj=FO#_D3 \kjMc_NLoOj _dHj_M40_;R -sfjj:ROlNEhRQesRbH7lR1j_jjv_7q__j#kJlGHN_ -=Sm7j1_j7j_vjq__l#Jk_GNHQ -Sj1=7_jjj_q7v_#j_JGlkNs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\137_jjj_q7v_Vc_jm -S=#bF_ OD\137_jjj_q7v_Sc -Q7j=1j_jjv_7q__j#kJlGHN_ -4SQ=#bF_ OD\137_jjj_q7v_Vc_4s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\137_jjj_q7v_Vc_4m -S=#bF_ OD\137_jjj_q7v_Vc_4 -_jS=Qjqj1_j7j_vHq_ -4SQ=#bF_ OD\M3k.Lc_o NO_jjd_0HM;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)_ -=SmqtvQqz_A1q_7a7q_QO)__Sj -Qhj=_64(_SH -Qh4=_n4(_ -H;sjRf:ljRNROEQRheblsHR.Q_dSn -mW=)_jjj_SH -Q)j=Wj_jj;_O -fsRjR:jlENOReQhRHbslvReqh_Qa3_jsm -S=qev_aQh_kj3MSd -Qbj=FO#_D3 \k_M(O_D j_jjb -C;sjRf:ljRNROEq.h7RHbslvReqh_Qa3_jlm -S=qev_aQh_kj3MS4 -QOj=bCk_#40r9Q -S4F=b#D_O k\3MO(_Dj _jbj_Cs; -R:fjjNRlOqERhR7.blsHRqev_aQh_Mj3 -=Sme_vqQ_hajM3kjQ -Sjv=eqh_QaQ -S4v=eqh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbslvReqh_Qa3_jbm -S=4h_UQ -Sjv=eqh_Qa3_jk -M4S=Q4e_vqQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHlB_pim_zau_) 7 -_HSBm=pmi_zua_)7 __SH -QBj=pmi_zua_)7 _;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 34kM4D_O j_jj__M#O$M -=Smb_F#O\D 34kM4D_O j_jj__M#O$M -jSQ=iBp_u X_SO -QB4=pmi_zua_)7 __ -H;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k4O4_Dj _jMj__M#$O -_HSbm=FO#_D3 \k4M4_ OD_jjj_#M_$_MOHQ -SjF=b#D_O k\3M_44O_D j_jjM$_#M -O;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MOg_Dj _jMj__M#$Om -S=#bF_ OD\M3kgD_O j_jj__M#O$M -jSQ=iBp_jjj_1h_YrhBgS9 -Qb4=FO#_D3 \k4M4_ OD_jjj_#M_$_MOHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k4Oc_Dj _jMj__M#$Om -S=#bF_ OD\M3k4Oc_Dj _jMj__M#$O -_jS=QjB_pij_jjhY_1hHB_r94j -4SQ=#bF_ OD\M3kgD_O j_jj__M#O$M_ -H;sjRf:ljRNROEQRheblsHR.Q_dS( -m7=z1j_jj -_HS=Qjz_71j_jjOs; -R:fjjNRlOQERhbeRsRHlQd_.Um -S=1p7_jjj_SH -Qpj=7j1_jOj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM.o_LN_O j_djH -M0Sbm=FO#_D3 \k.M._NLoOj _dHj_Mj0_ -jSQ=1p7_jjj_SO -Qz4=7j1_jOj_;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja__l#Jk_GNH__HNS. +R:fjjNRlOQERhbeRsRHlk_M4NolHNk_L#M_CNCLD_IDF_SH +mM=k4l_NH_oNL_k#CLMNDDC_FHI_ +jSQ=4kM_HNloLN_kC#_MDNLCF_DIs; +R:fjjNRlOQERhbeRsRHlk4M._kVb__O#Hm +S=.kM4b_Vk#_O_SH +Qkj=M_.4V_bkO +#;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]4m +S=dh_c +_jS=Qjh4_._SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA +_4Shm=_ +njS=Qjh4_4 +4SQ=a)1_ +O;sjRf:ljRNROEQRheblsHRgh_. +_HShm=__g.HQ +Sj_=hg +.;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qQ_]tj]_3Ss +mv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kdQ +SjF=b#D_O k\3ML6_o NO_jjd_0HM_ +8;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j +3lSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MS4 +Qhj=__g.HQ +S4F=b#D_O k\3ML6_o NO_jjd_0HM_ +8;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j +3MSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MSj +Qqj=vqQt_1Az_q hA_p 7_vq]]Qt +4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3dkM;R +sfjj:ROlNE)Rm.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_bj3 +=Smh4_. +jSQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_34kM +4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM;R +sfjj:ROlNEhRQesRbHhlR__g4Hm +S=gh_4 +_HS=Qjh4_g;R +sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj +3sSqm=vqQt_1Az_q hA_p 7_vqp_mWjM3kdQ +SjF=b#D_O k\3ML6_o NO_jjd_0HM_ +8;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3Sl +mv=qQ_tqA_z1 Ahqp7 _vpq_mjW_34kM +jSQ=gh_4 +_HS=Q4b_F#O\D 36kM_NLoOj _dHj_M80_;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_Mj3 +=SmqtvQqz_A1h_ q Ap_q7v_Wpm_kj3MSj +Qqj=vqQt_1Az_q hA_p 7_vqp +mWS=Q4qtvQqz_A1h_ q Ap_q7v_Wpm_kj3M +d;sjRf:ljRNROEmR).blsHRQqvtAq_z 1_hpqA v_7qm_pW3_jbm +S=.h_jQ +Sjv=qQ_tqA_z1 Ahqp7 _vpq_mjW_34kM +4SQ=QqvtAq_z 1_hpqA v_7qm_pW3_jk;Mj +fsRjR:jlENOReQhRHbslWR)_jjj_q7v_sj3 +=Sm)jW_j7j_vjq_3dkM +jSQ=#bF_ OD\M3k6o_LN_O j_djH_M08s; +R:fjjNRlOqERhR7.blsHR_)Wj_jj7_vqj +3lS)m=Wj_jjv_7q3_jk +M4S=Qjh6_.4Q +S4F=b#D_O k\3ML6_o NO_jjd_0HM_ +8;sjRf:ljRNROEq.h7RHbslWR)_jjj_q7v_Mj3 +=Sm)jW_j7j_vjq_3jkM +jSQ=_)Wj_jj7 +vqS=Q4)jW_j7j_vjq_3dkM;R +sfjj:ROlNE)Rm.sRbH)lRWj_jjv_7q3_jbm +S=4h_nQ +SjW=)_jjj_q7v_kj3MS4 +Q)4=Wj_jjv_7q3_jk;Mj +fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haj +3sSAm=tiqB_jjd_aQh_kj3MSd +Qbj=FO#_D3 \k_MnLOoN j_jjs; +R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3Sl +mt=Aq_Bij_djQ_hajM3k4Q +Sjt=Aq_Bij_jjOQ +S4F=b#D_O k\3MLn_o NO_jjj;R +sfjj:ROlNEhRq7b.RsRHlABtqid_jjh_Qa3_jMm +S=qAtBji_dQj_hja_3jkM +jSQ=qAtBji_dQj_hSa +QA4=tiqB_jjd_aQh_kj3M +d;sjRf:ljRNROEmR).blsHRqAtBji_dQj_hja_3Sb +m_=h4Sd +QAj=tiqB_jjd_aQh_kj3MS4 +QA4=tiqB_jjd_aQh_kj3M +j;sjRf:ljRNROEQRheblsHR4h_jH6_ +=Smhj_46 +_HS=Qjhj_46s; +R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBj +3sSqm=1d_jjj_jjY_1hjB_3dkM +jSQ=.h_6 +j;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB3_jlm +S=_q1j_djj_jj1BYh_kj3MS4 +Qqj=1d_jjj_jjY_1hSB +Qh4=_j.6;R +sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hBj +3MSqm=1d_jjj_jjY_1hjB_3jkM +jSQ=4h_jH6_ +4SQ=_q1j_djj_jj1BYh_kj3M +d;sjRf:ljRNROEmR).blsHR_q1j_djj_jj1BYh_bj3 +=Smh._4 +jSQ=_q1j_djj_jj1BYh_kj3MS4 +Qq4=1d_jjj_jjY_1hjB_3jkM;R +sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_sj3 +=Sm7j1_j j_hpqA 3_jk +MdS=Qj7j1_j j_hpqA __4#kJlG4N_;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA 3_jlm +S=_71j_jj Ahqpj _34kM +jSQ=_71j_jj AhqpS +Q74=1j_jjh_ q Ap_#4_JGlkN;_4 +fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_Mj3 +=Sm7j1_j j_hpqA 3_jk +MjS=Qjk_M41qv_vqQt_H(_ +4SQ=_71j_jj Ahqpj _3dkM;R +sfjj:ROlNE)Rm.sRbH7lR1j_jjh_ q Ap_bj3 +=Smh4_4 +jSQ=_71j_jj Ahqpj _34kM +4SQ=_71j_jj Ahqpj _3jkM;R +sfjj:ROlNEhRQesRbHqlRjv_7q3_jsm +S=_qj7_vqjM3kdQ +SjF=b#D_O k\3ML6_o NO_jjd_0HM_ +8;sjRf:ljRNROEq.h7RHbsljRq_q7v_lj3 +=Smq7j_vjq_34kM +jSQ=#bF_ OD\j3q_q7v_Sd +Qb4=FO#_D3 \k_M6LOoN d_jjM_H0;_8 +fsRjR:jlENOR7qh.sRbHqlRjv_7q3_jMm +S=_qj7_vqjM3kjQ +Sjj=q_q7v +4SQ=_qj7_vqjM3kds; +R:fjjNRlOmER)b.RsRHlq7j_vjq_3Sb +m_=hUQ +Sjj=q_q7v_kj3MS4 +Qq4=jv_7q3_jk;Mj +fsRjR:jlENOReQhRHbsl_RQ. +.cSqm=1d_jj +_HS=Qjqj1_dOj_;R +sfjj:ROlNEhRQesRbHqlR1j_jjh_Qa +_HSqm=1j_jjh_Qa +_HS=Qjqj1_jQj_h +a;sjRf:ljRNROEq.h7RHbslMRkc#_N_jjj +=Smk_McNj#_jSj +Qqj=1j_jjh_Qa +_HS=Q4qj1_dHj_;R +sfjj:ROlNEhRq7b.RsRHlk.M._sLCs__jN4._ +=Smk.M._sLCs +_4S=Qjqj1_dHj_ +4SQ=qAtBji_jOj_;R +sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqH9rj +=Sm1 QZ_q7v_jHr9Q +SjQ=1Z7 _vjqr9s; +R:fjjNRlOqERhR7.blsHRdkM_x#HCm +S=dkM_x#HCQ +SjQ=1Z7 _v4qr9Q +S4Q=1Z7 _vHq_r;j9 +fsRjR:jlENOReQhRHbslQR1Z7 _vHq_r +49S1m=Q_Z 7_vqH9r4 +jSQ=Z1Q v_7q9r4;R +sfjj:ROlNEhRq7b.RsRHlk_Mc#CHx +=Smk_Mc#CHx +jSQ=Z1Q v_7q9rj +4SQ=Z1Q v_7qr_H4 +9;sjRf:ljRNROEQRheblsHRHq_r94n +=Smqr_H4 +n9S=Qjqr_O4;n9 +fsRjR:jlENOReQhRHbsl_RqHgr49m +S=Hq_r94g +jSQ=Oq_r94g;R +sfjj:ROlNEhRQesRbHqlR_4HrUS9 +m_=qHUr49Q +Sj_=qOUr49s; +R:fjjNRlOQERhbeRsRHl1 QZ_q7v_4j__ +3sS1m=Q_Z 7_vqj__43dkM +jSQ=.h_6 +.;sjRf:ljRNROEq.h7RHbslQR1Z7 _vjq__34_lm +S=Z1Q v_7q__j4k_3MS4 +Qbj=FO#_D3 \1 QZ_q7v_4nr9Q +S4_=h.;6. +fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__43SM +mQ=1Z7 _vjq__34_k +MjS=Qj1 QZ_q7vr +49S=Q41 QZ_q7v_4j__M3kds; +R:fjjNRlOmER)b.RsRHl1 QZ_q7v_4j__ +3bShm=_S6 +Q1j=Q_Z 7_vqj__434kM +4SQ=Z1Q v_7q__j4k_3M +j;sjRf:ljRNROEQRheblsHRZ1Q v_7q__jjs_3 +=Sm1 QZ_q7v_jj__M3kdQ +Sj_=h.;6. +fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__j3Sl +mQ=1Z7 _vjq__3j_k +M4S=Qjb_F#O\D 3Z1Q v_7qr_njS9 +Qh4=_..6;R +sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_jj__ +3MS1m=Q_Z 7_vqj__j3jkM +jSQ=Z1Q v_7q9rj +4SQ=Z1Q v_7q__jjk_3M +d;sjRf:ljRNROEmR).blsHRZ1Q v_7q__jjb_3 +=Smh +_cS=Qj1 QZ_q7v_jj__M3k4Q +S4Q=1Z7 _vjq__3j_k;Mj +fsRjR:jlENOR7qh.sRbHqlRjv_7q +_4Shm=__cnjQ +Sj_=hU +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_S4 +m_=hcjd_ +jSQ=4h_. +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRqAtBji_dQj_h4a_ +=Smh._c_Sj +Qhj=__4dHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbH)lRWj_jjv_7q +_.Shm=__dgjQ +Sj_=h4Hn_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_m4W_ +=Smh6_d_Sj +Qhj=__.jHQ +S41=)a;_O +fsRjR:jlENOReQhRHbsl RMX1u_u qB__7jHm +S=XM uu_1q_B 7Hj_ +jSQ=XM uu_1q_B 7 +j;sjRf:ljRNROEQRheblsHR.Q_.S6 +m1=q_jjj_SH +Qqj=1j_jj;_O +fsRjR:jlENOReQhRHbslpRBij_jj _u_SH +mp=Bij_jj _u_SH +QBj=pji_juj_ s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kco_LN_O j_jjH._F +=Smhn_(_SH +Qqj=1j_jj +_OS=Q4B_pij_jju + ;sjRf:ljRNROEQRheblsHR_1vqtvQq__HH9r( +=Sm1qv_vqQt_HH_r +(9S=Qj1qv_vqQt_(Hr9s; +R:fjjNRlOQERhbeRsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__ +3sSkm=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3dkM +jSQ=qAtBji_dQj_h +a;sjRf:ljRNROEq.h7RHbslMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_lm +S=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jk_3MS4 +Q1j=vv_qQ_tqHr_H(S9 +QA4=tiqB_jjd_aQh;R +sfjj:ROlNEhRq7b.RsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__ +3MSkm=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3jkM +jSQ=QqvtAq_z 1_hpqA v_7qQ_]tS] +Qk4=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3dkM;R +sfjj:ROlNE)Rm.sRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3Sb m_=hUSj -Q1j=vv_qQrtq4S9 -Qb4=FO#_D3 \kcM4_ OD_jjj_#M_$;MO -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_#j_JGlkN__jNS. -mv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jJ_#lNkG +Qkj=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j34kM +4SQ=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jk_3M +j;sjRf:ljRNROEQRheblsHR_q1j_dj7Hj_ +=Smqj1_d7j_j +_HS=Qjqj1_d7j_js; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kd#_N_jjd__8jH._N +=Smhj_46Q +Sj1=q_jjd__7jHQ +S4 =A)O)_;R +sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1hHB_ +=Smqj1_djj_j1j_Y_hBHQ +Sj1=q_jjd_jjj_h1YBs; +R:fjjNRlOqERhR7.blsHR_71j_jj Ahqp4 __l#Jk_GN4m +S=_71j_jj Ahqp4 __l#Jk_GN4Q +Sj_=h4 +j6S=Q4k_M41qv_vqQt_ +(;sjRf:ljRNROEQRheblsHRiBp_jjj__7jHm +S=iBp_jjj__7jHQ +Sjp=Bij_jjj_7;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3iBp_jjj_1h_Y_hB.9rj +=Smb_F#O\D 3iBp_jjj_1h_Y_hB.9rj +jSQ=iBp_jjj__7jHQ +S4p=Bij_jj4_7;R +sfjj:ROlNEhRQesRbHBlRpji_j7j_4 +_HSBm=pji_j7j_4 +_HS=QjB_pij_jj7 +4;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3pji_juj__h1YBr_.jS9 +mF=b#D_O B\3pji_juj__h1YBr_.jS9 +QBj=pji_j7j_jQ +S4p=Bij_jj4_7_ +H;sjRf:ljRNROEQRheblsHRzwu_h1 1H _ +=Smw_uz11 h +_HS=Qjw_uz11 h ;_O +fsRjR:jlENOReQhRHbsl4Rq_SH +m4=q_SH +Qqj=4;_O +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_t]Q]__dH._N +=Smh._g +jSQ=_q4HQ +S4t=Aq_Bij_djQ_haHs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\j3q_q7v_jd__ +N.Sbm=FO#_D3 \q7j_vdq_ +jSQ=qAtBji_dQj_hHa_ +4SQ=1z7_jjj_ +O;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HNS. +m_=hgSn +Qhj=_ +(.S=Q4hj_46s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_. +_jShm=_ +g(S=Qjhj_46Q +S4_=h4;4n +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcM._NLoOj _dHj_MH0__Nj_.m +S=4h_jSc +Qpj=7j1_jOj_ +4SQ=1z7_jjj_ +O;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jj9r4 +=Smb_F#O\D 3Z1Q v_7q__nj9r4 +jSQ=Uh_U +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj_r +j9Sbm=FO#_D3 \1 QZ_q7v_jn_r +j9S=Qjhg_U_SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jHm +S=.h_6jj_ +jSQ=gh_n +_HS=Q4h(_g_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLn_o NO_jjj_Sj +mF=b#D_O k\3MLn_o NO_jjj_Sj +QAj=tiqB_jjj_SO +Qh4=_;(n +fsRjR:jlENOR7qh.sRbHklRM_4cNolHNk_L#N_808N_HHs__Sj +m_=h._64jQ +Sjt=Aq_Bij_djQ_haHQ +S4W=)_jjj_ +H;sjRf:ljRNROEq.h7RHbslQR1Z7 _vdq__l#Jk_GNHm +S=.h_6j._ +jSQ=a)1_SO +Qb4=FO#_D3 \k_M6LOoN d_jjM_H0__8Hs; +R:fjjNRlOXERmR).blsHR#bF_ OD\M3k.Lc_o NO_jjd_0HM_jH__ +G.Shm=__ndHQ +SjY=BB_p 7rvqjS9 +QB4=Y Bp_q7vr;49 +fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqdJ_#lNkG_FH_.m +S=#bF_ OD\M3k6o_LN_O j_djH_M08 +_HS=QjABtqid_jjh_QaQ +S4t=Aq_Bij_djQ_ha7s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj__rF.jS9 +m_=h._6nHQ +Sj7=p1j_jj__OHQ +S47=z1j_jj__OHs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_6Hr9m +S=_1vqtvQqr_H6S9 +Q1j=vv_qQrtq6 +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_46S9 +m_=h4 +6gS=QjB_pij_jjuH _ +4SQ=_1vqtvQqr_H6 +9;sjRf:ljRNROEQRheblsHR.Q_.Sn +m =A)H)_ +jSQ=)A );_O +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HNjn_r +69Shm=_U46 +jSQ=)A ) +_HS=Q41qv_vqQtr;69 +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +n9S1m=vv_qQ_tqH9rn +jSQ=_1vqtvQq9rn;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rNn6S9 +m_=h4 +6(S=Qjhd_4UQ +S4v=1_QqvtHq_r;n9 +fsRjR:jlENOR7qh.sRbHtlR_n4c +=Smh4_.dQ +Sj_=h. +44S=Q4)_1a7rpY6 +9;sjRf:ljRNROEq.h7RHbsl_Rt4 +ccShm=_4.4 +jSQ=.h_jSg +Q)4=17a_pcYr9s; +R:fjjNRlOqERhR7.blsHR4t_cS. +m_=h. +jgS=Qj) 1az_ma__j#kJlG6N_ +4SQ=a)1_Y7pr;d9 +fsRjR:jlENOR7qh.sRbHtlR_U4d +=Smhj_.6Q +Sj =)1_ am_zajJ_#lNkG_S4 +Q)4=17a_p4Yr9s; +R:fjjNRlOqERhR7.blsHR4t_dSn +m =)1_ am_zajJ_#lNkG_S4 +QBj=pji_jhj_ Q +S41=)ap_7Y9rj;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jN4.r9m +S=Uh_UQ +Sjt=Aq_Bij_djQ_haHQ +S4_=h._6nHs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj__rN.jS9 +m_=hUSg +QAj=tiqB_jjd_aQh_SH +Qh4=_n.6;R +sfjj:ROlNEhRq7b.RsRHlqj1_d7j_j__jH._N +=Smhj_g +jSQ=_q1j_djHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_Wpm_Hd__ +N.Shm=_ +g4S=QjqO4_ +4SQ=qAtBji_dQj_hHa_;R +sfjj:ROlNEhRQesRbHQlR_(.. +=Sm)jW_jHj_ +jSQ=_)Wj_jjOs; +R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)j__jNS. +m_=hUSn +QAj=tiqB_jjd_aQh +4SQ=_)Wj_jjHs; +R:fjjNRlOqERhR7.blsHR1) ma_z.a__NH_.m +S=gh_dQ +Sj =)1_ am_zajJ_#lNkG_SH +Q)4= a1 _amz_ +H;sjRf:ljRNROEq.h7RHbslMRk.I_s_NH_.m +S=4h_jSj +QAj=tiqB_jjd_aQh_SH +Q)4= a1 _amz;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)__Sj +mv=qQ_tqA_z17qqa_)7Q_jO_ +jSQ=Uh_n +_HS=Q4h(_U_ +H;sjRf:ljRNROEq.h7RHbsl R)1_ am_za. +_HShm=_n.c_SH +Qhj=__gdHQ +S41=)a;_O +fsRjR:jlENOReQhRHbsl R)1_ am_zaHm +S=1) ma_zHa_ +jSQ=1) ma_z +a;sjRf:ljRNROEq.h7RHbslMRk4#_N_jjj_Sj +mM=k4#_N_jjj_SH +QAj=tiqB_jjd_aQh +4SQ=1) ma_z +a;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_46__FH_.m +S=.h_6j(_ jSQ=qAtBji_dQj_hHa_ 4SQ=a)1_ -O;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__jl4.__ -3sS1m=vv_qQ_tq#0s##__Hj._l_34_k -MdS=Qj1qv_vqQtr;49 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj._l_34_lm -S=_1vqtvQqs_##_0#H__jl4.__M3k4Q -Sj =A)H)_ -4SQ=_1vqtvQq9r4;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__lj_.__43SM -mv=1_Qqvt#q_s##0_jH___l.4k_3MSj -QBj=pji_juj_ -_HS=Q41qv_vqQt_##s0H#__lj_.__43dkM;R -sfjj:ROlNE)Rm.sRbH1lRvv_qQ_tq#0s##__Hj._l_34_bm -S=(h_nQ -Sjv=1_Qqvt#q_s##0_jH___l.4k_3MS4 -Q14=vv_qQ_tq#0s##__Hj._l_34_k;Mj -fsRjR:jlENOR)Xm.sRbHtlR_44n -=Smk_M4BpYB v_7qr_4.S9 -QBj=Y Bp_q7vr -49S=Q4h._.js; -R:fjjNRlOXERmR).blsHR4t_6Sg -mM=k4Y_BB_p 7_vq49r4 +O;sjRf:ljRNROEX.m)RHbsl_Rt4 +d6Skm=M)4_17a_p4Yr9Q +Sjp=Bij_jj _h +4SQ=a)1_Y7pr;j9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr +j9Sbm=FO#_D3 \)_1a7_pY6P_H_jHr9Q +Sj1=)a +_OS=Q4k_M4)_1a7_pYH__lH9r4;R +sfjj:ROlNEhRQesRbH)lR a1 _amz_#j_JGlkN +_HS)m= a1 _amz_#j_JGlkN +_HS=Qj) 1az_ma__j#kJlG +N;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_4Hr9m +S=4kM_a)1_Y7p_4Hr9Q +SjM=k41_)ap_7Y9r4;R +sfjj:ROlNEhRq7b.RsRHlk_M4)_1a7_pYHr_l4S9 +mM=k41_)ap_7Y__Hl9r4 +jSQ=1) ma_zja__l#Jk_GNHQ +S4M=k41_)ap_7Yr_H4 +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_d9r6 +=Smhd_4U +_jS=QjB_pij_jjhH _ +4SQ=_1vqtvQq9r6;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P9r6 +=Smb_F#O\D 3a)1_Y7p_H6_Pr_H6S9 +Q)j=1Oa_ +4SQ=4kM_a)1_Y7p_lH__nHr9s; +R:fjjNRlOQERhbeRsRHlk_M4)_1a7_pYH9rn +=Smk_M4)_1a7_pYH9rn +jSQ=4kM_a)1_Y7pr;n9 +fsRjR:jlENOR7qh.sRbHklRM)4_17a_pHY__nlr9m +S=4kM_a)1_Y7p_lH_r +n9S=Qj) 1az_ma__j#kJlGHN_ +4SQ=4kM_a)1_Y7p_nHr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\13)ap_7Y__6HcPr9m +S=#bF_ OD\13)ap_7Y__6HHP_r +c9S=Qj)_1aOQ +S4M=k41_)ap_7Y__Hlr_H6 +9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_6Hr9m +S=4kM_a)1_Y7p_6Hr9Q +SjM=k41_)ap_7Y9r6;R +sfjj:ROlNEhRq7b.RsRHlk_M4)_1a7_pYHr_l6S9 +mM=k41_)ap_7Y__Hl9r6 +jSQ=1) ma_zja__l#Jk_GNHQ +S4M=k41_)ap_7Yr_H6 +9;sjRf:ljRNROEq.h7RHbslFRb#D_O )\317a_p6Y__rHPdS9 +mF=b#D_O )\317a_p6Y___HPH9rd +jSQ=a)1_SO +Qk4=M)4_17a_pHY__Hl_r;c9 +fsRjR:jlENOReQhRHbslMRk41_)ap_7Yr_HcS9 +mM=k41_)ap_7Yr_HcS9 +Qkj=M)4_17a_pcYr9s; +R:fjjNRlOqERhR7.blsHR4kM_a)1_Y7p_lH_r +c9Skm=M)4_17a_pHY__clr9Q +Sj =)1_ am_zajJ_#lNkG_SH +Qk4=M)4_17a_pHY_r;c9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr +.9Sbm=FO#_D3 \)_1a7_pY6P_H_.Hr9Q +Sj1=)a +_OS=Q4k_M4)_1a7_pYH__lH9rd;R +sfjj:ROlNEhRQesRbHklRM)4_17a_pHY_r +d9Skm=M)4_17a_pHY_r +d9S=Qjk_M4)_1a7rpYd +9;sjRf:ljRNROEq.h7RHbslMRk41_)ap_7Y__Hl9rd +=Smk_M4)_1a7_pYHr_ldS9 +Q)j= a1 _amz_#j_JGlkN +_HS=Q4k_M4)_1a7_pYH9rd;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P9r4 +=Smb_F#O\D 3a)1_Y7p_H6_Pr_H4S9 +Q)j=1Oa_ +4SQ=4kM_a)1_Y7p_lH__.Hr9s; +R:fjjNRlOQERhbeRsRHlk_M4)_1a7_pYH9r. +=Smk_M4)_1a7_pYH9r. +jSQ=4kM_a)1_Y7pr;.9 +fsRjR:jlENOR7qh.sRbHklRM)4_17a_pHY__.lr9m +S=4kM_a)1_Y7p_lH_r +.9S=Qj) 1az_ma__j#kJlGHN_ +4SQ=4kM_a)1_Y7p_.Hr9s; +R:fjjNRlOqERhR7.blsHRdkM__N#j +djSkm=MNd_#d_jj +_HS=Qj) 1az_maQ +S4M=k4#_N_jjd_ +H;sjRf:ljRNROEX.m)RHbsl_Rt4 +cgSkm=M)4_17a_pUYr9Q +Sj =)1_ am_zajJ_#lNkG_S( +Q)4=17a_p(Yr9s; +R:fjjNRlOXERmR).blsHR4t_cS( +mM=k41_)ap_7Y9r( +jSQ=.h_4Sd +Q)4=17a_pnYr9s; +R:fjjNRlOXERmR).blsHR4t_cS6 +mM=k41_)ap_7Y9rn +jSQ=.h_4S4 +Q)4=17a_p6Yr9s; +R:fjjNRlOXERmR).blsHR4t_cSd +mM=k41_)ap_7Y9r6 +jSQ=.h_jSg +Q)4=17a_pcYr9s; +R:fjjNRlOXERmR).blsHR4t_cS4 +mM=k41_)ap_7Y9rc +jSQ=1) ma_zja__l#Jk_GN6Q +S41=)ap_7Y9rd;R +sfjj:ROlNEmRX)b.RsRHltd_4gm +S=4kM_a)1_Y7pr +d9S=Qjhj_.6Q +S41=)ap_7Y9r.;R +sfjj:ROlNEmRX)b.RsRHltd_4(m +S=4kM_a)1_Y7pr +.9S=Qj) 1az_ma__j#kJlG4N_ +4SQ=a)1_Y7pr;49 +fsRjR:jlENOR7qh.sRbH)lR a1 _amz_#j_JGlkNm +S=1) ma_zja__l#Jk +GNS=Qj) 1az_ma__j#kJlG(N_ +4SQ=a)1_Y7pr;(9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr +(9Sbm=FO#_D3 \)_1a7_pY6P_H_(Hr9Q +Sj1=)a +_OS=Q4k_M4)_1a7_pYH__lH9rU;R +sfjj:ROlNEhRQesRbHklRM)4_17a_pHY_r +U9Skm=M)4_17a_pHY_r +U9S=Qjk_M4)_1a7rpYU +9;sjRf:ljRNROEq.h7RHbslMRk41_)ap_7Y__Hl9rU +=Smk_M4)_1a7_pYHr_lUS9 +Q)j= a1 _amz_#j_JGlkN +_HS=Q4k_M4)_1a7_pYH9rU;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P9rn +=Smb_F#O\D 3a)1_Y7p_H6_Pr_HnS9 +Q)j=1Oa_ +4SQ=4kM_a)1_Y7p_lH__(Hr9s; +R:fjjNRlOQERhbeRsRHlk_M4)_1a7_pYH9r( +=Smk_M4)_1a7_pYH9r( +jSQ=4kM_a)1_Y7pr;(9 +fsRjR:jlENOR7qh.sRbHklRM)4_17a_pHY__(lr9m +S=4kM_a)1_Y7p_lH_r +(9S=Qj) 1az_ma__j#kJlGHN_ +4SQ=4kM_a)1_Y7p_(Hr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\137_jjj_q7v_Vc_j +_jSbm=FO#_D3 \7j1_j7j_vcq__Sj +Qhj=__U6HQ +S4F=b#D_O k\3M_.cLOoN d_jjM_H0__Hj;_j +fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q__4#kJlGHN_ +=Smhc_.c +_jS=QjB_pi _XuO +_HS=Q4b_F#O\D 3.kMco_LN_O j_djH_M0H__jjs; +R:fjjNRlOQERhbeRsRHlqj1_j7j_vHq_ +=Smqj1_j7j_vHq_ +jSQ=_q1j_jj7;vq +fsRjR:jlENOR7qh.sRbHklRMNn_#d_jj__jNS. +mM=kn#_N_jjd +jSQ=_q1j_jj7_vqHQ +S41=q_jjj_ +H;sjRf:ljRNROEQRheblsHRiBp_jjd_H]_ +=SmB_pij_dj] +_HS=QjB_pij_dj]s; +R:fjjNRlOqERhR7.blsHRiBp_jjd_.]__NH_.m +S=gh_cQ +Sjp=Bid_jj__]HQ +S4_=h( +d;sjRf:ljRNROEq.h7RHbslFRb#D_O 7\31j_jjv_7q__cVjj__ +N.Shm=_ +U6S=Qjqj1_j7j_vSq +Q)4=Wj_jj;_H +fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q__.#kJlGHN__ +N.Shm=_ +UcS=QjB_pij_dj]Q +S4p=BiX_ u;_O +fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tq6__Hj._N +=Smhd_U jSQ=iBp_jjj_ -u S=Q4BpYB v_7q9rj;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3ckMjo_LN_O j_djH_M04m -S=#bF_ OD\M3kcLj_o NO_jjd_0HM_S4 -QBj=pji_d]j_ -4SQ=iBp_u X_ -O;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H.S9 +u S=Q41qv_vqQtr;j9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcM4_ OD_jjj_#M_$ +MOSbm=FO#_D3 \kcM4_ OD_jjj_#M_$_MOjQ +Sjp=Bij_jj__h1BYh_4HrjS9 +Qb4=FO#_D3 \k_MgO_D j_jjM$_#MHO_;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \k4M4_ OD_jjj_#M_$_MOHm +S=#bF_ OD\M3k4O4_Dj _jMj__M#$O +_HS=Qjb_F#O\D 34kM4D_O j_jj__M#O$M;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj_#M_$ +MOSbm=FO#_D3 \k_MgO_D j_jjM$_#MSO +QBj=pji_jhj__h1YB9rg +4SQ=#bF_ OD\M3k4O4_Dj _jMj__M#$O;_H +fsRjR:jlENOReQhRHbslpRBiz_ma)_u __7Hm +S=iBp_amz_ u)_H7_ +jSQ=iBp_amz_ u)_ +7;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_44O_D j_jjM$_#MSO +mF=b#D_O k\3M_44O_D j_jjM$_#MSO +QBj=p i_XOu_ +4SQ=iBp_amz_ u)_H7_;R +sfjj:ROlNEhRq7b.RsRHlk_M4Nj#_dSj +mM=k4#_N_jjd_SH +QAj=tiqB_jjd_aQh_SH +QM4= _Xu1Buq j_7_ +H;sjRf:ljRNROEQRheblsHRiBp_jjj__h Hm +S=iBp_jjj__h HQ +Sjp=Bij_jj _h;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9rj +=Sm1qv_vqQt_jHr9Q +Sjv=1_Qqvtjqr9s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_dHr9m +S=_1vqtvQqr_HdS9 +Q1j=vv_qQrtqd +9;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k(D_O j_jjj_8_SH +mF=b#D_O k\3MO(_Dj _j8j_j +_HS=Qjb_F#O\D 3(kM_ OD_jjj_;8j +fsRjR:jlENOReQhRHbsl_RqHcr.9m +S=Hq_r9.c +jSQ=Oq_r9.c;R +sfjj:ROlNEhRQesRbHOlRbCk_#H0_r +d9SOm=bCk_#H0_r +d9S=QjO_bkCr#0d +9;sjRf:ljRNROEQRheblsHRkOb_0C#_jHr9m +S=kOb_0C#_jHr9Q +Sjb=Ok#_C09rj;R +sfjj:ROlNEhRQesRbHOlRbCk_#H0_r +49SOm=bCk_#H0_r +49S=QjO_bkCr#04 +9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.(O_D j_jjM8C_j +_.Shm=_g4g_S4 +QOj=bCk_#H0_r +j9S=Q4O_bkC_#0H9r4;R +sfjj:ROlNEhRQesRbHelRvQq_hHa_ +=Sme_vqQ_haHQ +Sjv=eqh_Qas; +R:fjjNRlOQERhbeRsRHle_uq7 +_HSem=u7q__SH +Qej=u7q_;R +sfjj:ROlNEmRX)b.RsRHlO_bkC_#0j9rj +=Smhn_. +jSQ=iBp_jjj__h 7Sj +QO4=bCk_#j0r9s; +R:fjjNRlOXERmR).blsHR#bF_ OD\Y3BB_p 7_vq6__jH._G +=Smhg_(_SH +QBj=pji_juj_ Q +S4Y=BB_p 7rvqj +9;sjRf:ljRNROEX.m)RHbslFRb#D_O B\3Y Bp_q7v_46__GH_.m +S=(h_U +_HS=QjBpYB v_7q9r4 +4SQ=.h_. +j;sjRf:ljRNROEq.h7RHbslpRBid_jj__].__HFS. +m_=h(jd_ +jSQ=_q1j_jj7_vqHQ +S4p=BiX_ u__OHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hd_Fr +n9Shm=_.4c_Sj +Qhj=_.4d_SH +Q14=vv_qQrtqn +9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H4S9 mv=1_QqvtHq_r -.9S=Qj1qv_vqQtr;.9 -fsRjR:jlENOReQhRHbslFRb#D_O k\3M_.gO_D j_jjM8C_j -_HSbm=FO#_D3 \kgM._ OD_jjj__MC8Hj_ -jSQ=#bF_ OD\M3k.Og_Dj _jMj_Cj_8;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___Nnj9r. -=Smh6_44Q -Sjv=1_QqvtHq_r -.9S=Q4b_F#O\D 3.kMgD_O j_jjC_M__8jHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hn_Nr -.9Shm=_j46 -jSQ=4h_cSj -Q14=vv_qQ_tqH9rd;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMgD_O j_jjC_M_ -8jSbm=FO#_D3 \kgM._ OD_jjj__MC8Sj -QBj=pji_jhj_ j_7 -4SQ=#bF_ OD\M3k.Od_Dj _jMj_Cj_8;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMdD_O j_jjC_M_ -8jSbm=FO#_D3 \kdM._ OD_jjj__MC8jj_ -jSQ=#bF_ OD\M3k.O4_Dj _jMj_Cj_8_SH -Qb4=FO#_D3 \k(M._ OD_jjj__MC8Hj_;R +49S=Qj1qv_vqQtr;49 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFjdr9m +S=4h_cjd_ +jSQ=iBp_jjj_ +h S=Q41qv_vqQtr;49 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__rNc4S9 +m_=h4 +g4S=QjhU_4gQ +S4b=Ok#_C0r_Hj +9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jNjc_r +49Shm=_.4g +jSQ=kOb_0C#_.Hr9Q +S4b=Ok#_C0r_Hd +9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jN4c_r +49Shm=_d4g +jSQ=4h_UHg_ +4SQ=kOb_0C#r;j9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4___Nc.9r4 +=Smhg_4cQ +Sj_=h4_UUHQ +S4b=Ok#_C09rd;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44jc_Nr +d9Shm=_(4g +jSQ=4h_gSj +QO4=bCk_#d0r9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_Nj_cr_jdS9 +m_=h4 +gUS=Qjhg_4j +_HS=Q4O_bkC_#0H9r.;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44H9r. +=SmhU_4n +_HS=Qjhg_46 +_HS=Q4hg_4n;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04H4__rFc.S9 +m_=h4_UUHQ +Sjb=Ok#_C09r4 +4SQ=kOb_0C#r;.9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__rFc4S9 +m_=h4_UgHQ +Sjb=Ok#_C0r_H4S9 +QO4=bCk_#H0_r;d9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__rFcdS9 +m_=h4_gjHQ +Sjb=Ok#_C09rj +4SQ=kOb_0C#r;49 +fsRjR:jlENOReQhRHbslbROk#_C0r_H.S9 +mb=Ok#_C0r_H.S9 +QOj=bCk_#.0r9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_Nj_cr_4dS9 +m_=h4 +ggS=Qjhg_4g +_4S=Q4O_bkC_#0H9r.;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___Nnj9rc +=Smh6_4nQ +Sjp=Bij_jj _h_SH +Q14=vv_qQ_tqH9rc;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rNnnS9 +m_=h4 +njS=Qjhc_4.Q +S4v=1_QqvtHq_r;(9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HNjn_r +n9Shm=_44n +jSQ=_1vqtvQqr_HnS9 +Qb4=FO#_D3 \k_M(O_D j_jj8Hj_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH___Nn49rj +=Smhn_4cQ +Sj =A)H)_ +4SQ=4h_dHn_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rNdjS9 +m_=h4 +nnS=Qjhd_4.Q +S4v=1_Qqvtjqr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H9rj +=Smh4_4( +_HS=Qjhd_4( +_HS=Q4hc_4n;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFjd_r +j9Shm=_.4d_SH +QAj= _))OQ +S4p=Bij_jj _u_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__FH_d9rj +=Smhd_4d +_HS=Qj1qv_vqQt_4Hr9Q +S4v=1_QqvtHq_r;69 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFddr9m +S=4h_dHn_ +jSQ=_1vqtvQq9rd +4SQ=#bF_ OD\M3k.Og_Dj _jMj_Cj_8_ +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_n9rj +=Smhd_4( +_HS=Qjhn_4n +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hd_Fr +49Shm=_g4d_Sj +QBj=pji_jhj_ +_HS=Q41qv_vqQtr;49 +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +.9S1m=vv_qQ_tqH9r. +jSQ=_1vqtvQq9r.;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rFd.S9 +m_=h4_cjjQ +Sj_=h4_d.HQ +S4v=1_Qqvt.qr9s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_cHr9m +S=_1vqtvQqr_HcS9 +Q1j=vv_qQrtqc +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_d9rc +=Smhc_44 +_jS=Qjhd_4. +_HS=Q41qv_vqQtr;c9 +fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa__4#kJlGSN +m1=q_jjj_aQh_#4_JGlkNQ +Sj_=h4 +j6S=Q41qv_vqQt_6Hr9s; +R:fjjNRlOQERhbeRsRHl7B1qiQ4_hja__l#Jk_GNHm +S=q71B_i4Q_hajJ_#lNkG_SH +Q7j=1iqB4h_Qa__j#kJlG +N;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_#4_JGlkNm +S=q71B_i4Q_ha4J_#lNkG +jSQ=q71B_i4Q_hajJ_#lNkG_SH +Qh4=_64j;R +sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_jd__ +NnShm=_c4c +jSQ=_1vqtvQqr_HdS9 +Q14=vv_qQ_tqH9r6;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rNnjS9 +m_=h4 +cnS=Qjhc_4dQ +S4v=1_QqvtHq_r;j9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HN4nr9m +S=4h_cS( +Qhj=_g4d +4SQ=_1vqtvQqr_H. +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_j4S9 +m_=h4 +cUS=QjA) )_SH +Q14=vv_qQrtq4 +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_44S9 +m_=h4 +cgS=QjB_pij_jjuH _ +4SQ=_1vqtvQqr_H4 +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_n9r. +=Smh6_4jQ +Sj_=h4 +cjS=Q41qv_vqQt_dHr9s; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kMgD_O j_jjC_M__8jHm +S=#bF_ OD\M3k.Og_Dj _jMj_Cj_8_SH +Qbj=FO#_D3 \kgM._ OD_jjj__MC8 +j;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_j.S9 +m_=h4 +64S=Qj1qv_vqQt_.Hr9Q +S4F=b#D_O k\3M_.gO_D j_jjM8C_j;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HNdnr9m +S=4h_6S. +Qhj=_n4d +4SQ=_1vqtvQqr_Hc +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_jdS9 +m_=h4 +6dS=QjA) )_SH +Q14=vv_qQrtqd +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_4dS9 +m_=h4 +6cS=QjB_pij_jjuH _ +4SQ=_1vqtvQqr_Hd +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_n9rc +=Smh6_46Q +Sj_=h4 +c4S=Q41qv_vqQt_6Hr9s; +R:fjjNRlOQERhbeRsRHlqr_Hd +49Sqm=_dHr4S9 +Qqj=_dOr4 +9;sjRf:ljRNROEX.m)RHbsl_Rt4 +n6Shm=_c.. +jSQ=pQu_r7jjS9 +QQ4=uOp_r;j9 +fsRjR:jlENOR)Xm.sRbHtlR_n4n +=Smh._.6Q +Sju=Qpj_7r +49S=Q4Q_upO9r4;R +sfjj:ROlNEmRX)b.RsRHltn_4(m +S=.h_.Sn +QQj=u7p_j9r. +4SQ=pQu_.Or9s; +R:fjjNRlOQERhbeRsRHl7Baqij_7_SH +ma=7q_Bi7Hj_ +jSQ=q7aB7i_js; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.O4_Dj _jMj_Cj_8 +=Smb_F#O\D 3.kM4D_O j_jjC_M_ +8jS=Qj7Baqij_7_SH +Qe4=u7q_;R sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3(kM_ OD_jjj_ bCSbm=FO#_D3 \k_M(O_D j_jjbjC_ jSQ=#bF_ OD\M3k6D_O j_jjC_b_SH Qb4=FO#_D3 \k_MgO_D j_jjMHC_;R -sfjj:ROlNEhRQesRbH7lRaiqB__7jHm -S=q7aB7i_j -_HS=Qj7Baqij_7;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM4D_O j_jjC_M_ -8jSbm=FO#_D3 \k4M._ OD_jjj__MC8Sj -Q7j=aiqB__7jHQ -S4u=eq;_7 -fsRjR:jlENOReQhRHbslbROk#_C0__jds_3 -=SmO_bkC_#0j__d3dkM -jSQ=iBp_jjj__h 7 -j;sjRf:ljRNROEq.h7RHbslbROk#_C0__jdl_3 -=SmO_bkC_#0j__d34kM -jSQ=#bF_ OD\b3Ok#_C04_4r -d9S=Q4B_pij_jjh7 _js; -R:fjjNRlOqERhR7.blsHRkOb_0C#_dj__ -3MSOm=bCk_#j0__3d_k -MjS=QjO_bkCr#0dS9 -QO4=bCk_#j0__3d_k;Md -fsRjR:jlENOR.m)RHbslbROk#_C0__jdb_3 -=Smhg_. -jSQ=kOb_0C#_dj__M3k4Q -S4b=Ok#_C0__jdk_3M -j;sjRf:ljRNROEQRheblsHRkOb_0C#_.j__ -3sSOm=bCk_#j0__3._k -MdS=QjB_pij_jjh7 _js; -R:fjjNRlOqERhR7.blsHRkOb_0C#_.j__ -3lSOm=bCk_#j0__3._k -M4S=QjhU_4n -_HS=Q4B_pij_jjh7 _js; -R:fjjNRlOqERhR7.blsHRkOb_0C#_.j__ -3MSOm=bCk_#j0__3._k -MjS=QjO_bkCr#0.S9 -QO4=bCk_#j0__3._k;Md -fsRjR:jlENOR.m)RHbslbROk#_C0__j.b_3 -=SmhU_. -jSQ=kOb_0C#_.j__M3k4Q -S4b=Ok#_C0__j.k_3M +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMdD_O j_jjC_M_ +8jSbm=FO#_D3 \kdM._ OD_jjj__MC8jj_ +jSQ=#bF_ OD\M3k.O4_Dj _jMj_Cj_8_SH +Qb4=FO#_D3 \k(M._ OD_jjj__MC8Hj_;R +sfjj:ROlNEhRQesRbHklRMO6_H_HMHm +S=6kM_HOHM +_HS=Qjk_M6OMHH;R +sfjj:ROlNEhRq7b.RsRHlk_MUOMHH +=Smk_MUOMHH +jSQ=XM uu_1q_B 7Hj_ +4SQ=6kM_HOHM;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kgM._ OD_jjj__MC8Sj +mF=b#D_O k\3M_.gO_D j_jjM8C_jQ +Sjp=Bij_jj _h_ +7jS=Q4b_F#O\D 3.kMdD_O j_jjC_M_;8j +fsRjR:jlENOReQhRHbsl_RQ. +.US)m=W +_HS=Qj)OW_;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __4#kJlGSN +m1=7_jjj_q hA_p 4J_#lNkG +jSQ=_)WHQ +S4v=1_Qqvt6qr9s; +R:fjjNRlOqERhR7.blsHRq71B_i4Q_hajJ_#lNkG +=Sm7B1qiQ4_hja__l#Jk +GNS=Qj1qv_vqQtr +49S=Q4b_F#O\D 34kMcD_O j_jj__M#O$M;R +sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_S( +mM=k4v_1_Qqvt(q__SH +Q7j=1j_jjh_ q Ap_#4_JGlkN +_HS=Q4hc_4c;_H +fsRjR:jlENOReQhRHbsl_Rh._.cHm +S=.h_.Hc_ +jSQ=.h_. +c;sjRf:ljRNROEQRheblsHR.h_.H6_ +=Smh._.6 +_HS=Qjh._.6s; +R:fjjNRlOQERhbeRsRHlh._.n +_HShm=_n.._SH +Qhj=_n..;R +sfjj:ROlNEhRQesRbHQlR_j.d +=Sm7j1_dHj_ +jSQ=_71j_djOs; +R:fjjNRlOqERhR7.blsHRnkM_#D8_jjj_S4 +mM=kn8_k#j_jj +_4S=Qj7j1_j j_hpqA Q +S41=7_jjd_ +H;sjRf:ljRNROEQRheblsHR1p7_jjj_aQh_SH +m7=p1j_jjh_Qa +_HS=Qjp_71j_jjQ;ha +fsRjR:jlENOR7qh.sRbHklRMDn_8j#_jSj +mM=kn8_D#j_jjQ +Sj7=p1j_jjh_Qa +_HS=Q4k_Mnk_8#j_jj4s; +R:fjjNRlOQERhbeRsRHlz_71j_jjQ_haHm +S=1z7_jjj_aQh_SH +Qzj=7j1_jQj_h +a;sjRf:ljRNROEq.h7RHbslMRkn8_k#j_jjm +S=nkM_#k8_jjj +jSQ=1z7_jjj_aQh_SH +Qk4=Mkn_8j#_j4j_;R +sfjj:ROlNEhRQesRbHqlR_.Hr6S9 +m_=qH6r.9Q +Sj_=qO6r.9s; +R:fjjNRlOQERhbeRsRHlqr_H. +n9Sqm=_.HrnS9 +Qqj=_.Orn +9;sjRf:ljRNROEQRheblsHRHq_r9.( +=Smqr_H. +(9S=Qjqr_O.;(9 +fsRjR:jlENOReQhRHbsl_RqHUr.9m +S=Hq_r9.U +jSQ=Oq_r9.U;R +sfjj:ROlNEhRQesRbHqlR_.HrgS9 +m_=qHgr.9Q +Sj_=qOgr.9s; +R:fjjNRlOQERhbeRsRHlqr_Hd +j9Sqm=_dHrjS9 +Qqj=_dOrj +9;sjRf:ljRNROEQRheblsHRiBp_amz_ u)__6jHm +S=iBp_amz_ u)__6jHQ +Sjp=Biz_ma)_u j_6;R +sfjj:ROlNEhRq7b.RsRHltn_4jm +S=.h_.Sj +QBj=pji_juj_ Q +S4Y=BB_p 7rvqj +9;sjRf:ljRNROEQRheblsHRgh_j +_HShm=__gjHQ +Sj_=hg j;sjRf:ljRNROEQRheblsHRkOb_0C#_4j__ 3sSOm=bCk_#j0__34_k MdS=QjB_pij_jjh7 _js; @@ -2722,693 +3403,84 @@ d;sjRf:ljRNROEmR).blsHRkOb_0C#_4j__ 3bShm=_ .(S=QjO_bkC_#0j__434kM 4SQ=kOb_0C#_4j__M3kjs; -R:fjjNRlOqERhR7.blsHRqev_aQh_S4 -m_=hdj(_ -jSQ=4h_U -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRqAtBji_dQj_h4a_ -=Smhd_d_Sj -Qhj=__..HQ -S41=)a;_O -fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haj -3sSAm=tiqB_jjd_aQh_kj3MSd -Qhj=_;g( -fsRjR:jlENOR7qh.sRbHAlRtiqB_jjd_aQh_lj3 -=SmABtqid_jjh_Qa3_jk -M4S=QjABtqid_jjh_QaQ -S4_=hg -(;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_haj -3MSAm=tiqB_jjd_aQh_kj3MSj -QAj=tiqB_jjj_SO -QA4=tiqB_jjd_aQh_kj3M -d;sjRf:ljRNROEmR).blsHRqAtBji_dQj_hja_3Sb -m_=h.S. -QAj=tiqB_jjd_aQh_kj3MS4 -QA4=tiqB_jjd_aQh_kj3M -j;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jF4cr9m -S=4h_UHg_ -jSQ=kOb_0C#_4Hr9Q -S4b=Ok#_C0r_Hd -9;sjRf:ljRNROEQRheblsHRkOb_0C#_4Hr9m -S=kOb_0C#_4Hr9Q -Sjb=Ok#_C09r4;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44Hc_Fr -.9Shm=_U4U_SH -QOj=bCk_#40r9Q -S4b=Ok#_C09r.;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44H9r. -=SmhU_4n -_HS=Qjhg_46 -_HS=Q4hg_4n;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4___Ncj9rd -=Smhg_4UQ -Sj_=h4_gjHQ -S4b=Ok#_C0r_H. -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jNdcr9m -S=4h_gS( -Qhj=_j4g -4SQ=kOb_0C#r;d9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4___Nc.9r4 -=Smhg_4cQ -Sj_=h4_UUHQ -S4b=Ok#_C09rd;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44jc_N_44r9m -S=4h_gSd -Qhj=_g4U_SH -QO4=bCk_#j0r9s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rd -=SmO_bkC_#0H9rd -jSQ=kOb_0C#r;d9 -fsRjR:jlENOReQhRHbslbROk#_C0r_H.S9 -mb=Ok#_C0r_H.S9 -QOj=bCk_#.0r9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_Nj_cr_j4S9 -m_=h4 -g.S=QjO_bkC_#0H9r. -4SQ=kOb_0C#_dHr9s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rj -=SmO_bkC_#0H9rj -jSQ=kOb_0C#r;j9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__rNc4S9 -m_=h4 -g4S=QjhU_4gQ -S4b=Ok#_C0r_Hj -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_d9r. -=Smhc_4j -_jS=Qjhd_4. -_HS=Q41qv_vqQtr;.9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFddr9m -S=4h_dHn_ -jSQ=_1vqtvQq9rd -4SQ=#bF_ OD\M3k.Og_Dj _jMj_Cj_8_ -H;sjRf:ljRNROEq.h7RHbsl_Rt4 -cnShm=_d.4 -jSQ=.h_4S4 -Q)4=17a_p6Yr9s; -R:fjjNRlOqERhR7.blsHR4t_cSc -m_=h. -44S=Qjhj_.gQ -S41=)ap_7Y9rc;R -sfjj:ROlNEhRq7b.RsRHltc_4.m -S=.h_jSg -Q)j= a1 _amz_#j_JGlkN -_6S=Q4)_1a7rpYd -9;sjRf:ljRNROEq.h7RHbsl_Rt4 -dUShm=_6.j -jSQ=1) ma_zja__l#Jk_GN4Q -S41=)ap_7Y9r4;R -sfjj:ROlNEhRq7b.RsRHltd_4nm -S=1) ma_zja__l#Jk_GN4Q -Sjp=Bij_jj _h -4SQ=a)1_Y7pr;j9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj._Nr -49Shm=_ -UdS=Qjh6_..Q -S4v=1_QqvtHq_r;.9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_McLOoN j_jj__HNS. -m_=hgS( -QAj=tiqB_jjj_SO -QB4=pji_juj_ ;_H -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -49S1m=vv_qQ_tqH9r4 -jSQ=_1vqtvQq9r4;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Fj_c9r4 -=Smh6_.. -_jS=QjB_pij_jjhH _ -4SQ=_1vqtvQq9r4;R -sfjj:ROlNEmRX)b.RsRHlO_bkC_#0j9rj -=Smhn_. -jSQ=iBp_jjj__h 7Sj -QO4=bCk_#j0r9s; -R:fjjNRlOQERhbeRsRHle_vqQ_haHm -S=qev_aQh_SH -Qej=vQq_h -a;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.(O_D j_jjM8C_j -_.Shm=_g4g_S4 -QOj=bCk_#H0_r -j9S=Q4O_bkC_#0H9r4;R -sfjj:ROlNEhRQesRbHelRu7q__SH -mu=eq__7HQ -Sju=eq;_7 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4___Nc49rd -=Smhg_4gQ -Sj_=h4_gg4Q -S4b=Ok#_C0r_H. -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jFdcr9m -S=4h_gHj_ -jSQ=kOb_0C#r -j9S=Q4O_bkCr#04 -9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_cHr9m -S=4kM_a)1_Y7p_cHr9Q -SjM=k41_)ap_7Y9rc;R -sfjj:ROlNEhRq7b.RsRHlk_M4)_1a7_pYHr_lcS9 -mM=k41_)ap_7Y__Hl9rc -jSQ=1) ma_zja__l#Jk_GNHQ -S4M=k41_)ap_7Yr_Hc -9;sjRf:ljRNROEq.h7RHbslFRb#D_O )\317a_p6Y__rHP.S9 -mF=b#D_O )\317a_p6Y___HPH9r. -jSQ=a)1_SO -Qk4=M)4_17a_pHY__Hl_r;d9 -fsRjR:jlENOReQhRHbslMRk41_)ap_7Yr_HdS9 -mM=k41_)ap_7Yr_HdS9 -Qkj=M)4_17a_pdYr9s; -R:fjjNRlOqERhR7.blsHR4kM_a)1_Y7p_lH_r -d9Skm=M)4_17a_pHY__dlr9Q -Sj =)1_ am_zajJ_#lNkG_SH -Qk4=M)4_17a_pHY_r;d9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr -49Sbm=FO#_D3 \)_1a7_pY6P_H_4Hr9Q -Sj1=)a -_OS=Q4k_M4)_1a7_pYH__lH9r.;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY_r -.9Skm=M)4_17a_pHY_r -.9S=Qjk_M4)_1a7rpY. -9;sjRf:ljRNROEq.h7RHbslMRk41_)ap_7Y__Hl9r. -=Smk_M4)_1a7_pYHr_l.S9 -Q)j= a1 _amz_#j_JGlkN -_HS=Q4k_M4)_1a7_pYH9r.;R -sfjj:ROlNEhRq7b.RsRHl) 1az_ma -_4Shm=__dUjQ -Sj =)1_ am_zajJ_#lNkG_SH -Q)4= a1 _amz_ -H;sjRf:ljRNROEq.h7RHbsl R)1_ am_za.m -S=6h_gQ -Sj_=hdSU -Q)4=1Oa_;R -sfjj:ROlNEhRQesRbH)lR a1 _amz_#j_JGlkN -_HS)m= a1 _amz_#j_JGlkN -_HS=Qj) 1az_ma__j#kJlG -N;sjRf:ljRNROEQRheblsHR(h_(__HHm -S=(h_(__HHQ -Sj_=h(H(_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P__jNj.r9m -S=Uh_6Q -Sj_=h(H(__SH -Q)4= a1 _amz_#j_JGlkN;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_H_jjr9m -S=#bF_ OD\13)ap_7Y__6HHP_r -j9S=Qjh6_U_SH -Q)4=1Oa_;R -sfjj:ROlNEmRX)b.RsRHlb_F#O\D 3a)1_Y7p_H6_P__jGj.r9m -S=(h_( -_HS=QjB_pij_jjhS -Q)4=17a_pjYr9s; -R:fjjNRlOXERmR).blsHR4t_dS( -mM=k41_)ap_7Y9r. -jSQ=1) ma_zja__l#Jk_GN4Q -S41=)ap_7Y9r4;R -sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlGSN -m =)1_ am_zajJ_#lNkG -jSQ=1) ma_zja__l#Jk_GN(Q -S41=)ap_7Y9r(;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P9r( -=Smb_F#O\D 3a)1_Y7p_H6_Pr_H(S9 -Q)j=1Oa_ -4SQ=4kM_a)1_Y7p_lH__UHr9s; -R:fjjNRlOQERhbeRsRHlk_M4)_1a7_pYH9rU -=Smk_M4)_1a7_pYH9rU -jSQ=4kM_a)1_Y7pr;U9 -fsRjR:jlENOR7qh.sRbHklRM)4_17a_pHY__Ulr9m -S=4kM_a)1_Y7p_lH_r -U9S=Qj) 1az_ma__j#kJlGHN_ -4SQ=4kM_a)1_Y7p_UHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\13)ap_7Y__6HnPr9m -S=#bF_ OD\13)ap_7Y__6HHP_r -n9S=Qj)_1aOQ -S4M=k41_)ap_7Y__Hlr_H( -9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_(Hr9m -S=4kM_a)1_Y7p_(Hr9Q -SjM=k41_)ap_7Y9r(;R -sfjj:ROlNEhRq7b.RsRHlk_M4)_1a7_pYHr_l(S9 -mM=k41_)ap_7Y__Hl9r( -jSQ=1) ma_zja__l#Jk_GNHQ -S4M=k41_)ap_7Yr_H( -9;sjRf:ljRNROEq.h7RHbslFRb#D_O )\317a_p6Y__rHP6S9 -mF=b#D_O )\317a_p6Y___HPH9r6 -jSQ=a)1_SO -Qk4=M)4_17a_pHY__Hl_r;n9 -fsRjR:jlENOReQhRHbslMRk41_)ap_7Yr_HnS9 -mM=k41_)ap_7Yr_HnS9 -Qkj=M)4_17a_pnYr9s; -R:fjjNRlOqERhR7.blsHR4kM_a)1_Y7p_lH_r -n9Skm=M)4_17a_pHY__nlr9Q -Sj =)1_ am_zajJ_#lNkG_SH -Qk4=M)4_17a_pHY_r;n9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr -c9Sbm=FO#_D3 \)_1a7_pY6P_H_cHr9Q -Sj1=)a -_OS=Q4k_M4)_1a7_pYH__lH9r6;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY_r -69Skm=M)4_17a_pHY_r -69S=Qjk_M4)_1a7rpY6 -9;sjRf:ljRNROEq.h7RHbslMRk41_)ap_7Y__Hl9r6 -=Smk_M4)_1a7_pYHr_l6S9 -Q)j= a1 _amz_#j_JGlkN -_HS=Q4k_M4)_1a7_pYH9r6;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P9rd -=Smb_F#O\D 3a)1_Y7p_H6_Pr_HdS9 -Q)j=1Oa_ -4SQ=4kM_a)1_Y7p_lH__cHr9s; -R:fjjNRlOQERhbeRsRHlQd_.gm -S=_q1j_djHQ -Sj1=q_jjd_ -O;sjRf:ljRNROEq.h7RHbsl1Rq_jjd__7jj__HNS. -m_=hUSg -Qqj=1d_jj -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jNj.r9m -S=Uh_UQ -Sj_=h(S4 -Q14=vv_qQ_tqH9rj;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jNj.r9m -S=Uh_(Q -Sjt=Aq_Bij_djQ_haHQ -S4_=h.;cg -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__Nj_.9r4 -=Smhn_U -jSQ=qAtBji_dQj_hHa_ -4SQ=.h_cHg_;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_H6__Nj_.m -S=Uh_cQ -Sjp=Bij_jj _u -4SQ=_1vqtvQq9rj;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_7_SH -m1=q_jjd__7jHQ -Sj1=q_jjd_;7j -fsRjR:jlENOReQhRHbsl R)1_ am_zaHm -S=1) ma_zHa_ -jSQ=1) ma_z -a;sjRf:ljRNROEq.h7RHbslMRkd#_N_jjd -=Smk_MdNj#_dHj_ -jSQ=1) ma_zSa -Qk4=MN4_#d_jj;_H -fsRjR:jlENOR)Xm.sRbHtlR_g4c -=Smk_M4)_1a7rpYUS9 -Q)j= a1 _amz_#j_JGlkN -_(S=Q4)_1a7rpY( -9;sjRf:ljRNROEX.m)RHbsl_Rt4 -c(Skm=M)4_17a_p(Yr9Q -Sj_=h. -4dS=Q4)_1a7rpYn -9;sjRf:ljRNROEX.m)RHbsl_Rt4 -c6Skm=M)4_17a_pnYr9Q -Sj_=h. -44S=Q4)_1a7rpY6 -9;sjRf:ljRNROEX.m)RHbsl_Rt4 -cdSkm=M)4_17a_p6Yr9Q -Sj_=h. -jgS=Q4)_1a7rpYc -9;sjRf:ljRNROEX.m)RHbsl_Rt4 -c4Skm=M)4_17a_pcYr9Q -Sj =)1_ am_zajJ_#lNkG_S6 -Q)4=17a_pdYr9s; -R:fjjNRlOXERmR).blsHR4t_dSg -mM=k41_)ap_7Y9rd -jSQ=.h_jS6 -Q)4=17a_p.Yr9s; -R:fjjNRlOqERhR7.blsHR_71j_jj Ahqp4 __l#Jk_GN4 -_HShm=_4.c_Sj -Qhj=_U.c -4SQ=#bF_ OD\M3kd#_N_jjd__8jHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_jjS9 -m_=h4_4(HQ -Sj_=hUHU_ -4SQ=4h_dH(_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__njr_jjS9 -mF=b#D_O 1\3Q_Z 7_vqnr_jjS9 -Qhj=__U(HQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__4jr9m -S=#bF_ OD\Q31Z7 _vnq__4jr9Q -Sj_=hUHn_ -4SQ=a)1_ -O;sjRf:ljRNROEQRheblsHR_1vqtvQq__HH9r( -=Sm1qv_vqQt_HH_r -(9S=Qj1qv_vqQt_(Hr9s; -R:fjjNRlOQERhbeRsRHlB_pij_jj7H4_ -=SmB_pij_jj7H4_ -jSQ=iBp_jjj_;74 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \B_pij_jjuY_1h.B__Nj_.9rj -=Smb_F#O\D 3iBp_jjj_1u_Y_hB.9rj -jSQ=iBp_jjj_ -7jS=Q4B_pij_jj7H4_;R -sfjj:ROlNEhRq7b.RsRHlk_M.sHI__ -N.Shm=_ -ggS=QjABtqid_jjh_Qa -_HS=Q4) 1az_mas; -R:fjjNRlOqERhR7.blsHR4kM__N#j_jjH._N -=SmhU_g -jSQ=qAtBji_dQj_hSa -Q)4= a1 _amz;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH._N_Sj -m_=hgSc -Qhj=_n44 -4SQ=#bF_ OD\M3kd#_N_jjd__8jHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_.m -S=gh_dQ -Sj_=h. -64S=Q4b_F#O\D 3dkM__N#j_dj8Hj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3_qj7_vqd__jNS. -mF=b#D_O q\3jv_7q -_dS=QjABtqid_jjh_Qa -_HS=Q4z_71j_jjOs; -R:fjjNRlOQERhbeRsRHlqH4_ -=SmqH4_ -jSQ=_q4Os; -R:fjjNRlOqERhR7.blsHR#bF_ OD\v3qQ_tqA_z1 Ahqp7 _v]q_Q_t]d__HNS. -m_=hgS4 -Qqj=4 -_HS=Q4ABtqid_jjh_Qa;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_Wpm_Hd__ -N.Shm=_ -gjS=QjqO4_ -4SQ=qAtBji_dQj_hHa_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___Fnjc_Nr -j9Shm=_c4j -jSQ=4h_dS. -Q14=vv_qQrtqj -9;sjRf:ljRNROEQRheblsHR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___lcjs_3 -=Smk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hljc__M3kdQ -Sjt=Aq_Bij_djQ;ha -fsRjR:jlENOR7qh.sRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_c__j3Sl -mM=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]Hc_l_3j_k -M4S=Qj1qv_vqQt_HH_r -(9S=Q4ABtqid_jjh_Qas; -R:fjjNRlOqERhR7.blsHR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___lcjM_3 -=Smk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hljc__M3kjQ -Sjv=qQ_tqA_z1 Ahqp7 _v]q_Q -t]S=Q4k_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hljc__M3kds; -R:fjjNRlOmER)b.RsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hljc__ +R:fjjNRlOQERhbeRsRHlO_bkC_#0j__.3Ss +mb=Ok#_C0__j.k_3MSd +QBj=pji_jhj_ j_7;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__.3Sl +mb=Ok#_C0__j.k_3MS4 +Qhj=_n4U_SH +QB4=pji_jhj_ j_7;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__.3SM +mb=Ok#_C0__j.k_3MSj +QOj=bCk_#.0r9Q +S4b=Ok#_C0__j.k_3M +d;sjRf:ljRNROEmR).blsHRkOb_0C#_.j__ 3bShm=_ -(US=Qjk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hljc__M3k4Q -S4M=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]Hc_l_3j_k;Mj -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjc_Fr -j9Shm=__(4jQ -Sjp=Bij_jj _h -4SQ=_1vqtvQq9r4;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jFjcr9m -S=.h_cHg_ -jSQ=1p7_jjj_SH -Qz4=7j1_jHj_;R -sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_#d_JGlkN__HFS. -mF=b#D_O k\3ML6_o NO_jjd_0HM_H8_ -jSQ=qAtBji_dQj_hSa -QA4=tiqB_jjd_aQh_ -7;sjRf:ljRNROEQRheblsHR.Q_cSj -mW=)_SH -Q)j=W;_O -fsRjR:jlENOReQhRHbsl1R7_jjj_q hA_p 4J_#lNkG_H4__3lcsm -S=_71j_jj Ahqp4 __l#Jk_GN4__Hlkc3MSd -Q1j=vv_qQrtq6 -9;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p 4J_#lNkG_H4__3lclm -S=_71j_jj Ahqp4 __l#Jk_GN4__Hlkc3MS4 -Q)j=W -_HS=Q41qv_vqQtr;69 -fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_#4_JGlkN__4Hc_l3SM -m1=7_jjj_q hA_p 4J_#lNkG_H4__3lck -MjS=Qj1qv_vqQt_dHr9Q -S41=7_jjj_q hA_p 4J_#lNkG_H4__3lck;Md -fsRjR:jlENOR.m)RHbsl1R7_jjj_q hA_p 4J_#lNkG_H4__3lcbm -S=.h_cSU -Q7j=1j_jjh_ q Ap_#4_JGlkN__4Hc_l34kM -4SQ=_71j_jj Ahqp4 __l#Jk_GN4__Hlkc3M -j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MNd_#d_jjj_8_Fj_.m -S=#bF_ OD\M3kd#_N_jjd__8jHQ -Sj1=q_jjd__7jHQ -S4 =A)O)_;R -sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_#d_JGlkN -_HShm=_n.c_Sj -Q)j=1Oa_ -4SQ=#bF_ OD\M3k6o_LN_O j_djH_M08;_H -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -69S1m=vv_qQ_tqH9r6 -jSQ=_1vqtvQq9r6;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __4#kJlGHN__Sj -m_=h._c6jQ -SjW=)_SH -Q14=vv_qQrtq6 -9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j -_HShm=_c.c_Sj -Qhj=__gdHQ -S4_=hgHc_;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_h4a__l#Jk_GNHm -S=.h_cjd_ -jSQ=_1vqtvQqr_H6S9 -Qb4=FO#_D3 \k_MdNj#_d8j_j;_H -fsRjR:jlENOR7qh.sRbH7lR1iqB4h_Qa__4#kJlGHN_ -=Smhc_.. -_jS=Qjhj_U_SH -Qb4=FO#_D3 \k_MdNj#_d8j_j;_H -fsRjR:jlENOReQhRHbsl_Rh._cUHm -S=.h_cHU_ -jSQ=.h_c -U;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_n9r6 -=Smh6_4(Q -Sj_=h4 -dUS=Q41qv_vqQt_nHr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hn_N_6jr9m -S=4h_6SU -QAj= _))HQ -S4v=1_Qqvt6qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hn_N_64r9m -S=4h_6Sg -QBj=pji_juj_ -_HS=Q41qv_vqQt_6Hr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hn_Nr -n9Shm=_j4n -jSQ=4h_cS. -Q14=vv_qQ_tqH9r(;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___Nnj9rn -=Smhn_44Q -Sjv=1_QqvtHq_r -n9S=Q4b_F#O\D 3(kM_ OD_jjj__8jHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hd_F_jjr9m -S=4h_dH._ -jSQ=)A ) -_OS=Q4B_pij_jjuH _;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rFd6S9 -m_=h4_dUjQ -Sjp=Bij_jj _h_SH -Q14=vv_qQrtq6 -9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HcS9 -mv=1_QqvtHq_r -c9S=Qj1qv_vqQtr;c9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFcdr9m -S=4h_cj4_ -jSQ=4h_dH._ -4SQ=_1vqtvQq9rc;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9rn -=Sm1qv_vqQt_nHr9Q -Sjv=1_Qqvtnqr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hd_Fr -n9Shm=_.4c_Sj -Qhj=_.4d_SH -Q14=vv_qQrtqn -9;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_SH -m1=q_jjd_jjj_h1YB -_HS=Qjqj1_djj_j1j_Y;hB -fsRjR:jlENOReQhRHbslpRBij_jjj_7_SH -mp=Bij_jjj_7_SH -QBj=pji_j7j_js; -R:fjjNRlOqERhR7.blsHR#bF_ OD\p3Bij_jj__h1BYh_j.__rNcjS9 -mF=b#D_O B\3pji_jhj__h1YBr_.jS9 -QBj=pji_j7j_j -_HS=Q4B_pij_jj7 -4;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_n__jFj.r9m -S=4h_dH(_ -jSQ=4h_jHc_ +.US=QjO_bkC_#0j__.34kM +4SQ=kOb_0C#_.j__M3kjs; +R:fjjNRlOQERhbeRsRHlO_bkC_#0j__d3Ss +mb=Ok#_C0__jdk_3MSd +QBj=pji_jhj_ j_7;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__d3Sl +mb=Ok#_C0__jdk_3MS4 +Qbj=FO#_D3 \O_bkC_#04d4r9Q +S4p=Bij_jj _h_;7j +fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__3d_Mm +S=kOb_0C#_dj__M3kjQ +Sjb=Ok#_C09rd +4SQ=kOb_0C#_dj__M3kds; +R:fjjNRlOmER)b.RsRHlO_bkC_#0j__d3Sb +m_=h.Sg +QOj=bCk_#j0__3d_k +M4S=Q4O_bkC_#0j__d3jkM;R +sfjj:ROlNEhRq7b.RsRHlqj1_j7j_v4q_ +=SmhU_c_Sj +Qhj=_Hn_ 4SQ=a)1_ -O;sjRf:ljRNROEX.m)RHbsl_Rt4 -n(Shm=_n.. -jSQ=pQu_r7j.S9 -QQ4=uOp_r;.9 -fsRjR:jlENOReQhRHbsl_RqHnr49m -S=Hq_r94n -jSQ=Oq_r94n;R -sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqH9r4 -=Sm1 QZ_q7v_4Hr9Q -SjQ=1Z7 _v4qr9s; -R:fjjNRlOqERhR7.blsHRckM_x#HCm -S=ckM_x#HCQ -SjQ=1Z7 _vjqr9Q -S4Q=1Z7 _vHq_r;49 -fsRjR:jlENOReQhRHbslQR1Z7 _vHq_r -j9S1m=Q_Z 7_vqH9rj -jSQ=Z1Q v_7q9rj;R -sfjj:ROlNEhRq7b.RsRHlk_Md#CHx -=Smk_Md#CHx -jSQ=Z1Q v_7q9r4 -4SQ=Z1Q v_7qr_Hj -9;sjRf:ljRNROEq.h7RHbslMRk.L._C_ssj._N_S4 -mM=k.L._C_ss4Q -Sj1=q_jjd_SH -QA4=tiqB_jjj_ -O;sjRf:ljRNROEQRheblsHRzwu_h1 1H _ -=Smw_uz11 h -_HS=Qjw_uz11 h ;_O -fsRjR:jlENOR7qh.sRbHklRMN4_#d_jjm -S=4kM__N#j_djHQ -Sjt=Aq_Bij_djQ_haHQ -S4 =MX1u_u qB__7jHs; -R:fjjNRlOqERhR7.blsHR4kMcl_NH_oNL_k#8NN0_s8H -=SmkcM4_HNloLN_k8#_N_0N8 -HsS=QjABtqid_jjh_Qa -_HS=Q4)jW_jHj_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rNndS9 -m_=h4 -6.S=Qjhd_4nQ -S4v=1_QqvtHq_r;c9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HNjn_r -d9Shm=_d46 -jSQ=)A ) -_HS=Q41qv_vqQtr;d9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HN4n_r -d9Shm=_c46 -jSQ=iBp_jjj__u HQ -S4v=1_QqvtHq_r;d9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HNcnr9m -S=4h_6S6 -Qhj=_44c -4SQ=_1vqtvQqr_H6 -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_jcS9 -m_=h4 -6nS=QjB_pij_jjhH _ -4SQ=_1vqtvQqr_Hc -9;sjRf:ljRNROEq.h7RHbslMRkn8_D#j_jj -_4Skm=Mkn_8j#_j4j_ -jSQ=_71j_jj AhqpS -Q74=1d_jj;_H -fsRjR:jlENOReQhRHbsl7Rp1j_jjh_Qa -_HSpm=7j1_jQj_hHa_ -jSQ=1p7_jjj_aQh;R -sfjj:ROlNEhRq7b.RsRHlk_MnD_8#j -jjSkm=MDn_8j#_jSj -Qpj=7j1_jQj_hHa_ -4SQ=nkM_#k8_jjj_ -4;sjRf:ljRNROEQRheblsHRHq_r9.c -=Smqr_H. -c9S=Qjqr_O.;c9 -fsRjR:jlENOReQhRHbsl_RqH6r.9m -S=Hq_r9.6 -jSQ=Oq_r9.6;R -sfjj:ROlNEhRQesRbHqlR_.HrnS9 -m_=qHnr.9Q -Sj_=qOnr.9s; -R:fjjNRlOQERhbeRsRHlqr_H. -(9Sqm=_.Hr(S9 -Qqj=_.Or( -9;sjRf:ljRNROEQRheblsHRHq_r9.U -=Smqr_H. -U9S=Qjqr_O.;U9 -fsRjR:jlENOReQhRHbsl_RqHgr.9m -S=Hq_r9.g -jSQ=Oq_r9.g;R -sfjj:ROlNEhRQesRbHqlR_dHrjS9 -m_=qHjrd9Q -Sj_=qOjrd9s; -R:fjjNRlOQERhbeRsRHlqr_Hd -49Sqm=_dHr4S9 -Qqj=_dOr4 -9;sjRf:ljRNROEQRheblsHRHq_r94U -=Smqr_H4 -U9S=Qjqr_O4;U9 -fsRjR:jlENOReQhRHbsl_RqHgr49m -S=Hq_r94g -jSQ=Oq_r94g;R -sfjj:ROlNEmRX)b.RsRHltn_46m -S=.h_.Sc -QQj=u7p_j9rj -4SQ=pQu_jOr9s; -R:fjjNRlOXERmR).blsHR4t_nSn -m_=h. -.6S=QjQ_up74jr9Q -S4u=Qpr_O4 -9;sjRf:ljRNROEQRheblsHRiBp_amz_ u)__6jHm -S=iBp_amz_ u)__6jHQ -Sjp=Biz_ma)_u j_6;R -sfjj:ROlNEhRQesRbHhlR_c.._SH -m_=h._.cHQ -Sj_=h.;.c -fsRjR:jlENOReQhRHbsl_Rh._.6Hm -S=.h_.H6_ -jSQ=.h_. -6;sjRf:ljRNROEQRheblsHR.h_.Hn_ -=Smh._.n -_HS=Qjh._.ns; -R:fjjNRlOQERhbeRsRHlz_71j_jjQ_haHm -S=1z7_jjj_aQh_SH -Qzj=7j1_jQj_h -a;sjRf:ljRNROEq.h7RHbslMRkn8_k#j_jjm -S=nkM_#k8_jjj -jSQ=1z7_jjj_aQh_SH -Qk4=Mkn_8j#_j4j_;R -sfjj:ROlNEhRQesRbHQlR_..c -=Sm7j1_dHj_ -jSQ=_71j_djOs; -R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]__j#kJlGHN_ -=SmqtvQqz_A1h_ q Ap_q7v_t]Q]__j#kJlGHN_ -jSQ=QqvtAq_z 1_hpqA v_7qQ_]tj]__l#Jk;GN -fsRjR:jlENOReQhRHbsl_RhUHg_ -=Smhg_U_SH -Qhj=_;Ug -fsRjR:jlENOR7qh.sRbH7lRaiqB__7jjm -S=6h_( -_jS=Qj7Baqi__OHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHQlRu7p_jr_jjS9 -m_=h6j._ -jSQ=pQu_HO_r -j9S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRpQu__7jj9r4 -=Smhd_6_Sj -QQj=uOp__4Hr9Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbHQlRu7p_jr_j.S9 -m_=h6jc_ -jSQ=pQu_HO_r -.9S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_j4r9m -S=dh_j -_jS=Qjhd_._SH +O;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_S4 +m_=hcjg_ +jSQ=dh__SH Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_dj49r4 -=Smh4_d_Sj -Qhj=__.cHQ +sfjj:ROlNEhRq7b.RsRHl7j1_d7j_j +_jShm=__6jjQ +Sj1=7_jjd_SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlMu X_q1uB7 _j +_jShm=__66jQ +Sj1=)a +_OS=Q4Mu X_q1uBO __ +H;sjRf:ljRNROEq.h7RHbsluReq__7jm +S=6h_n +_jS=Qj)_1aOQ +S4u=eq__OHs; +R:fjjNRlOqERhR7.blsHRq7aB7i_j +_jShm=__6(jQ +Sja=7q_BiO +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRpQu__7jj9rj +=Smh._6_Sj +QQj=uOp__jHr9Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbHQlRu7p_jr_j4S9 +m_=h6jd_ +jSQ=pQu_HO_r +49S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRpQu__7jj9r. +=Smhc_6_Sj +QQj=uOp__.Hr9Q S41=)a;_O fsRjR:jlENOR7qh.sRbHQlRujp_d4j_r -.9Shm=__d.jQ -Sj_=h.H6_ +j9Shm=__djjQ +Sj_=h.Hd_ 4SQ=a)1_ -O;sjRf:ljRNROEQRheblsHRZ1Q v_7q__jjs_3 -=Sm1 QZ_q7v_jj__M3kdQ -Sj_=h.;cn -fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__j3Sl -mQ=1Z7 _vjq__3j_k -M4S=Qjb_F#O\D 3Z1Q v_7qr_njS9 -Qh4=_n.c;R -sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_jj__ -3MS1m=Q_Z 7_vqj__j3jkM -jSQ=Z1Q v_7q9rj -4SQ=Z1Q v_7q__jjk_3M -d;sjRf:ljRNROEmR).blsHRZ1Q v_7q__jjb_3 -=Smh -_cS=Qj1 QZ_q7v_jj__M3k4Q -S4Q=1Z7 _vjq__3j_k;Mj -fsRjR:jlENOReQhRHbslQR1Z7 _vjq__34_sm -S=Z1Q v_7q__j4k_3MSd -Qhj=_n.c;R -sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_4j__ -3lS1m=Q_Z 7_vqj__434kM -jSQ=#bF_ OD\Q31Z7 _vnq_r -49S=Q4hc_.ns; -R:fjjNRlOqERhR7.blsHRZ1Q v_7q__j4M_3 -=Sm1 QZ_q7v_4j__M3kjQ -SjQ=1Z7 _v4qr9Q -S4Q=1Z7 _vjq__34_k;Md -fsRjR:jlENOR.m)RHbslQR1Z7 _vjq__34_bm -S=6h_ -jSQ=Z1Q v_7q__j4k_3MS4 -Q14=Q_Z 7_vqj__43jkM;R +O;sjRf:ljRNROEq.h7RHbsluRQpd_jjr_44S9 +m_=hdj4_ +jSQ=.h_c +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRpQu_jjd_.4r9m +S=dh_. +_jS=Qjh6_._SH +Q)4=1Oa_;R sfjj:ROlNEhRQesRbHQlRujp_djj__3j_sm S=pQu_jjd_jj__M3kdQ SjF=b#D_O H\3b @@ -3454,41 +3526,133 @@ sfjj:ROlNE)Rm.sRbHQlRujp_djj__3._bm S=.h_6Q Sju=Qpd_jj__j.k_3MS4 QQ4=ujp_djj__3._k;Mj -fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_S4 -m_=hnSj -Qhj=_ -44S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_Atj_jj4m -S=dh_c -_jS=Qjh4_._SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q] -_4Shm=__d6jQ -Sj_=h.Hj_ +fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_sj3 +=Sm7B1qiQ4_hja_3dkM +jSQ=q71B_i4Q_ha4J_#lNkG;R +sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja_3Sl +m1=7q4Bi_aQh_kj3MS4 +Q7j=1iqB4h_QaQ +S41=7q4Bi_aQh_#4_JGlkNs; +R:fjjNRlOqERhR7.blsHRq71B_i4Q_haj +3MS7m=1iqB4h_Qa3_jk +MjS=Qj7B1qiQ4_hja__l#Jk_GNHQ +S41=7q4Bi_aQh_kj3M +d;sjRf:ljRNROEmR).blsHRq71B_i4Q_haj +3bShm=_Sg +Q7j=1iqB4h_Qa3_jk +M4S=Q47B1qiQ4_hja_3jkM;R +sfjj:ROlNEhRQesRbHqlR1j_jjh_Qa3_jsm +S=_q1j_jjQ_hajM3kdQ +Sj1=q_jjj_aQh_#4_JGlkNs; +R:fjjNRlOqERhR7.blsHR_q1j_jjQ_haj +3lSqm=1j_jjh_Qa3_jk +M4S=Qjqj1_jQj_hSa +Qq4=1j_jjh_Qa__4#kJlG +N;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_Mj3 +=Smqj1_jQj_hja_3jkM +jSQ=_1vqtvQqr_H6S9 +Qq4=1j_jjh_Qa3_jk;Md +fsRjR:jlENOR.m)RHbsl1Rq_jjj_aQh_bj3 +=Smhj_4 +jSQ=_q1j_jjQ_hajM3k4Q +S41=q_jjj_aQh_kj3M +j;sjRf:ljRNROEQRheblsHR1p7_jjj_aQh_sj3 +=Smp_71j_jjQ_hajM3kdQ +SjF=b#D_O k\3M8d_#d_jjj_8;R +sfjj:ROlNEhRq7b.RsRHlp_71j_jjQ_haj +3lSpm=7j1_jQj_hja_34kM +jSQ=#bF_ OD\M3k484_#d_jjj_8_SH +Qb4=FO#_D3 \k_Md8j#_d8j_js; +R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_Mj3 +=Smp_71j_jjQ_hajM3kjQ +Sj7=p1j_jjh_QaQ +S47=p1j_jjh_Qa3_jk;Md +fsRjR:jlENOR.m)RHbsl7Rp1j_jjh_Qa3_jbm +S=4h_cQ +Sj7=p1j_jjh_Qa3_jk +M4S=Q4p_71j_jjQ_hajM3kjs; +R:fjjNRlOQERhbeRsRHl7j1_j j_hpqA __4#kJlGHN_ +=Sm7j1_j j_hpqA __4#kJlGHN_ +jSQ=_71j_jj Ahqp4 __l#Jk;GN +fsRjR:jlENOReQhRHbslWR)_jjj_aQh_sj3 +=Sm)jW_jQj_hja_3dkM +jSQ=4h_4 +6;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_lj3 +=Sm)jW_jQj_hja_34kM +jSQ=_71j_jj Ahqp4 __l#Jk_GNHQ +S4_=h4;46 +fsRjR:jlENOR7qh.sRbH)lRWj_jjh_Qa3_jMm +S=_)Wj_jjQ_hajM3kjQ +SjW=)_jjj_aQh +4SQ=_)Wj_jjQ_hajM3kds; +R:fjjNRlOmER)b.RsRHl)jW_jQj_hja_3Sb +m_=h4S6 +Q)j=Wj_jjh_Qa3_jk +M4S=Q4)jW_jQj_hja_3jkM;R +sfjj:ROlNEhRQesRbHzlR7j1_jQj_hja_3Ss +m7=z1j_jjh_Qa3_jk +MdS=Qjb_F#O\D 3dkM__8#j_dj8 +j;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa3_jlm +S=1z7_jjj_aQh_kj3MS4 +Qqj=j +_OS=Q4b_F#O\D 3dkM__8#j_dj8 +j;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa3_jMm +S=1z7_jjj_aQh_kj3MSj +Qzj=7j1_jQj_hSa +Qz4=7j1_jQj_hja_3dkM;R +sfjj:ROlNE)Rm.sRbHzlR7j1_jQj_hja_3Sb +m_=h4S( +Qzj=7j1_jQj_hja_34kM +4SQ=1z7_jjj_aQh_kj3M +j;sjRf:ljRNROEQRheblsHRqev_aQh_sj3 +=Sme_vqQ_hajM3kdQ +SjF=b#D_O k\3MO(_Dj _jbj_Cs; +R:fjjNRlOqERhR7.blsHRqev_aQh_lj3 +=Sme_vqQ_hajM3k4Q +Sjb=Ok#_C09r4 +4SQ=#bF_ OD\M3k(D_O j_jjC_b;R +sfjj:ROlNEhRq7b.RsRHle_vqQ_haj +3MSem=vQq_hja_3jkM +jSQ=qev_aQh +4SQ=qev_aQh_kj3M +d;sjRf:ljRNROEmR).blsHRqev_aQh_bj3 +=Smhg_4 +jSQ=qev_aQh_kj3MS4 +Qe4=vQq_hja_3jkM;R +sfjj:ROlNEhRQesRbHAlRtj_jj3_jsm +S=_Atj_jjjM3kdQ +SjF=b#D_O k\3MLU_od_jjs; +R:fjjNRlOqERhR7.blsHR_Atj_jjj +3lSAm=tj_jj3_jk +M4S=QjAjt_dOj_ +4SQ=#bF_ OD\M3kUo_L_jjd;R +sfjj:ROlNEhRq7b.RsRHlAjt_jjj_3SM +mt=A_jjj_kj3MSj +QAj=tj_jj +_OS=Q4Ajt_jjj_3dkM;R +sfjj:ROlNE)Rm.sRbHAlRtj_jj3_jbm +S=.h_.Q +Sjt=A_jjj_kj3MS4 +QA4=tj_jj3_jk;Mj +fsRjR:jlENOR7qh.sRbHAlRtj_jj +_4Shm=__ddjQ +Sj_=h.H._ 4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_m4W_ -=Smhn_d_Sj -Qhj=__4gHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_h4a_ -=Smhg_d_Sj -Qhj=__4nHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbH)lRWj_jjv_7q -_.Shm=__cjjQ -Sj_=h4H6_ +O;sjRf:ljRNROEq.h7RHbslvReqh_Qa +_4Shm=__dnjQ +Sj_=h4Hg_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa +_4Shm=__dUjQ +Sj_=h4H(_ 4SQ=a)1_ O;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_S. -m_=hcj4_ -jSQ=4h_c +m_=hcjj_ +jSQ=4h_6 _HS=Q4)_1aOs; R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_S4 -m_=hcj._ -jSQ=4h_d -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_S4 -m_=hcjd_ -jSQ=4h_. +m_=hcj4_ +jSQ=4h_c _HS=Q4)_1aOs; R:fjjNRlOqERhR7.blsHR_q1j_jjQ_ha4m S=ch_c @@ -3498,254 +3662,72 @@ sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_h4a_ =Smh6_c_Sj Qhj=_Hg_ 4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsljRq_q7v_S4 -m_=hcjn_ -jSQ=Uh__SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl7j1_d7j_j -_jShm=__6jjQ -Sj1=7_jjd_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlMu X_q1uB7 _j -_jShm=__66jQ -Sj1=)a -_OS=Q4Mu X_q1uBO __ -H;sjRf:ljRNROEq.h7RHbsluReq__7jm -S=6h_n -_jS=Qj)_1aOQ -S4u=eq__OHs; -R:fjjNRlOQERhbeRsRHlhj_U_SH -m_=hUHj_ -jSQ=Uh_js; -R:fjjNRlOQERhbeRsRHl7B1qiQ4_hja_3Ss -m1=7q4Bi_aQh_kj3MSd -Qhj=_..c;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja_3Sl -m1=7q4Bi_aQh_kj3MS4 -Qhj=__UjHQ -S4_=h.;c. -fsRjR:jlENOR7qh.sRbH7lR1iqB4h_Qa3_jMm -S=q71B_i4Q_hajM3kjQ -Sj1=7q4Bi_aQh -4SQ=q71B_i4Q_hajM3kds; -R:fjjNRlOmER)b.RsRHl7B1qiQ4_hja_3Sb -m_=hgQ -Sj1=7q4Bi_aQh_kj3MS4 -Q74=1iqB4h_Qa3_jk;Mj -fsRjR:jlENOReQhRHbsl1Rq_jjj_aQh_sj3 -=Smqj1_jQj_hja_3dkM +O;sjRf:ljRNROEQRheblsHR_71j_dj7Hj_ +=Sm7j1_d7j_j +_HS=Qj7j1_d7j_js; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kd#_8_jjd_ +8jSbm=FO#_D3 \k_Md8j#_d8j_jQ +Sj1=7_jjd__7jHQ +S4v=1_Qqvtnqr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kUo_L_jjd +=Smb_F#O\D 3UkM__Loj_djjQ +Sjt=A_jjd_HO_ +4SQ=#bF_ OD\M3kno_L_jjd_ +H;sjRf:ljRNROEQRheblsHRnkM__N#j_djHm +S=nkM__N#j_djHQ +SjM=kn#_N_jjd;R +sfjj:ROlNEhRQesRbHklRMOU_H_HMHm +S=UkM_HOHM +_HS=Qjk_MUOMHH;R +sfjj:ROlNEhRQesRbHklRMNc_#j_jj +_HSkm=MNc_#j_jj +_HS=Qjk_McNj#_j +j;sjRf:ljRNROEQRheblsHR_71j_jj7_vqHm +S=_71j_jj7_vqHQ +Sj1=7_jjj_q7v;R +sfjj:ROlNEhRq7b.RsRHlk_Mn8j#_dSj +mM=kn#_8_jjd +jSQ=_q1j_jjHQ +S41=7_jjj_q7v_ +H;sjRf:ljRNROEQRheblsHRnkM__8#j_djHm +S=nkM__8#j_djHQ +SjM=kn#_8_jjd;R +sfjj:ROlNEhRQesRbHklRMkn_8j#_jHj_ +=Smk_Mnk_8#j_jjHQ +SjM=kn8_k#j_jjs; +R:fjjNRlOQERhbeRsRHlk_MnD_8#j_jjHm +S=nkM_#D8_jjj_SH +Qkj=MDn_8j#_j +j;sjRf:ljRNROEQRheblsHR_71j_jj7_vqj +3sS7m=1j_jjv_7q3_jk +MdS=Qjhc_.6s; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vqj +3lS7m=1j_jjv_7q3_jk +M4S=Qjb_F#O\D 3_71j_jj7_vqcQ +S4_=h.;c6 +fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q3_jMm +S=_71j_jj7_vqjM3kjQ +Sj1=7_jjj_q7v +4SQ=_71j_jj7_vqjM3kds; +R:fjjNRlOmER)b.RsRHl7j1_j7j_vjq_3Sb +m_=hdQ +Sj1=7_jjj_q7v_kj3MS4 +Q74=1j_jjv_7q3_jk;Mj +fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_sj3 +=Smqj1_j7j_vjq_3dkM jSQ=.h_c -d;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_lj3 -=Smqj1_jQj_hja_34kM -jSQ=_1vqtvQqr_H6S9 -Qh4=_d.c;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja_3SM -m1=q_jjj_aQh_kj3MSj -Qqj=1j_jjh_QaQ -S41=q_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR_q1j_jjQ_haj -3bShm=_ -4jS=Qjqj1_jQj_hja_34kM -4SQ=_q1j_jjQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHl7j1_j j_hpqA 3_jsm -S=_71j_jj Ahqpj _3dkM -jSQ=.h_c -4;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p j -3lS7m=1j_jjh_ q Ap_kj3MS4 -Qhj=_U.c_SH -Qh4=_4.c;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA 3_jMm -S=_71j_jj Ahqpj _3jkM -jSQ=_71j_jj AhqpS -Q74=1j_jjh_ q Ap_kj3M -d;sjRf:ljRNROEmR).blsHR_71j_jj Ahqpj _3Sb -m_=h4S4 -Q7j=1j_jjh_ q Ap_kj3MS4 -Q74=1j_jjh_ q Ap_kj3M -j;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_sj3 -=Smqj1_djj_j1j_Y_hBjM3kdQ -Sj_=h.;cc -fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1hjB_3Sl -m1=q_jjd_jjj_h1YB3_jk -M4S=Qjqj1_djj_j1j_Y -hBS=Q4hc_.cs; -R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_Mj3 -=Smqj1_djj_j1j_Y_hBjM3kjQ -SjF=b#D_O k\3MNd_#d_jjj_8 -4SQ=_q1j_djj_jj1BYh_kj3M -d;sjRf:ljRNROEmR).blsHR_q1j_djj_jj1BYh_bj3 -=Smh._4 -jSQ=_q1j_djj_jj1BYh_kj3MS4 -Qq4=1d_jjj_jjY_1hjB_3jkM;R -sfjj:ROlNEhRQesRbHplR7j1_jQj_hja_3Ss -m7=p1j_jjh_Qa3_jk -MdS=Qjb_F#O\D 3dkM__8#j_dj8 -j;sjRf:ljRNROEq.h7RHbsl7Rp1j_jjh_Qa3_jlm -S=1p7_jjj_aQh_kj3MS4 -Qbj=FO#_D3 \k4M4__8#j_dj8Hj_ -4SQ=#bF_ OD\M3kd#_8_jjd_;8j -fsRjR:jlENOR7qh.sRbHplR7j1_jQj_hja_3SM -m7=p1j_jjh_Qa3_jk -MjS=Qjp_71j_jjQ -haS=Q4p_71j_jjQ_hajM3kds; -R:fjjNRlOmER)b.RsRHlp_71j_jjQ_haj -3bShm=_ -4dS=Qjp_71j_jjQ_hajM3k4Q -S47=p1j_jjh_Qa3_jk;Mj -fsRjR:jlENOReQhRHbslWR)_jjj_aQh_sj3 -=Sm)jW_jQj_hja_3dkM -jSQ=4h_4 -6;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_lj3 -=Sm)jW_jQj_hja_34kM -jSQ=.h_cS6 -Qh4=_644;R -sfjj:ROlNEhRq7b.RsRHl)jW_jQj_hja_3SM -mW=)_jjj_aQh_kj3MSj -Q)j=Wj_jjh_QaQ -S4W=)_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR_)Wj_jjQ_haj -3bShm=_ -4cS=Qj)jW_jQj_hja_34kM -4SQ=_)Wj_jjQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHlkcM4_HNloLN_k8#_N_0N8_HsHm -S=4kMcl_NH_oNL_k#8NN0_s8H_SH -Qkj=M_4cNolHNk_L#N_808N_H -s;sjRf:ljRNROEQRheblsHR_)Wj_jj7_vqj -3sS)m=Wj_jjv_7q3_jk -MdS=Qjb_F#O\D 36kM_NLoOj _dHj_M80_;R -sfjj:ROlNEhRq7b.RsRHl)jW_j7j_vjq_3Sl -mW=)_jjj_q7v_kj3MS4 -Qkj=M_4cNolHNk_L#N_808N_HHs_ -4SQ=#bF_ OD\M3k6o_LN_O j_djH_M08s; -R:fjjNRlOqERhR7.blsHR_)Wj_jj7_vqj -3MS)m=Wj_jjv_7q3_jk -MjS=Qj)jW_j7j_vSq -Q)4=Wj_jjv_7q3_jk;Md -fsRjR:jlENOR.m)RHbslWR)_jjj_q7v_bj3 -=Smh6_4 -jSQ=_)Wj_jj7_vqjM3k4Q -S4W=)_jjj_q7v_kj3M -j;sjRf:ljRNROEQRheblsHR1z7_jjj_aQh_sj3 -=Smz_71j_jjQ_hajM3kdQ -SjF=b#D_O k\3M8d_#d_jjj_8;R -sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_haj -3lSzm=7j1_jQj_hja_34kM -jSQ=_qjOQ -S4F=b#D_O k\3M8d_#d_jjj_8;R -sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_haj -3MSzm=7j1_jQj_hja_3jkM -jSQ=1z7_jjj_aQh -4SQ=1z7_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR1z7_jjj_aQh_bj3 -=Smhn_4 -jSQ=1z7_jjj_aQh_kj3MS4 -Qz4=7j1_jQj_hja_3jkM;R -sfjj:ROlNEhRQesRbHhlR__gjHm -S=gh_j -_HS=Qjhj_g;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj -3sSqm=vqQt_1Az_q hA_p 7_vqp_mWjM3kdQ -SjF=b#D_O k\3ML6_o NO_jjd_0HM_ -8;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3Sl -mv=qQ_tqA_z1 Ahqp7 _vpq_mjW_34kM -jSQ=gh_j -_HS=Q4b_F#O\D 36kM_NLoOj _dHj_M80_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_Mj3 -=SmqtvQqz_A1h_ q Ap_q7v_Wpm_kj3MSj -Qqj=vqQt_1Az_q hA_p 7_vqp -mWS=Q4qtvQqz_A1h_ q Ap_q7v_Wpm_kj3M -d;sjRf:ljRNROEmR).blsHRQqvtAq_z 1_hpqA v_7qm_pW3_jbm -S=4h_gQ -Sjv=qQ_tqA_z1 Ahqp7 _vpq_mjW_34kM -4SQ=QqvtAq_z 1_hpqA v_7qm_pW3_jk;Mj -fsRjR:jlENOReQhRHbsl_RhgH4_ -=Smh4_g_SH -Qhj=_;g4 -fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j -3sSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MSd -Qbj=FO#_D3 \k_M6LOoN d_jjM_H0;_8 -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_lj3 -=SmqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk -M4S=Qjh4_g_SH -Qb4=FO#_D3 \k_M6LOoN d_jjM_H0;_8 -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_Mj3 -=SmqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk -MjS=QjqtvQqz_A1h_ q Ap_q7v_t]Q]Q -S4v=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kds; -R:fjjNRlOmER)b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]3_jbm -S=.h_jQ -Sjv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3k4Q -S4v=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kjs; -R:fjjNRlOQERhbeRsRHlAjt_jjj_3Ss -mt=A_jjj_kj3MSd -Qbj=FO#_D3 \k_MULjo_d -j;sjRf:ljRNROEq.h7RHbsltRA_jjj_lj3 -=SmAjt_jjj_34kM -jSQ=_Atj_djOQ -S4F=b#D_O k\3MLU_od_jjs; -R:fjjNRlOqERhR7.blsHR_Atj_jjj -3MSAm=tj_jj3_jk -MjS=QjAjt_jOj_ -4SQ=_Atj_jjjM3kds; -R:fjjNRlOmER)b.RsRHlAjt_jjj_3Sb -m_=h.S4 -QAj=tj_jj3_jk -M4S=Q4Ajt_jjj_3jkM;R -sfjj:ROlNEhRQesRbH7lR1d_jjj_7_SH -m1=7_jjd__7jHQ -Sj1=7_jjd_;7j -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_Md8j#_d8j_jm -S=#bF_ OD\M3kd#_8_jjd_ -8jS=Qj7j1_d7j_j -_HS=Q41qv_vqQtr;n9 -fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _vpq_mHW_ -=SmqtvQqz_A1h_ q Ap_q7v_Wpm_SH -Qqj=vqQt_1Az_q hA_p 7_vqp;mW -fsRjR:jlENOR7qh.sRbHklRMN4_lNHo_#Lk_NCML_DCD -FISkm=MN4_lNHo_#Lk_NCML_DCD -FIS=QjqtvQqz_A1h_ q Ap_q7v_Wpm_SH -QA4=tiqB_jjd_aQh_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLU_od_jjm -S=#bF_ OD\M3kUo_L_jjd_Sj -QAj=td_jj__OHQ -S4F=b#D_O k\3MLn_od_jj;_H -fsRjR:jlENOReQhRHbslMRkn#_N_jjd_SH -mM=kn#_N_jjd_SH -Qkj=MNn_#d_jjs; -R:fjjNRlOQERhbeRsRHlqj1_jQj_hHa_ -=Smqj1_jQj_hHa_ -jSQ=_q1j_jjQ;ha -fsRjR:jlENOR7qh.sRbHklRMNc_#j_jjm -S=ckM__N#j -jjS=Qjqj1_jQj_hHa_ -4SQ=_q1j_djHs; -R:fjjNRlOQERhbeRsRHlk_McNj#_jHj_ -=Smk_McNj#_jHj_ -jSQ=ckM__N#j;jj -fsRjR:jlENOReQhRHbslMRkn#_8_jjd_SH -mM=kn#_8_jjd_SH -Qkj=M8n_#d_jjs; -R:fjjNRlOQERhbeRsRHlk_Mnk_8#j_jjHm -S=nkM_#k8_jjj_SH -Qkj=Mkn_8j#_j -j;sjRf:ljRNROEQRheblsHRnkM_#D8_jjj_SH -mM=kn8_D#j_jj -_HS=Qjk_MnD_8#j;jj -fsRjR:jlENOReQhRHbsljRq_q7v_sj3 -=Smq7j_vjq_3dkM -jSQ=#bF_ OD\M3k6o_LN_O j_djH_M08s; -R:fjjNRlOqERhR7.blsHR_qj7_vqj -3lSqm=jv_7q3_jk -M4S=Qjb_F#O\D 3_qj7_vqdQ -S4F=b#D_O k\3ML6_o NO_jjd_0HM_ -8;sjRf:ljRNROEq.h7RHbsljRq_q7v_Mj3 -=Smq7j_vjq_3jkM -jSQ=_qj7 -vqS=Q4q7j_vjq_3dkM;R -sfjj:ROlNE)Rm.sRbHqlRjv_7q3_jbm -S=Uh_ -jSQ=_qj7_vqjM3k4Q -S4j=q_q7v_kj3M +c;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_lj3 +=Smqj1_j7j_vjq_34kM +jSQ=#bF_ OD\M3k.Lc_o NO_jjd_0HM_jH_ +4SQ=.h_c +c;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_Mj3 +=Smqj1_j7j_vjq_3jkM +jSQ=_q1j_jj7 +vqS=Q4qj1_j7j_vjq_3dkM;R +sfjj:ROlNE)Rm.sRbHqlR1j_jjv_7q3_jbm +S=nh_ +jSQ=_q1j_jj7_vqjM3k4Q +S41=q_jjj_q7v_kj3M j; @ diff --git a/Logic/BUS68030.srr b/Logic/BUS68030.srr index 0d5da8e..9ec312d 100644 --- a/Logic/BUS68030.srr +++ b/Logic/BUS68030.srr @@ -1,12 +1,12 @@ #Build: Synplify Pro I-2014.03LC , Build 063R, May 27 2014 #install: C:\ispLever\synpbase -#OS: Windows 7 6.1 +#OS: Windows 7 6.2 #Hostname: DEEPTHOUGHT #Implementation: logic $ Start of Compile -#Thu Jul 09 18:48:52 2015 +#Thu Sep 24 16:20:52 2015 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014 @N|Running in 64-bit mode @@ -42,14 +42,13 @@ State machine has 8 reachable states with original encodings of: 110 111 @N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":139:37:139:39|Trying to extract state machine for register cpu_est -@W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 23 to 20 of a(31 downto 2) are unused @W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 15 to 2 of a(31 downto 2) are unused @END At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Jul 09 18:48:52 2015 +# Thu Sep 24 16:20:52 2015 ###########################################################] Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014 @@ -59,7 +58,7 @@ File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs cha At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Jul 09 18:48:53 2015 +# Thu Sep 24 16:20:53 2015 ###########################################################] Map & Optimize Report @@ -68,7 +67,7 @@ Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May 6 2014 Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use or distribution of the software is strictly prohibited. Product Version I-2014.03LC @N: MF248 |Running in 64-bit mode. -@W: MO111 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":497:16:497:18|Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) +@W: MO111 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":498:16:498:18|Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral)) original code -> new code 000 -> 00000000 @@ -90,10 +89,10 @@ BI_DIR 11 uses IBUF 46 uses OBUF 15 uses BUFTH 3 uses -AND2 304 uses -INV 263 uses -OR2 27 uses -XOR2 14 uses +AND2 308 uses +INV 261 uses +OR2 25 uses +XOR2 15 uses @N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. @@ -103,6 +102,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Jul 09 18:48:54 2015 +# Thu Sep 24 16:20:54 2015 ###########################################################] diff --git a/Logic/BUS68030.srs b/Logic/BUS68030.srs index 844aca16f3b04ad2afb610c5a182ad8bea8f3562..6821e581beb3b63c8e71481401ffda0648d04b9a 100644 GIT binary patch delta 12502 zcmV;{Fe%TgW36O=ABzY80000001JFlOOMkq5WeSEj9OI!sg05}ZP}cFio;5~&BB6bg){1|_)87~$K=$&QM{Jdg)JW-3$JetEDa!a1!;)ody zSOX8u00-TclTTP`nhT7_(L&GJL zh=fOyrgwx~x)Ip^pRf~C*0dv32!j_o5)Q4ct4pI1F2v0w$mlvh$!lwB3R)iQ3Ch0> zlK5!6SUWp^#9-(*_?0?*Xj`zt=z`o59E#g|(1CCX)&cp5Qm9H^ZTz4xA%94Vs>4H=3iHA`|GM3S|;!OESm2hzZJ86p%nT4Gk!;>l$XI( zrAMVIGTVH*I2!o{5;(>mI4jaTNwaLXTW9|ehE2x3|9;OId&c88AiKJco^8z7o|iM{ zoH_6Q=+Td)Ye%--B_y)LPn2HL!<&ovWe{*4(Lb&n|MJ>BO#OL_`q#s2*Z6~u=ft13 zu3b7b{$ST*GN;pj>y}RsLx17^v6^?C^!MfPe(Y@LE61JFR(I+Tuc^V_daLz4-0OTg z+`OTko0)y%Y>mT>adZE--*?70H<$N|tz&ro8~5g+fAz=qCb)@tKjLrZaBuP8ir*h1 z9&?!C_QRF&$M70n>v*k>7-Qkj9gl6-#=7sAF6-ZOhYksUkIbpX#TuN&++TZJ<{15T zpD>r+JDd!;WyNMT?}g_Zeecj`PUnGM%pQ}U5##)hEpL15sokMOJl7u@_ile~xczht z4*#2SF~;S?!DWW)^;hhYzH}}IJPx8M?}+i;%frL{o$p-G&PypR&+RLm!GzOdG6MA zR}LT3>9qB~_zuF|b&dN!=g!!t-h+7Fpu@NK9$P&a?6AJvGU|@GZ1(_yf7~x%Q;on3 zyJ~(1W&qUuKjhq5#P9pt`Oq*99r^CuW3J=9IY57Zo%a8XEZC^uBkKeC&^E(Sck_W? z1j+2fEDkQZ?Tcs=b)x^B($>{S`y=3BY}+=8XjwV9br>71o*|e^+2=x$HyZ$ z9)a<8*?7C=@pdQ1+nq6rV@iYkKB>R|(WM_v!Lx}8Jll?vJ(<`h zE&UZ^_lJl1fnB=(gLmI?XaakE^^tz;hPDY{v_n{2l5koJzfQuN=AR&MGS>9%+GCf0 zs{=4W|ADrGsf&GEY2TLUn^02UHvt&CDU6Zk&6s%HZnOV94Q5YCyG_0S44#15CbPPA zcu(#B0J%QRrtMDa} z_Kkzvcl$bZMN&&IQs==W^Pj-H#t( zeH$Q4)4qu9N5t1hdLeMHW^LFf$Ft(&CH)w6ZJ;m`ZQ$SJ;&H}*vgm@-$Dhee(6($_ za(36ct(`~0W3( zT+)uXFYzl(aXyT==u`D4`!aXU{Y-Z$KY%_6_7TIs$!IX6Kgnn|NPYr;omXgN&>1CU z_Oo#>@%`yf(#RLWlpaFM#IbH?qo4HlXFcB<&2C{19We(Se@xxOe_guXcG~|h0naey z>tP80wv+F(?=)%mXY?@LI(2f%_fC5zaR~{-w!@H6yWL*X#TLZK{$yWElWmwo#;Zd+ z6udh6PM?zR)2E~|&Ub`=YtoVPi^bl+zsdJ!n*GOamv?pJeD6Fb64yc@Z-w1xVE1kZ zw0B#FU$?zMj^AMb=o#8Q%WmHe+H}xsw|ln~uLWJzj0aB)Zsi%bN!JFLY4&=b>F3&W zsQ0<)jO(>NH=l6`GK(ONnZL>~@sE3!@(F8oqbIUIx%|%X*__t-SE~yi8u$#Q>%Uud*ecornik7i9-xnW4>} zZPk~U|E|q`C7-E(8WZQmcI_Sg^;z|ot&4r${bF@AnXRkP=k&80+Y)12HYtz&t<9G7 z@mpn_$8XDT9^H~>R(yWcX3yVXUHivxyfryJ5A475_$`Mq@^32!`Ea(J-O=TAc~{x@ z8OnkgebnID>~6WNJtI8eJ!I=K#1A;BU)lq9w@WVC&wv?!OZM%Nf7?$0r#;ZQe0B{w zuhSQN$t2Wj#nXqaM?IggOpf%1*M{#n%jC z`a|Q|bC|R0Go&$MQ8Nbp!^8dBGoPF@f8y^=v40*l9RHg2p+kftH3;baF~AuVevkMO zh{os5Ej(|3Zq`|Ii_e(b)#AZ_lgU9x=eHni&fjEm=kY#QY`rxM2T=J$p9jK>R{vyw z3+T_;8+~%ddgQa`?2CLhJmcAf4;WYS?(t^_$umPfbaF52_`)t>zwit=V?NOcHtrOR zJ;IcJ!`bNMoD5LMr;MD$FVyc@#7Ae~gP1FaxxyQNU#54nlYLk8i{t$lY-Lk6Iz9I! zRpY16$$9HM^V!+#i`YYwoaRL{!oIXYwoXRR`>A=Fp1%&3f(K9bnu2bXHUuKRDUmojb1@3y9~a|>D}!~7@#f!G8kwoJ=PkX>|4ma=iq#s^#-hc z`)m4ta(WIrZjxJe28|EISxS=gpEb{T)?mKSeb4PPdd2wR8PDD`-(?T}726ulmFLgr z4!dl9I<+UU&yBOr5W#OxKNlH}`}e82nrFf)beZwDkav%cX&z3mli)hfn7=9DLHKES ze`1F-*LhmL`2w5@WaAN>Qsf+dQheS#^?Y=HE^bP?V=uS-FJ|2P+dt37QR_60%6(@o z^VB|A3(s}W9y>lg_Qqukx8^*FiE~KV?wg+r;5m2UdZ&1FLYT0@pL%XU*pht} z`yQRSKcU7K#=hTYhw*8+o(ZcS8{CfeyD#U+f%4gNH7B%S3cX0k=XkFcL zV0?w1^St~gH>;_=6U9H)4VH|~nx%J4Jt+obRoSf&C$(-ro zBwXWvKzp&l#%J;BGoLg63H^iad+)n{56rmQGC(}&V0<{mn=U}FN&O~AVO+sa<{rp7 zda2nzeI^gs=%2#mj5A5d5YhMy9d8@6@pK6*LM1+e{#*z7kp}e%_FA# zzeneOMcBg|e<5U$zU!TdPaJhZK*00hlVos3-SABK+#S>JX=^R)=JqBaVQg>&%uW+OY4?-`g~rB%3|4CnS(?5Wa4l2f#p#6T^MF znLsZ#y>>liYn#}c!ZrHCL))CFwM2x#bZov!*WzD2EchG7e{jmF&0Xq$T^H;497h!!QBpulvrW$#V$V^WxZi?R<+Y$En+yI8ZnW9$JS6Y0OQ_k`zo1O(8vqsS)jw%kTL z=-SybBkUJ(QEyMzDScrfm19h!N$ca9e%uKEWfz_EafVllU;Vf(%vZH4^;zHfxVOP7Aiyd|%=S z_a%zez8sj*Cwkw1D07fLqZ!__9-)KQty*`St~<`xJ*r*zsJQNtT=%HF?ryej;S9`i zP}yne-K@alGB_jp4Q5~NYTHV`we<(MhG3J?5Xf#amIU#)fSZpjjSqo-mh|qnV&8qF z`F*q*Dm(>>+8~~qDZkhI0X<`+!@4v++m5Pj7b9Bu-5RBTdqHfBWD$P|k2M^`u(0Q( z3bV9b(K778bCOVXX3PD+ATwA80X&gBVI-gbkyQ3rv?KkFwDUiWofGmy4to*?_)na{ zUbj0V&(q;jzNg!M_RopnnVOAT_?t8MlfbfpmH?HACE%~wplvv#3viLPY~;_|Np5G5 zW90X9J`8Jr2vWEQM4k^>3_yvueNPZi^y_Du{*|!aNLX(a?hD(t4vX)EJ%L1(>Z{+M zK(3+qJBO>uyC%pM-3+d}-MhrYU!;vY!)N{Xi*EnUU}<(SiUc;`9q9^KN_i94pwDrq zjfv-j{(E;zbvyhz_5Wiu1kN3(KRdH^oBEGYVcsHtjtS(wC)NYr2JqYgLA|hFA|9Et zQQ^LXEgV5O3NUEJ>!cmNuur!rug-pswp`#a#;yoHC2jT!&LIh)Mo45578Y*Vk0e z&G7GqeSoZawQa`f4&wx$I8$tK4I)+b)Hm%=-ZPa{d1GoWo4>^JRm7TEGSb zN}YcRy$)sSh=_PN!8`8{mx@2Ghp9eB7}@j4o=7@c+zf@@2C_G?_Yq%AsQ4ZA^LRSw zr$6_v09QMpG;$82-<02YaE(8Bdd;z2UK9L<*IYcWuEh&elC~3~uJMxNHTFZWf1>)& z-qriepDA7I{b<)DeWr8conzmBF?E{MZ8|eExA&O0nk*D;A`A)!w!68t({nweKVvms z86b*?HW081=e)$}?NaGKBKEa4tBHXOt8sF-owVQ6G?>rt$^M>z>>}`EWsFzf4I$T2 zDdWu&Dr3Bro`!iTQ#z}O#SLrYR-wO+v}w5>^RC^#-PVXc*_WmQr)Aowv0Ddx+CTlyn;s*#?Rt}MebJLbFZmC?}!-bRDM7hiurF~{uq0` zA90Y`x!@CHYODaLOZK!IVca#)Vc~lJv=h%FPJR6~SQg@qUcoDH+|Gzs_yhs^oDwzH zFfwg8h>01)Ty^=3T0Gr<|M7~J+6ywECT~<^L%D|6;Kq!03i4wutn>M!9mfQD3D{jI zWM65k0XagP+n1ak@Z8qhOED*u4W5N9X0o@m>qw&wp7MR3^L>)Z>N?-YHpTZxq;RJG zD}FyBb^ECJeIRHAAQ1)8EVjk@eFw50ubCs9O~_l+nS}UUKDYjV$)0Ir*3mVWbRF2H z2+u|#TbFN9ZoG=wLnN~Be%L<9TXdYu)iK%%t{yPAr>?C)pIMHfzD^}yTWF>Pw6`rd z#f$bo_QMqX_>vy33+-F8fIsFlmHyNHL|$9kHHT}pH<)~263aG&SlZ~BZ{VC$-R1H? zwKnC`D)X{Zyq{Qq3(Bmv9i#jz*HUX!#2D+zo@ci2`#(>`Tcf=pA*@bz{`PJ8xCcJf zIF1gRIy;org;Nz~&8>~FiD!Op-Q*Qa&8}UO~6d^hkC$_%how zldmWASRac1v~vPr8Av^Pje4}yQ&8u|l$`)3`p&WxZ;<2OY2#)-3;)_1EBSRdO!*i- zHT!bMxVfKyl;^JZfpU1J>kwUkU4$Zzn|tsqz<;4=+1vmb3wdy(+a>1iTHw)N)X`*V zcP{&ML4ID&)BGs?yqC5?;6%W=G4(DIII8o+aRhR1s~f#CdvrL7EkLvkh$M@Rb$ z;UF@9nHJ~o?B+a{>RjslPk3$s^Otc-^o;&`bWrtMG|f-9O-X+*;IhlS{ z+d2im4jD|Sy3T#;)}|}w97+GOS)8+wT~wP{BTGuzx2CVKJjXDfqqsM4)SP(P4<+Xq z3U>i0Vpa&}wumnqXf$v;4!kLC}N=h7w$ZP+h3#zRwo(Q$*l7-e4eBN8yWr`tajjWzMP=v&Tj>TKE> zzl_l4n>dt|eY#RshRwob%ZAe{P!TE7U18s&tjDktdulT!b=9WpdY$ZgDH2PK9V=m!Kl<)65?F8bri zh!yn45j>rcO8&3HaUdokN2qfcxVRlzD5V4#2UW&*j7I@IP5Iy&?$_oPBfiw}_UYv( z$pcJ`{YiOtYTNP6lrQUJN`41_bVKxf2GM`U$K`q=3+0N)LaUdI>jHjM@VmorVbjF3 z=U}o=^4-`9(szS6#&;E;<-9VN^o$UBD;#~dl27Hn^+?o+K)P6NY*m9n>Rf1ZrG|rg z0tXTh_P}xt2dudu{Rq+yOO3v+lf24K{M1S7LP$FeOfE({O^jiE!KNgC>jWz9wn5Gb z?-(=ouV#UzK8RCV#3Ez$X(Xw zX5!ow+|7I~(Inj$;9^=lNWtb_;(5vjs>}Hp?{w-n@EKLZ<~6h=Yx~H4j@e{DNVbGM z%(Osmw0PUDOy*W? zQH@T3=TePa44@5DUq_hxIv9IGd)glOn3CDFm;p6E>9<1Y51!bU{oM@SuqV>LAp7AP zdvf`{*{1k!{o^=x=WbT#Bj@se(jR*GZ(U^qHuDC~Iat$1mf4=~S zy9^FQhr^wM=X&zKY(Kp#*-u~1e|DY1IJN#5?SJC_Cpz?@PjScgET{8Qig}y`>5ol{u;$!OUYO+zP^RZ-~EVS!>>XOg2yyvy`ATs+?8oLe( z0SEbKz-^g(YL?H6!8NVilQE-=nG*hk^=-kHT(I{{*|>JxB7CgEJCu!;UrU#D$~HHh z$6i^5cr%7PvmF0?%zme2bhL%VwYIQsoh__1NAs$GTa0@<`(AwH-lmw}q+-q@lUNa; zxGCnU^4v|%>g+ng2bt?XChk?iwu8Pvw83x-)Ye$UcUzb<{KLy}*2;39pVo@;U)lr`pVks^g>D!nDoO+NQCx6Gkyc+FEIj4dN!8 zgOaa*_UXaJyl=+IUexpCZ83f*?Pd}d=%;?6zivS`Z>Z-d;oSv+!Rlg+Us^L%$;wi^2dd{o7G{gSLfZIa&%2vG^+NFXl90Y-N!T#l`sdyuIjOiHp3g zPItW6q%|wGco-+EUr9wBOrFY8GX9`WPn2?hm#9PginA8`?__l{y`jr4=a=g#&HXJP z6p}iBi>{|>F|>`knzXeXyH`4EH=50;Vsn{#i&H#J<#>C{@tvzV(rvdU=C<}Z$=Cdw zVcE9fcD?>lo~V377MAi0L|iJy3~VyGE#y*d05@Gvz|(VGMccW(0u>9py8(94 zz_);fo9UcO^ToN!dCGhdtTS@f9Cf-$Hg=N@OvPw9E2Ghmm3(Wop`B&-Eywh&`?T>q z#WVOdblEiL>9_Oa|H`%dO8ILdUNLchYGF`YOHk&uonyZ)$Gshs6I$a}*?ODibu&*rJ>IpV#Pr&ScV}{ifSsziDdEd1I5kCyNp9qEFqnH<29#A)cQF zzj##ii%XrbsMkhE>@~;kk+bbQia!v{fyLu6I}3q^b-St7CiDc9(|eqL)@`PLXdf{H z+d^iI{&KWcu-%tB8;P)8@GrTqx%}*HZ$QgBilz(1aB%S$2*R?7elCdm0RT`BVIj=v~Nl+YdQv`ymzE57F0Fr-RA$RcvKiewgHYvQ7Tg z?1<$!+FE_bVXw12KX=mHv&>F^=FZ`Hdby5{( zFSqC$$mGW1sYToL8MAa;)iXdTub+o3Ae~<^I*iK1UdTSQcTbIkDfF zX3=L5Sx0>a(blu-dx68iiPKbNJ;_Xa*K*QpY`LayO{Sd71L9p+8@ zT@}*-)P_EnAOd+_>E#8zuGjsWNP~er+AE3JhDmI(w~DCJ2CUcgt}=g)^`z4yfc) zId-oQt9|U8Rxv^7w9D584|Nav-qqD4qYdvB=uCcp_e9I3LtKetuJVS3 zexmQG!V@C#BoMXb>Ud%Hy%~(HP?8u}tqdB7j1 zG?@B;?0q2YB0a)?gp3t@5xxvnYfZO%Pw(2b^3;giwKa}3IuUDV^HhJ4^?F7=t$k0A zzn8Qzz8R)*L8)G@Y$s8OK70}a;aVt*YWzFA;&nN%MSm>!!a`i3zGvlov%cWt($Df) z=250H$G1FIE*5+>1~64(e&HLXl$>G)BDT{JOh|FP!kt;VwGZH72nX~U3( zcrhl%^ND<*%*KGVJVoub8ki_P5Je)eINXR_{z5!YRu4}3%*!&vnB>07rgoZeRRa@f zP1VAzlUtB~w(>e)ydo3S)D*03%X6T}Q5i?V0COuaMhOcWNE=XHzr}N1eA^?9U(V#z zruG4?w$<_B-X^tk;hC-5L?!N^Y_8dl3VZ(lXm?QK;I}%?wZTX{E$e2QolemS=mR=E zRP+Je&OBxD>+HPQc%8f3oU+44^JtDtN%b-jO`qts29?$a-{ z7ySp_g8yI?)t{GVorPxgEUeg%LbEw;ymU|6n2(&dNA@wbMUz^;7+1P{X5Uu(s_6%f zunii2^1p%#Y=dI{SGP7td8gH^(c}2^cKy1EMvmE7BKh2O{5pS4_Dwy+>!bG0zz!pf z`$PPAXT?R`CbTL!4ke$+);c019lK|i?zQ?VwtR!JE*lFFfTXBSMb!`j=o z(tcmDCu(#V1sIS2&ff6syPQ&fLSL=Ohd>(5b0l)QmG&@EQ}qmf*iG#M-J)H9*VqMr zxSp3G>*3eYWD{I!;|2pbWy1^rz#yNz&X0W|9iN zYqgOJ*Cjeo^(Lj?z=A!*l3p0r%~k*A6;`0pb6{i}^lduq>VP_iq`Th+eHy&5cKdFS z<43r`aPbgjc+6Fh-@21L;`cQb-@*fb9*s3EXRv{V`p!x(?Q&wmzwjT?<%qCa547wv z4z*gKweRKMXXJVvnF8Ke_+>I1w}F)7bxGa1Sk@6u(1V(JT=CwvUyXB-_>8n7bwq%v z&|~6EM`R?f=zu>C1zuQJ=lWQ?%57?@S1w~VuqbLI%m!&p{y2-VFY2iASjHHCj`Liq zBF$>wjLH1*mZ<3#S_MDb;%4~PS zaz%rd2v>v^1iWou^hht4Q}MfhtN0tg!HWI>kV^m`O?J3)4rj;EkbodQZUT{qBJy5O z*cEH*mLF@^aYT>fmO4(FFIKDrki{a)@65{jnQhwZ74{F;p1yz4yXd9gl$Gzou?~Ms zP-4q*%(1FBT<_z}?-z7N6%&@OMe?9xTyv=2eGUGU^Iz)fw>Q;PM|qilk=pMVG}_Zq zAEwMK>}%F0>;IT|mL8)uqefpJY;2i}&L?(P*&S?PL9O!clJY;ROhWH(SVzzR<%T%tw^e|K9>Ob2m=h~*-iI~IO9Xl=N4RQYHN1?0B z%+3|V)?!|biLsl7wWIscpfE+lVZ(Jxe1{O=w#vTcinS2!7}N7jQZpu@AYWmsgjb$# zAaeVG!3B|s-_>DEC+VoXb|6rAUD&@%+_K8qR9Kh$rn29rD=(RxiUiZ-!eqm6g|Firm zvv!_CQ>X)x#dn|`9`Sq88qVKbE|jeZ#605xl&A-1?0jgE4}^a}ToeC&Xni2$!v~3f z@Edk1`_0q)_<+KH(fs`sZwcNP&u4gy_p{&lpL##Tb@hIR|7v{oI~$*i@@d-pJo_!* zPw7b9|3DO7;qMP5T_4YW^AGxO`1PTBpXc}S0qwVZpXcv${kMES{mrxfdFj4bzy3Zi zjn7Ntm+q(Q*WWLVul#C4SfXnK0h7ToYQwY-nfY#Vu`$oz`XKV|E5rJ0R29&bBk?^QgoQkmfun zl>bBx6qNtW4gX@R?`c(H+h^CR?tuCR#1~q9UiWY7GNH0tTbQ*EkOfTxZRdJ!sfamD zYo?I2W^`14k^xn`w$KG{f4%I}T8K5@qE6#(@O#`O-lXUHNXA`jvf!v-hfK%BIbdvV zX7A@+aSq_wT#T>qOLbz(_B#E3(VnE@A`123QATR@YJ4cM(8+6Mi>!W@)KBZ=60=b* zF<&JM*VbK?IV)JT9qZY3g$xs9GK^YhQKnDhbjC7&Pxly%(B@VbLshCXFMPil&J^m( zOFa6wwf*FHCu%xI=v~C3aArxS?Rt?~cU^v7wDr0Tu{cfbsy|)6wX|`hejNzi5o<*R zfJ>rIMm&a%mGZTwLv97F30snWU8oIS@crrE8&Wz;5F?ofacpO`jneP?$oRudo{i-< zdP>)S1v-Qa5wE&!7wd>?-ykU1nx&mguhFBevGV;L`lnylR`^DRjF@$(BKl;1`s9?KpZJV6y{moG6Y;Os zCSQYJM8_}5Yquo*9u(4j;X40Ll;IG3o`iO?m?zFLS8zHz;Y%*USMh3@V{9dCk2$Gz{)+N{ zGg=KjC3lgk&qDem>T;Xk%euQOQ`Ag;k9Evv&ijfSS10$5HieiWP3}Duc*Uq)@OhSf zc=dkq8rl)xL3{0aob_?KhIkqGYJB{OI^PQ4HqyRBRKJ!QoPT}n+i4$R$+x0-h93Ji za`L*JTKq=Sw=F9)Q#o(4bL=%VGXk1_k#jg!gTdM`Xbx|4GdKI2UGndfrQ>vFVodYWx)Rkuy@ zfUH(&pO~pGE};jh2Nj~r}_Gl&(y`m@DA_fxoiY;%q%=Q(LOJKbDTzK zEWS-fbeY+RyQ z-==R}X7YjfCZGBi#fYW2sLLAiJ35GW^7@6Rc$vrciGE1l&#uzXPU}Zr?@Ik(jWm$! zHeo32qN=rYlLB}6V}j`_`-)GFM_S2v|fHjOBEY`{tccGwA=tj zO!H^;@3)*&)9@;2%*QUjuk?wGz67mF-y7C@+z6XQT=4m)vDaoL7NYDMK>td!FVL*` z0$*zOqrsn@Xy3e&`FL5}TbhGXhW#vR&H=Wn^)g>|Zt#v6<3t`^ zmv%4TQzBzbd$%>;*3)Buw7AYYre0t1h(JUP#D#kHeinm%&&GajuAnb&kF9%O!Pgk3 zF>U_%-YVvKgtdNa{5VM%sCpNwCTSfEf({p7!2qkuM1pxe(g8o0`g4#+rIjDSexP;W!wRrU6tI?(RKcR%|SW7%sbHAj?Jmp zFXpWt8NZU_Ssb6#j89IEe=H|K(oQ+XZ(vuysa&?xAYPeC(Y8=((|v7WQ=Q{*>sfVl zg!OlTcOXs2p&cn3dA@d2$Dhh~9<_NiwO87-oY>0Pb>ECGja^4?P3@JorhHsU6F9T#uAp_mGPNd=e^jw zexG8Trr6iz`-+Sq+VS~;^IqmEDjt%47w)~Vt(5CL$y}I!k_;*5S2Zo*@ESTECGRWz zq3?_Rt2^TxXk=7RBO^s4qZ%4fZDCE`O2JmF@$=W(P2}2|>?S|ffu0RR6306K0RJh@n*aa+ delta 12371 zcmV-ZFs#q5WUFI;ABzY80000001JFlOOMkq5We?Uj9OI!sjZSUedPpH92UVYqPsUs zRpLzB(K>cyPbfkC@r(nDfCDE##@}nk)9Dmi?-(_|tXLmUWN^dJVj#hqw1YY1b92aSxq*=DvEVDld#JdkQN;M^a7wF3fZU&ezFG6yCi50kg9K!vTL?ZI9Zxw|`we1tOJh zP}>NE6`1g*Ls*usCSkA?x~*DSkhDzAG((^>-8)zJyWex1*m0hK*}rb3GT=CVJU%`T zKOevM=zC&1k>i+zL{9jD(iuIzx{jaw0p}6@G*%P<qnSXic-Tblp5`2kyFXFGJ@NDrg7r);{ zJm%2C-Mbs(kHIax*6~^$QOClcx*pqYj7`tA=B#(mT{?dtJhH}|i$1uEslV}d%r$zO z9$|BO?{YHWHk6pPd*S&;&pY;*+j*eZlV8b?h;e?$mg_EiYOx$g5gh{n7l>YvY!5BGPzdrdpfK|ki5D6RMP!0-%qe>4o{ zj(T(2;-Y^)s}Iu|gZA|P$ED)^*=qXX$Tl;!G5qOfGpFuI%*Tojrfl|M_m?-{&F3qZ zkLY;Z`d@qp$9+CG?*E*+BcFN?BELZgukSs!dN9~=GutsbA90!P!3h3wzko?K0v_h= zJ2C@C&HqETokjeu_>yy&G0>GN@fV>%y9VM4^%dA^&_P9Nt4 z=iHdn*FBFNdvgOO#U&5p)}~Lz`{NC?+cREc2Ydc%`Vbg2ugk=`%&ab+*2U}V;%DpP zr|W+Tu&yBf=ycP%x*u!n>e9}~Q+0i$-Sc&Av92xjYnYDVuzn1OXUA}OZVWBLp)QM? zL+4qH(U7KpffnXRI_S-&?C8xOd{|?h{xg=xkxu5XDbiAJj&x0cUu;?rG<$x!K7#cT zsBfRuw{Na*f2O|u1@&22pA{~h{%6uYIFx^1_1nwO2Tq);B~8=D{HijxecD-eKDWp7 ztn7L32sQien35KK*oZRH_cpjTH@(?$^)U5~F%9zPr2hH)Iel*lo=q&^*-n%k$dzN! z(qB<`Z*ZI**=+7Vc=sKbCNS4G@9BFpbSxM~Cxp%=38%H=*GYI&`xE3%#)iJ$cx->R zIsy~)A80EW&#`PfE!!4l6H4mKCNReHbc}J$TQTvt(`J7^_9u@?yG^~n`;WkElSy4a zyvO$6uv{M}<94U@{zi<4iQ^H%XZO0@ZPV*+s|);dPGQ=o$d5hOzo+fab$8rFK0L>9 z?HR{;&-Ht>MaB=;8`>UsyQjuHz&d|o_+;0=IF0x<|HUG~r%Uzx>RjX6>CDD0YQBFD z{oBH_w4CeM`GxfQi(U)btLYn-$#JiEKcnv>(}5L6qAh$T*S{wG2aB#b{q-Z6h_x-# zmYm(S*0t?O*yM-UW3us%#2Sjo_Lq}BqnwL9u4x@*b);>Yi#A!GB)YgwjG~!CjERaa9@go?W6Pixy|}_ zwBP>C9-sUD_FcQ_{kwj{aGWqC)M>Xjbg=_5vUhc;U6XBSL&mE^ zCltIo`bHmfOeXYCwoW8>V$TM`hw$ons+qBzGMgZcS-;6d$OVPJb@ch0b|%oa8NP3&?^}OJrpx5%SCX(H8+O~* zcga3!)2C$liw%=u_l~ub_Vu1lSH975tF-&nNtO)W?hc16Y2RIU_H9WIw&1gNM3TS} z<@K+h*?O433&SqV8oqbUp8JrpX5HbkR$ln^v`n7absw4sud*fXok$0^iLwK+tgRT!-R+a>_7i_l#*%&g#lIe|fTlgrxqNmD zIhY;RFm9npchzIK9}$~c zG3e?Z^0qz``Z^mA&(lNJRvf?nCTP89*E+Z{S)o)aI~~tHoSc&wd4X?Sw1?#O z(dK*+KT{c!m$mZjJ+>>=$Q0Mq~x}QY$^Gy4#EFaL)S=s=;{Na**@(cPo zA?#Bd^n2iGjF9vEM9*(skl&9k8*gphVZ(p_`tyG0zko*VOXq#etdsK)z&y+sbMFOp z$CvQn!{_!T`#_g}yh#2^ct5lMoz92$TrpSB`HRNX5A(I$8~Og6jgA-0T_5(^J^6H= z9%NqY1$mj^7Fh*!q;=LUX$vb;141emPzx|p1TwfjP{n7Ibf8n#$4fzNCN1N1liZ)&=BF-C*^W$F~h^X76^IPou zf%#;2mD=toxk};#x!Ne7B)Wg%EQgaf4vuZ;9~>H8!P^eKoqJSE6JtNz1w+?BSKa z5O@i_Pm*RR7k$22Jov9NpQ_LUkv_BXq@PmEPx}Y^`}-q>!uSo{t#E%X+Vt;7{jcCv z<#(|y3&N)S6^p)e@&258x61xTBd&ihmlKFS1!*}e!ZyZ^xh_zj-lq*)?ObLc#bH^v zKN^PXx#-hFzudUrmUL$d|BIQHhqj^by+WIg)ac2--En^{-V0KUR{--AWwf$@I^2WN zv#uOcYD?-nat@ZD9q51i23loUJu$EHJ#yu6Nr!=nbQna0M=32yJ01;-bfBf{Z3q`v zRMZjm4mo{px8~N_I&VnKJttqsz~>6>)zfvmAiZ0oe9kl9_y+wh+#$qrLips)n=lmR zKC!;Av42kruz7`cPz@0{T?>;v?2*5c`a_)$)Is$@J1*O|x}896u#;J_uq? z5CoR<1Z4}Znd3BFmmBC)g7eK`zMoo2I`+`c5SSPKAv{jUelqza)}xhF_p;Kng54N- z=H!Y>+l;`8$?>~k~Vysize`Md13XObwWV<27dLD-f&_imE-lRTm zLa>hp9NLJaU}j^vmo{$bOQDULJ-hN-w{@#A zv`lO}bUz)#31NsedVWMVd7Bm5t=qq0Yy>SJ<_Le}yGPb-4^jTp?c>?lB7+9GD}=F4 zdEFZ36^a^` zF{XbYuuZ-U)HvF0#xr?Xj+HI@V~V{XguMW!*0%Y~N#Ean8F-68$YFfL83P%4A=k|2 zmd+Lin+{%mfqn);7K4f1yat(SvuJ)cSxGKL$O*Slzg~BfY*R~Tb-0M1Z2uAH>XJMO=y;nH17!oJi}8Oy!QCLo%V&URw`}MPS@)r zXKmSL#vaj!eW2T`|EQg9_E^_WuC>!d?5Qb0*RgEVT}?ahWIOK)?YtB1ydvp({QR@O zM7@7ZCpFl@untG0%FHl?;#^11o8MA@+7bB4bbr}~Vt?5>xbI4Suo& zIC47M+$;Vl^f3myT|@+yO|7F$Zv!QsL?CZasmEbC8Ln>T-}i3l)a?-1?qRu-FJ$b& zH|Q6IQIe+P1N=_JcPNLX<=_vMF3Klq`COHWMan#! z6u#@_sP9B8Q_eTqc0hi0%n*Nd(17E*$!$57T9Xr(D%+0*YhT0uvX-T2XNxNMR?yB? z?d!PyM$}l*m7&t~eI7_h(zMcl5UW1f(2AWX%=EQ-pbvx7k+E6{ zx$fJLN*PblZ*B`tv20Ms1~IfVwmD9FE1y0XVJ)T{${P;_4u2ppadUsKH@)>~r$cg{ z6>TGu?iJg%Q`I+D#%Sdkv{AS8c>WC6V4wZ`yj$jYnwRj1b|X@)^$mh||?%MGNV=Obap~ZITTqB<;ia^YTTsq05gQ zyE@iH8*8-VK-&ol(>zRNUummR`}XWeOX<3OhxG{+zN^Ep#0I@eevevLYHe`j`@G2a zhnY;G^Zg>u_8 zG1xKM2kg8rr*O*1OYT8z*!|gP+x+@<`Rf2ON2C1|G2B-NPMhlKf6d3{`bwRV(sg^= z+45lP*r3&IdEZI>X4yLK(c@hB-3eh-KQn@UcyC?UXT*Qr0X(Yl44+-V^?x^Xjwv zxfxr~Zqts1{i|Rvz;y!q>nC&npd7?lrkMX-KF zy0Kxe$KHQJj;Cn_4%!|9yQmK<-l)|p*6PUjZgty6CYuYp@PS!;b5&`(UWVE;Hbl2i zgwj6Yp?#vYkg_OnFP!eJDeS|!Oh2C@9l4zTCEGIpGT3aUs?0gvOqYkpy@hf+p3yoC zxuw|*BPRmo5I&Gbi##zxUpRcEvVae?N=F47fWUt|0ACnwIIVrJWN(*zOyKIz^Te>A zcWdbdp12E(I87-V#IPxX>=;BbD3`^3hDbY(%REL$yfU9?t6>&Vb1`xmKlSX93frh| zv&+YKYlabin#FbytsO;sQi1Mw#3MP)dh9jz7AMP)XEJfj^z|w2vcNBZbK9ZAw-);A z@GO5i+D#EVoB7mLJG!^@V;LvV^{Z)pKi_{ex;OlLftez?tujO}1fFnn-q^fyc1WJb z0cOw3ZAoq`XNu&$p|FbDxu(YQ*4=)^W+VNB<@V{e$D2s{qa)S>CF=XAR#u2~+ZXeC zBb{!_Wn7O6`&2D+;0I{VQ0Zh4M&>(l2(GI_}Y!7~P#3}p0F7VzT?zm)Swo#=lz zmCrT5nC{vg!(|=sW*Px7O&WwL{E|t#;{wEku^&QXjsB&RX zgmMG!L}{C?yvX(+xCGSX?foCa|VBkhRn#afqv{r@M}Q_hzZMdgBd;Esx&cAB*l2w z_+bk^mmJp+A|3>`z3{B<8)aUKY6*-H@He$)p9YP5E|UBv`7&tam%T{XoD=k=UlUd* zD{rF^$93zx2`$A38_L|XpC2PB<8S_?XYKi$=zN~6jhEQ+D>nN&Tg+nXNQ{4pBW1YN zXywYY(#|2`8V=>8{Zs1mx*jdgUA;hd&dOA_3=@PECklk5fPOrUpOQsX^c&Ury`s}{ zLR6L$3gwex`O3Z$;xS*2+oFxWu+rY7`(+B_&)AUYdLzgdL-e^c(KusVVh}T*d>W?# zJp*q7v-XTJ`I!4+%lp23UZ#H;jb7?_d(H!_fPkK2g@NP&mf*{T7yQ4H-w8~LeG5Uo!qizDbX`_gKf)hxFY5RBe1Wwgb@P#k*O(yrwN& z;kGk;oS)%Y>+HNs_PFOj_Chz1wrF={&{MG-F#bZOzvy;ps_P^#wN-zr_zxKlkl;@4 zL^g=;1z9Hmxga~AGitIC`GLX(H>MwcPsr~pIzc@#!#Q4Mcu9eAydq|XTg-7@VPrXo z3xp{ox*WgNbV};EwYtP&tJas>l&$wr z^YOuXtCJ&5pb6O~=D7u3wEPVBYl&4U;1NloZI;{ztU_O+Y+jy5_b9t{S${n|>_3t26;G@D%Tjo< z2s$1MgVk2=f3$z|)V?Hb8@EM$FH&-Rkw-SA>%249N1*}XR%~qBOiorh*?|#-D;~jm z)pbaa7m36cm(DRdx?blTi134D{{9qiso~ert_@n1jd(4ziAA~nTG`UIG_*_Qx)sTF zD~W>|Kd*CtN+|p(q3%yX+v4GFLZIv`-8WWhpQG9Kgsp#)Ob%Sij9NKcNPDaM&NM6o z4AAa}iv3BzL&aXdT+omb~vFEk4QRTKn+X_Jrw%#~q0jq8& ztl-1Z(~GuJyI?ErhE?00l)0gv80CdHf-Y{YqP&pp!%eneQFhY?@_ zlw*J8Ii^gG^INW=t9?O>td&i_&4BFH z1jgptE!x)ge4Di{b6HC6E8~Q8o3t(;{s%I-z+uhl0QRrxjBMyhe2Z?sy56R-Lp5BM zo5c~OA|5GE{VuEY*eqgU{MvYQ77M>~oKrrSi9;M8% z7_f$6Un$}+(liw(r^{2FqCr;@_8hkVRPpC`Z&UjY2=`JpujEBvy*$gL$3n^WofsO+ zL3|4E%%{fFMGOZRP;ctrxZi*6>e&&2TjAWj@%$-u?WS~$0V~h40gFaS6=*BuyzMBR ztqwDh>v*K18Bp{airIVZI{7Wke_lYcdPW}|%`=+4aXFPj(Ppr1P564V_tyTN=4 z`RQyEonDtV+IP`TgLWbzTT4F*%DD2paY9n;WRqV-#2dLl&Mlqi!T5iwI>t}@o)EgE z%R6xnN9qCRVlXJ*P!yrm9=!o2gFA^{c7pw)2~Y5R=`MMB+e`i#WkZlM-*L4vx~`%x zwKhg;x+w2e-CkvVsaO-2%C247k#!a1*04ry)iLl^W}CDyjzZBkX_sx272H*1`w~Ca zlwQ)=3ckm(-?3PRrOJPhXZcO-EWhx<;!QZ)z|wJc!bcibeX!+yBs}edt(+fxft*WF z->Q>kGrbY_^r*~>`nR<5Or9fVERuHozNQb=%DMUe5aXHde1Ay#xxH2H4{4gxqa`L< z$-9Wzj}WsTN4gxUx2a@HtHe*!<8MZFww)|r?z_V<9K3!H-kyK=F>O!yn99#k|B~ml z5C?u@zt?a}F##@#2ItI{UX2^}{g~@cVNJ{=IzQIFcYQ4C!Se2zx;;k5u+97?LVlF| z8P-`jM#O)74oKEle`cUw=9PEN;9A#pVf~!A{+-k0q^vrMa^J>F_QGK&ujADD4B&xi z;6hMAWM}v+E$)AuiZ3vNDa5rPePJ?QPM@W|FN~QZePZUPL&3h@YD-KW2)?jB5%l_o z*gU-hs1j33(fAN#u(S`UoGs;*G!{nnJ!y+U8<(VOV<6&N3BA{zy15-Put*3^v8^KR z6=;{2Y=!mvK*$UF)v0H=_ep=wN0#cz84i}UzA8+KjhKHD8!;s*j-oEES|c`4#{9!N z>fWotmKEgUz{X>`xYmO(i}4YjtG_OO;O^L*Ucz!Id0mT%RFIjay`g;0S;qutb_d~4 z9+G-`Y3if20ie%M+EgT_82LTaDRc;wmq=>ws~Zyys~&9eql{HYjI_j}*ZF)ACvC8i zlslTz6ZC%#$~d7IVe3V@9{9j1SwV|) z1Ix-1XG_A&7gbko@yzmzj#aB6SkY?xW_mn-u2!lx|Y7 zlf6)0RrQ=bGpFx+xlK)-Ev1Gl+K=nGyUzV)`g(tmXB;(KYr)@!@#J1$_fYkX*C+SG z#&r-@*Fiz=MY?KO2Tkc}eT+v6%WL8#On7ud`E9}!16%a7ggHhw%rUZA45dm8{|nAc znL4glPuKkhX2k~@iI_hij0rni+3y*M1EfTN{odGd!EVGttFX`1$^4cVHsaXJ&p;;= zbaa1eFHq(EX zqw|J_*gFL*>L|=HDE~il+x{ZCEyVMp7M>Rkt+*5;#V*ZjU5peMf4j*idghtc;4clW z0E1Q87~e_6@!P_B#~8VEVdyw|vf8rEVuybL1@rGxFP6Aa;nPeGriu@l#d*9sgouyi zDL#_qvEL(J>)X@DMcVId=U~e7?i(Gq-XEm0?19-PVnLeH(^w~OG1kf3OTNo}WY;^o z$F~?$92tqNVwCl_-j(0MzVWB3vrY+obG?6 zepF-vB+lYJ%6MsYG1T;PA~IHg-P)A;1vhj2PIpz%D-KNjKv!l+~1Iv_h9A%;ZK|LcFjV!@{F zh}`?U#y`B6zFxTZY=rT-)LbnVucsrI-Rp6=aE}8z(*0waZJA(~Fd~PH(<;u=mg2&V zB#v=JD*emLvbVwro?*wVW?eHqKT!9)E;e`5{9zn(xvoTijYLecgpW z$iwlx7qhGz;L;cIM6{D3Uj%=8G`X`Ce321y(q7gOL;X~`P9p{Tvh>53W1Z&l>%{%H z<{Kx>Vx?YpzjurAJF)NhzIIn_LoBk|`0VHAP5MnLYZGNea$@f4a69NruzIYpu4I~w zNz}CpHe}$Lq#z%)dSj!;zoB$rn8+(>kLMUy)4clc9u;>{-~Zt+<}H8E9T+2bP9MC& zJ4S1O_xFm!E*Sd><4a*YD3~1)I|}1FVO*yyA6w16S<1`s%mE&&F)ePrail!!z^+J9 zZsC0Hj;3s|X^Fe~U=^&g`%WXva@2YGK6li)=PSp=7s&|SVlHd-1~6qkcRur08D2XE z*};i%vqAio-VaVG0iJ(pVDPOL+YIpj(Yeb!x=Qbfbxa%Ka(HEArB3XxL7^&md*D^t z8wi+mgxGYOKRJal79(|U_#5PFi=O|gw(czfq7<>hYx)ZdkB)jPpSgeZ7IpP+1?o)L zOv0M_2}Rm;*{a7De{9P0R5`0&oF|-GXz57s-WKx)o4L31d)|LNbq%q`PTBcYe2BZY z!c1@4wZ8vRm(%`W-C8WBvscp3c3jfAXUrc)%zYqk>)pF|BDR?L|Bk|M@=nno!QWi{ z=HmG~LUI~S-^brPeV<^4oQ_*u`>o!Wv@YK#=^D`LPuD|Uzxb`yFV~E$KmCu-iKs7K zS6TjdM6^%7|Bipl-#qDys6YJ;n=Ezzn|iYmU6uHh&^O)Ql+oUd$UB7%wz?lN*jGAc1d0P8(Y90T z56S3T`p#`UCM=FOTf!fi#~!X?oj*}^zCzxOKx^`MX@7rRC!c6C2nYVAV&n)JMURmq z{r4DeH}mr;dE}-3ZVW|D2yEhx9?v-{;L&87jN=S-4zqigvUnE2RZ+d+ASzo0-(FNX z2Qxd;hUdk&CfON7J)V=?uWM}GG5SNB?lCFa#H#zb1^ao^yDyQ;AU~Gv}PLu{025NyV z*eafJV3FzT@ovg?KGTa-pNnS<>(k|Vqf&lyItY*f(kp2i-mg-3-91gEy44*grMm0VD``zw!_xi0MgLyu zS^0Ov{(8#i7y0JDv*q_Y#rrtU`23pe*4lr$Rh!6I2={cO$1W;XVtr^vZlX!ZN4>(5nbOMZ^{l<}ZcWAnR zyjPk?yj5x6c#*FI<&td(q5{S_ff|R-zT#VvKEpjp=?nL}Daqv^=?lFO%Uf7apPc-z zaLEHOHonxWWV+O;NK%XuB-8DLiFa zOxQBBIST)qJZmyU2hlni(q*@jzt^ScA1lPhkvhuinDVp++@bKPHQ7=nA7mtMEVoJj z$Nvv+^={!c9y zSB8Cw^0Nksz(qybJ%C~@)!CbsJd3pj0z??<{-B_A7Puk)U(n=lF29d^mDDG2P3^Pq zQqPNpO#!x#5j59Zx+A>hJ|8aOIV=I~uN>S8?SkK1?5RkY!Bb z1?`9f*m>eGuEbMeR#?H~lw%P9F9}baMQ?mo<5$(+JN3-0>qnhDpWkVz$Hgq(Ynhd= z?zXI7Ct)pSs2r~?pOb>_Ab3OhS>IQ4S~;WCbat9o{XCLKaACJB#Rh*h20|WS{^;JW zJ7bIcIOy>A)Yr~rrPzs)eeV}zmWH)HRH%~&GX8nDHb>M!HdwX{?9UxK$o{GWiv1(uEW+?uK~LNG z`q`l7c(-LdnOsM8_hM>y8>$#4Iv!}K-Ki+sfp)99^tv`nTx5OS)w`6N?sL>_W_9UF zq3$9kvt&zFYeGKq24uS6|cnvC6nXc|L%#uLb;bY0Q6L9%l*K^dg*bqVA!r z=(b4zNs^C=7F$3tK*W|z>}DNTUqAm+$2}{rG|0W`+!cxQ*s8ltF8}tw4CLMtqm4w1 z*-(C0VfMVY>D=KRX%gpGmNB45A|3ND-=^omG#(=CDgIkwTdBnTsgoi5TSvcFQ|W+x zw{YJk&F|to*_VHU{$4)g_CkA#EW1``m-GgUCd6~fFi)ZG3+oSZp7A}b!)4~rH=*i} z;>#iEG^oc7-M8AB<&|~Ze_Q3tIDZ@WZDo%i`}Of$w7XjMHKN)wtXU(&F9VfESy*c~ zsk=M=g?1C!w??}O@~0I~c@X) new code 000 -> 00000000 @@ -90,10 +89,10 @@ BI_DIR 11 uses IBUF 46 uses OBUF 15 uses BUFTH 3 uses -AND2 304 uses -INV 263 uses -OR2 27 uses -XOR2 14 uses +AND2 308 uses +INV 261 uses +OR2 25 uses +XOR2 15 uses @N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. @@ -103,6 +102,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Jul 09 18:48:54 2015 +# Thu Sep 24 16:20:54 2015 ###########################################################] diff --git a/Logic/dm/BUS68030_comp.xdm b/Logic/dm/BUS68030_comp.xdm index dcd2adc..456b443 100644 --- a/Logic/dm/BUS68030_comp.xdm +++ b/Logic/dm/BUS68030_comp.xdm @@ -26,8 +26,8 @@ S7RCVMI="F3s Anz1Ujjd3ELCNFPHs"NDR"D=PDE8"S> SRSqS SRSqSSqSSqSSqSSqS"/ SR new code 000 -> 00000000 @@ -24,10 +24,10 @@ BI_DIR 11 uses IBUF 46 uses OBUF 15 uses BUFTH 3 uses -AND2 304 uses -INV 263 uses -OR2 27 uses -XOR2 14 uses +AND2 308 uses +INV 261 uses +OR2 25 uses +XOR2 15 uses @N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. @@ -37,6 +37,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Jul 09 18:48:54 2015 +# Thu Sep 24 16:20:54 2015 ###########################################################] diff --git a/Logic/synlog/report/BUS68030_compiler_runstatus.xml b/Logic/synlog/report/BUS68030_compiler_runstatus.xml index 2ef452f..e979e5a 100644 --- a/Logic/synlog/report/BUS68030_compiler_runstatus.xml +++ b/Logic/synlog/report/BUS68030_compiler_runstatus.xml @@ -18,7 +18,7 @@ The file contains the job information from compiler to be displayed as part of t C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_notes.txt - 13 + 12 C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_warnings.txt @@ -35,7 +35,7 @@ The file contains the job information from compiler to be displayed as part of t - - 1436460532 + 1443104452 \ No newline at end of file diff --git a/Logic/synlog/report/BUS68030_compiler_warnings.txt b/Logic/synlog/report/BUS68030_compiler_warnings.txt index edd0dee..e8538f3 100644 --- a/Logic/synlog/report/BUS68030_compiler_warnings.txt +++ b/Logic/synlog/report/BUS68030_compiler_warnings.txt @@ -9,6 +9,5 @@ @W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:61:135:75|Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:34:134:36|Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... @W: CL189 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":139:37:139:39|Register bit BGACK_030_INT_PRE is always 1, optimizing ... -@W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 23 to 20 of a(31 downto 2) are unused @W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 15 to 2 of a(31 downto 2) are unused diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml index 606c35f..06057a0 100644 --- a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml +++ b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml @@ -40,7 +40,7 @@ The file contains the job information from mapper to be displayed as part of the 105MB -1436460534 +1443104454 diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_warnings.txt b/Logic/synlog/report/BUS68030_fpga_mapper_warnings.txt index 010fdf0..7041c8b 100644 --- a/Logic/synlog/report/BUS68030_fpga_mapper_warnings.txt +++ b/Logic/synlog/report/BUS68030_fpga_mapper_warnings.txt @@ -1,2 +1,2 @@ -@W: MO111 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":497:16:497:18|Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) +@W: MO111 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":498:16:498:18|Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) @W: BN132 :"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":134:34:134:36|Removing instance CLK_000_P_SYNC[10], because it is equivalent to instance CLK_000_PE diff --git a/Logic/syntmp/BUS68030_srr.htm b/Logic/syntmp/BUS68030_srr.htm index 93d9849..6088e08 100644 --- a/Logic/syntmp/BUS68030_srr.htm +++ b/Logic/syntmp/BUS68030_srr.htm @@ -1,38 +1,38 @@
-
+
 #Build: Synplify Pro I-2014.03LC , Build 063R, May 27 2014
 #install: C:\ispLever\synpbase
-#OS: Windows 7 6.1
+#OS: Windows 7 6.2
 #Hostname: DEEPTHOUGHT
 
 #Implementation: logic
 
 $ Start of Compile
-#Thu Jul 09 18:48:52 2015
+#Thu Sep 24 16:20:52 2015
 
 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
 
-@N:CD720 : std.vhd(123) | Setting time resolution to ns
-@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
+@N:CD720 : std.vhd(123) | Setting time resolution to ns
+@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
 File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
 VHDL syntax check successful!
 File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
-@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
-@W:CD638 : 68030-68000-bus.vhd(126) | Signal clk_out_pre is undriven 
+@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
+@W:CD638 : 68030-68000-bus.vhd(126) | Signal clk_out_pre is undriven 
 Post processing for work.bus68030.behavioral
-@W:CL169 : 68030-68000-bus.vhd(139) | Pruning register AMIGA_BUS_ENABLE_INT_4  
-@W:CL169 : 68030-68000-bus.vhd(133) | Pruning register CLK_000_D4_2  
-@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register CLK_000_D3_2  
-@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register CLK_000_D2_2  
-@W:CL169 : 68030-68000-bus.vhd(127) | Pruning register CLK_OUT_INT_2  
-@W:CL169 : 68030-68000-bus.vhd(124) | Pruning register CLK_OUT_PRE_50_D_2  
-@W:CL169 : 68030-68000-bus.vhd(155) | Pruning register CLK_030_D0_2  
-@W:CL265 : 68030-68000-bus.vhd(135) | Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... 
-@W:CL271 : 68030-68000-bus.vhd(134) | Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... 
-@W:CL189 : 68030-68000-bus.vhd(139) | Register bit BGACK_030_INT_PRE is always 1, optimizing ...
-@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register SM_AMIGA
+@W:CL169 : 68030-68000-bus.vhd(139) | Pruning register AMIGA_BUS_ENABLE_INT_4  
+@W:CL169 : 68030-68000-bus.vhd(133) | Pruning register CLK_000_D4_2  
+@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register CLK_000_D3_2  
+@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register CLK_000_D2_2  
+@W:CL169 : 68030-68000-bus.vhd(127) | Pruning register CLK_OUT_INT_2  
+@W:CL169 : 68030-68000-bus.vhd(124) | Pruning register CLK_OUT_PRE_50_D_2  
+@W:CL169 : 68030-68000-bus.vhd(155) | Pruning register CLK_030_D0_2  
+@W:CL265 : 68030-68000-bus.vhd(135) | Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... 
+@W:CL271 : 68030-68000-bus.vhd(134) | Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... 
+@W:CL189 : 68030-68000-bus.vhd(139) | Register bit BGACK_030_INT_PRE is always 1, optimizing ...
+@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register SM_AMIGA
 Extracted state machine for register SM_AMIGA
 State machine has 8 reachable states with original encodings of:
    000
@@ -43,25 +43,24 @@ State machine has 8 reachable states with original encodings of:
    101
    110
    111
-@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register cpu_est
-@W:CL246 : 68030-68000-bus.vhd(23) | Input port bits 23 to 20 of a(31 downto 2) are unused 
-@W:CL246 : 68030-68000-bus.vhd(23) | Input port bits 15 to 2 of a(31 downto 2) are unused 
+@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register cpu_est
+@W:CL246 : 68030-68000-bus.vhd(23) | Input port bits 15 to 2 of a(31 downto 2) are unused 
 @END
 
 At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Thu Jul 09 18:48:52 2015
+# Thu Sep 24 16:20:52 2015
 
 ###########################################################]
 Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs changed - recompiling
 
 At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Thu Jul 09 18:48:53 2015
+# Thu Sep 24 16:20:53 2015
 
 ###########################################################]
 Map & Optimize Report
@@ -69,8 +68,8 @@ Map & Optimize Report
 Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May  6 2014
 Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use or distribution of the software is strictly prohibited.
 Product Version I-2014.03LC 
-@N:MF248 :  | Running in 64-bit mode. 
-@W:MO111 : 68030-68000-bus.vhd(497) | Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) 
+@N:MF248 :  | Running in 64-bit mode. 
+@W:MO111 : 68030-68000-bus.vhd(498) | Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) 
 Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral))
 original code -> new code
    000 -> 00000000
@@ -81,8 +80,8 @@ original code -> new code
    101 -> 00100001
    110 -> 01000001
    111 -> 10000001
-@N:MO106 : 68030-68000-bus.vhd(190) | Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits 
-@W:BN132 : 68030-68000-bus.vhd(134) | Removing instance CLK_000_P_SYNC[10],  because it is equivalent to instance CLK_000_PE
+@N:MO106 : 68030-68000-bus.vhd(190) | Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits 
+@W:BN132 : 68030-68000-bus.vhd(134) | Removing instance CLK_000_P_SYNC[10],  because it is equivalent to instance CLK_000_PE
 ---------------------------------------
 Resource Usage Report
 
@@ -92,20 +91,20 @@ BI_DIR          11 uses
 IBUF            46 uses
 OBUF            15 uses
 BUFTH           3 uses
-AND2            304 uses
-INV             263 uses
-OR2             27 uses
-XOR2            14 uses
+AND2            308 uses
+INV             261 uses
+OR2             25 uses
+XOR2            15 uses
 
 
-@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
+@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
 I-2014.03LC 
 Mapper successful!
 
 At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Thu Jul 09 18:48:54 2015
+# Thu Sep 24 16:20:54 2015
 
 ###########################################################]
 
diff --git a/Logic/syntmp/BUS68030_toc.htm b/Logic/syntmp/BUS68030_toc.htm
index 546cf16..3312219 100644
--- a/Logic/syntmp/BUS68030_toc.htm
+++ b/Logic/syntmp/BUS68030_toc.htm
@@ -16,7 +16,7 @@
 
  • Mapper Report
  • -
  • Session Log (18:48 09-Jul) +
  • Session Log (16:20 24-Sep)
    • diff --git a/Logic/syntmp/run_option.xml b/Logic/syntmp/run_option.xml index 1592d10..8d2901f 100644 --- a/Logic/syntmp/run_option.xml +++ b/Logic/syntmp/run_option.xml @@ -3,7 +3,7 @@ Synopsys, Inc. Version I-2014.03LC Project file C:\users\matze\documents\github\68030tk\logic\syntmp\run_option.xml - Written on Thu Jul 09 18:48:52 2015 + Written on Thu Sep 24 16:20:52 2015 --> diff --git a/Logic/syntmp/statusReport.html b/Logic/syntmp/statusReport.html index 658e1f6..59bf772 100644 --- a/Logic/syntmp/statusReport.html +++ b/Logic/syntmp/statusReport.html @@ -33,12 +33,12 @@ Compile InputComplete 6 - 13 + 12 0 - 0m:00s - -09.07.2015
      18:48:52 +24.09.2015
      16:20:52 @@ -49,12 +49,12 @@ 0m:00s 0m:00s 105MB -09.07.2015
      18:48:54 +24.09.2015
      16:20:54 Multi-srs Generator - Complete0m:00s09.07.2015
      18:48:53 + Complete0m:00s24.09.2015
      16:20:53 \ No newline at end of file diff --git a/Logic/synwork/BUS68030_comp.fdep b/Logic/synwork/BUS68030_comp.fdep index ef67ba2..aa6837f 100644 --- a/Logic/synwork/BUS68030_comp.fdep +++ b/Logic/synwork/BUS68030_comp.fdep @@ -9,7 +9,7 @@ #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1401227568 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1401227322 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1401227322 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1436460513 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1443104440 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.fdeporig b/Logic/synwork/BUS68030_comp.fdeporig index f27da99..95b8d80 100644 --- a/Logic/synwork/BUS68030_comp.fdeporig +++ b/Logic/synwork/BUS68030_comp.fdeporig @@ -9,7 +9,7 @@ #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1401227568 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1401227322 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1401227322 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1436460513 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1443104440 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.srs b/Logic/synwork/BUS68030_comp.srs index 2ddc0b78b506b24cfe7a2ee3c8149cb0095238b2..652651eabd9ee681d241fb4da4ccf56ea43f0d5d 100644 GIT binary patch delta 9478 zcmV+hCHdNpUzK2xGk;%C+b|Tx-}w|*rD3|7Z`>qTxu~(aAOCDyMHFYm(^Fu&(F&&5mKqK ze(=wQaMz#*Z?<92odT{UgoeJoHv-3S(ulrOI`EK!q$2B#b*KV&0|fIiu;T+5-40MI z=sn?(7(y5)xfTlc7@E;ADA)PXUKlMgd3i8f;IMZXg3>_CQ`%Fsol`0WdKUlV4&T}q z3=v(jn}So6R)6*)0jFRbNc6#B-H)pwgYYhH8q;eLC@~2etdaI0Z72B<)l3N1n2 zf)VGg>0zxb6eZ+~Wx-BOHvtVqzxL+^M*qp^IMGJ#f(Z8Jj zhGt@>4P~Inboeoo+m=j(k*IgktKU7X9C;fvnskE=BY!1kE#-_^k1^Y#EMn$(7c<{* zmt;m$K^qIk$dvcNczTIDZJgTlTBCs^kCr^DigYwGVr;8f$1MToIV%_J{Pgnx zALNV%Z-3_VqWHX4Tfb>IJ?z8oa7vJQz95ih|1hk!W{*13ld`E5tFb2+<(Dp!!Qs;&(T*DsR#Fvpdb;9 zeTgGks1V8SLVOa4x;~_`uqkSx9wcix^2oOxCZMUinn6dwv+Q?-M~?KlM( z!qtkpO)eeKZxWbKz2ojyMg8n+?@}HIr+}rqo-IUykJV!u7H7GKv$F(R3V)njVUOB4xBgsy1tR?rq-g}g3J|_@2=6qz znuKC0bf>qK1@S7>Ofv*#X7`<6f6sBS!fn+NY7fux3FgqVGpm7_s#|`IpXUXZz9n zXgh>^p6759(%^GQ_vFYTvY*m0BJ4=XG|!G?x}9~X{qduGj(d(55E|}37tip-o1WiG zrXHsxKc>*PtM7OuOH~_qoloh}k~Q1zEz1x67y8dzmwyd+vtd`w zpAGFL(5`N8aI*B@O@FA`h;5vgn3I4P(^?8`n z*H@OWj?cNknIlnZTFRp^PlYSMU7QLphf62bbr=W^ICi#XLKxN z{bI=Y7`}2yi}Kl6=x?=M&vT&;N>Vk};Y;@~7?zj$xXT3A*Ja^f!x#3_&F5HW<-Y1i z26cGcf$z?EkQCp2BFrKKrsRb62_1GedYrOioH7!)#q(pBCoX4ZT#We`=7x*$SWs22 z<`~|yu?`!TjGm)hK7Wt%Ih;T28p~?GjrwA&FHS)wRek3*%2$Hz_8S`B688K9#sJ3< zWyid4d{Wc@$5BMb4)-_ zcn(xUNv7s_3^E}=CSLb>G486qAswr_%CR9yjQ?cD*v#h-2Y;CVS0~r&dVYAAOZoJU z4w+BsLw7D^xw5uh=m+Q_LflebS8VvTR@bn*`YPWgjyGM=;y&nTZziVYcY!{O<#%a4 z@i(qCy4#r7@~^SJ3F?PbzDLUUUh*;a<%Rv7Q#O{rRi6cP0^V~##BJ5*F?*}%6!Z6( zme;BdqUs=K9e;;f9S5V1!$lpossrq)s^h@sKi=5SO&^vg^jppY*ovR*ZS%tV-SL5z z_h)RZ#vlPfl}dw>M9ai{lmAd_FCTB_V3Wm$j&o>Ytn5@GTCSWyy^l)Kv+{0Di+A-C7H_d^i6#I)D89!QNgrtnY$ep$yE^owfY7 zcsD{jhB%@7(r3&S#QY|~+_G*vOJIm>H!EaI)xG~V3YRfkpdH={#zO1;^4dKLnit!^ z@h7Ivv11&28~QFT>Q9O~!lwFb_sseYod!JzDFGP+Ey~UMt#6~pM-~O-DH2U(s;(}3 z(YD@8<9~$1zi#X$^}aGr?w3!|JJhKm3kKKjslMWGy&jSTbUpl&2p*&~+<2zQ(Y-(LPy6$D z3A{(B=d7)0;YW1608AvWu`fcdU(}%<~$ zxNA?`vdtI4x`r&MHW}FNhvROZf=L>b`b^<=Hvp}Vfcsi?N*-J(xBkon#4VNL*#W8a4C z=hx2a(qB0ARKIsU92<*{-UlHIKL>-Tx1a+0B=ry2XP8SE?^M`#^z^7?clm83F(Kd$ z;vKozPPM#A?8vME;RNi9m?|vEr(QA6cYmYP&%%{_gb-aXJ}axhyRrqm(IN0l=x4Dh zQuLvy3|f^foS)S)5hq~ONS465C+k@HPE{YvDSa$w!%CgTQ!eK;_0kEo)^u%YJAYu> z0GK*yS60KX8qY!d7xTF374`z<1!WBM9Y}CESbUB^g2}aZrOkbzh5e;3`ERxN()&B_ zfeyX4UQ%2yylB%(>BL&E>0e>@<%JEQuibGdXqWx?J;7Rgz~ z2Lo&{%wMHXb)@c8U(fio)KNm}C~={q#zg6;G4c+kuKty70xZy^oAlU1dw(&GZ47g4 zjIGp%=qr`Fd53;fMOXexFM}RM*}SS7W6yB`T?2ps-`U~O=MYxb_73@gZ{cX4J+XVq z3pACP>j7#+JFI;%HFnBx1pV(OD8}6N?reHO3^)WI7ky#&oIB>+IWTu>-lj*}xORNb_sthqM0EkDM?)RTs{qXPK zQI5p4)fa#MzWNS*Z1u-i?ECZZyXFGy+iAs@E59uj;9t6edg*|kg7JKq{~!eL%6K@Z zKZyLjB5W6Ks`{w(A<*|kIVHB zV_UgLUGcl?ecXs4h{h1MlX*6{Vi~xBbP|OXKj4f*ByKn|$F${Y&AdFY;m(<}V@FJt z9+GQ%EA+E4pYf6{-eLLnJ+s2jvREh3Zj2OK3>~4aTUjTEQL#p`_4&h&3FkPi_ibnN zjZQmsPPHB(*KohK)qhS3VlFw+^iS&Rwzs2Tq23Pw&#V^o#W_gKB6YhXO8*zuAdlr5 z1YMIP^|j6|HYqruiO_x&M|qf7xK1X>M;d&Kl91b+Jb$1Fvyes;!!OyBkeqo@ z0g}Mp({QQB1=b0{Hj8-=t>ybrj>nllmFvh{2PHR?z=CwaqzWhEG^CTyees2fvme49 ztv~nlQ`A&e+AlHH{b1H(^z;}+hzHNSYhe>%(Tnpsd8Kl!Z`s?hd%Mde2lG8=Q1)H? zi4}mNYtvEUdw=Tn5A*QZ0tlibdqbLroiT!Vu?9+6GEk&xe3k)t!O%>%*0$$(X4 z4{S;#hm(tdg@Xyso2jGE{7j`?#Z4rrw=-~WFu>r5x?DT=sp8N%8-P!E<(`~F#Z!s= zDEa;eHlL&3KfdOU;%qYyTJ_QdJWVx^`dgiSn3>;0!+$~Ry-qm5CM#Un^hk6c2=qaZ zFBm!S-&}xWab(Lj@jW^Jdv*^Z>PPGE_5#9TDZ1D$v&oe8> zwX@r(_S<}H!_KAzOrryCgIp^z#*r~g`u%jDRcvlP1V2P`$&xqhA`MFUBr|buQWD%@mgm&YXl0jM*=3!t7I|WSDTr1MTEGwinC#>+fRx34E{% z`9cnFPh@=bF$$iTb-!nkAKtTtznIN@Kr zC6nAmy95X};Z9)dIFrAO8XG7O?3*U;>rSOQNHSd2@_iPl+wdNmSY;7bYL$%V?SE7>b3dmf5Y}Tt4?T z@7Kj|H)1M^cN1m|*QvyC9oZih6E@=N4q#;gJ&WT847qeQfA6=I8@#C0KW00WXiktQ zy@CBIV&tlCiGgQh><9P|Ndum>uYcfK`vT7z<739T$+a<3{qFFoviJ2ES6w&Ob;PfJ z%bbihPU4pKD*9zMzRK;uQWr4*4gvqm@#@mTZi26#|wb*6nb+>DQh2i~6+&nNJF`K+s-?PPM?)bqB&Ab&OfaICgZCQRAXWSI?V8WbnJjAzZ3O03=h2Kg59$v z#^EI|18wpWvyl?B)v=P4(SJm8bV;3~Q*DB#ovL-q*bDmONAO7m#xYEez&oa5JH7cG zj^G-1q2HO!FXdVEg#Pc$ZTt~NC;v3r?!z#6no-TK@XW;|5CSUHu720<{9VNSuHE^& zi2g3cFNh=YZVc>V*28vlM{!cW6H~%>db@cOH?6-5uH^k_^v7uu`hVN-vD7f6NbHDBd_v=dULV z1}86hfpgviD1G)PV1Moi^_OWw?s>(>Wu1xg6|i0+{dVe#itci+`|EC#zh1}P;Im)z z|CO#!(0fOJnqyuL=!S}aZeQ`;?MvUiazFcRzu)i+!Jp$E2M30q<+a3?PU%xp=4id8 z^l64h3&s=qW10~uJ2;NPG6PESq-zXA96W2&K#*Pl@v6bfp|(+0oG1900Iq!(a?-dKw1O z|0akF(GNx*6Y)mrGZ%jM3=oRh1~J^kOKHenyZv<(OrA-kh@ zTkSW*9OJMAxkx+8s$5d4b2BeF$ojobxHNffsbkW4$wfE$kc!`~=>p_ZbUSq(33EKQ zw$^DE{1dDv+w@_i4sx4yP;JeeIh=GE1_4cLYo`+`E`J^#_5dE*S$d*_;0wSq9D_b7 z^t$?u9Est(m3hDp<^em2nM+2x#X3{hN@k7qfE*8?y~G=2^wbY1`m_E1m!eITSBL)O zHMwfzV(IhuR_?_d%WFK&oVUwogFbJgCpOJeq@62Q?qRj*k0)Y7Wx(3U%ywwBYSe?UAuNq**RRVgm^LabZ)4O zS0H}x6K1Z#R)0?USPIwmBjvA^*pCi3t@sb{e}9VlDg^^TiCfWEGF~Nwe;-B*>bq^_ z{mvJaM~@UPKuJ&xG%4Z-3X76PEJ}2rF~`@)WkfEwb7s&Er_ z$xSrj_WbWx)Oj-AAJ9>F#};>dORvkci(Z-Mq~N~d9*c9XGdbsdxAJT=hiV&+^jd;8 z9Dnp;9#G7~%yZDVXPtQQPLsayPZn*+0ma<8dOnTv$@N&HPQd)TndM)s^*-&Xx+>$6 zG>nUxbHlmxz6tm)PMyvr7i!w*H7BcM134b*^*iXYoSr%sh17`7Er&;OqA~6H&o=<9}|Q zGvpP{Q0IcQz>b5t7ayYjDom3wv3_M1=TJ_lT* zZ=3Qu-%xQKckl`NCKKpulWrcqjeiv;z1kGcaW2F6(^^7$5`=kikBp@r};|n zXq$XcSKA<@5mD~46dXc1@AA7qF-iQT?AaLBX2FXTc+Db({Jv7fs}x+SlC{aVku<31 zwX6Lpo}iqQ1OSs;_h*uQDB5D<^?T>oxRmpPCoFIV`eCR?zYA9S?Ie?t@qaACy%Oix zG`W;vlpD-}XHU^R+gngNV5dn?(am(zp?g|l89k1A{iJ&RBq7mp_6ZugK{TPkskOWw zH&wjJ7goVba;~@l6_ggdnc>rDKS#dLNaI{8oJ4etoB+0w`?cg4VmuymBw1fpg*sct zO`FzzDMuV&3`}oYqwiZ{QMT%Cb1aiy0IUiYIh}H*i=^L zB9W^$?^Sb!&n{-RxFMUNdpK|||F$Z-WLkAbW!7u$A zz&yI0|A*hEbB_RrX*o0HPsMqZpV_f=4vXemI@x8+@=LG(TRKom8GrgZJeBieVlP}* zDj&_nUTx*XC|7o>NJS+8Tx?hqMb2wdiSZ^n#;drx+8)qS?_?s&WPYZN`I+%n>9*Lia;^57pMPU=a(}#Ca>*R?1kgsx zd&%@4F+Wb{^q=E-FXlBoy*2ZKhNA+z9GPolE<{^-vA_QY_o&?b&h>fTbl%YE2KR8? zoNkIeTsKW+b-%J$^9ozVq(8kW{d`iz_8b-f_|Z0Kt?{= zzF%({U0VOJm$%`tyX!W}y6YhB!Dr?$nDp(R7slp8Ie!n>{&EjkUdJo(*aFA#Y{!Yn z1$NbEGgRaDiCk|uU&=@7h>DN)l6MPit@T~vVg@OEsTbGz1qZ&%ipq{M@nmq`vz;=QaHBe#{GWl`zIqybB}#L^0xm{jsbC#)e~bX?CDb#d>W z2l^5uB7cZ0cwo7Bzw{s3B%-9WQOo7~1^B&>BC6uRnti(#^COkMO;nyjHmS$^JTF5G zp!R1wO}>R=sXK-S{sVWoA@JZKOdzY|4r2U#v0K3dsH4^P1Ncgm%p;C>%rh;V3I}$vraOa~)!;+u{C_a0Q%e794F-`P(Z^-@pTM^|qk$g{ zB!7UF8$hYtCW<>qi=Cmg*#CKcj;(|6?wn&37db|;BOEFMK__hCZ|l#&@_+{&wRZ%Y z2>i){jlz!X2T1rD&-wuXn7J}*33Ksf^O0pSe>-5E!3c(O;0Jf%x;Xy>H>9|7Uk~<| zSQKtsep8)@kz^03U2evTl%T+yo^z9m={1@mV;LdZ@#~(KZP8(I9-JzIEI0_A5CD2Dj>C zo=C$U=$CD`F?KW=iB7NwOCW8tMTetJXSrP5y;J_;X+?w33Z5p+ewmBO z{{w~J^U&v(HzWi;p zujE#>U;K~viEOWs?ep^=f^rSvooihZzWZcgSkPRhK-rg)f40LjwQW}=^Z<~+v*2VT^v&rae3oo$)_Qs?3# z-k9snRlDHhYF=IOk7%1a{RYl3%j7sUVHg&ioEFTZa-}Y9YtdfwJLK|=BbZO+Lt@NV z0tU)s6kCpTnx)z(Poo{zl ze_iA99!ABU0Ps>=??@_p@XI{~E~)c*CRf+A*C4z(?~7vRvzBhwiyO6z zk);ZUzVBAK<|cfyACza_)#b5qOpW?y-6kGbw4Q6@uPuGXB!B4MT=B`6Yoz)~pD)h3 z(c`DyHD$Uf*Y7uZ>c;-5b%VA+ok4;9Yl@T2qk?Dbd|jzH5#}Zq`|;23syr)H=T zRp+&M7Vh0krGJm-fC`MqC>uu9`FglMCIC7_1&ftDYy$7PsTYHx5tv)5&eQ{aEbY>z z?kn~K8ac@qynn2*mmBx}8D}0|T_eBTr=jYd<&7Mz&SlClZtXZ29e1{zKGOXsotv4n z@dj4VD(_RB6A1;d5XOBx_iXRqG{(_Y&ee@P2lg_DWN@O$tIPOX1NSA@F|O+-p0Qf@ zB@g4ASeeT!Fqc=aE&*?qe!~7QH0qBW) zXt}hP^}QDDvBCPi-)XBwufcS@<~j1a+q~R&j=wRsdCiVBF)BM)X^3=J5xEU=5yC%<(jVANYItNS3usZXTvGQD*E8kVeE2tNW{mhGZ z`rPt*K11={XBvAuTyyyh#OU3 z2UKD~IsU7g3p*#v@tvW6bD@t-Ke5!`SN*+-zg}xyj~LrLJIKs2S&fRmWZ-A2{ne)5 zr_LWT^4XjGC^a^XW2=0VRH{#o=i?+>M`G~O4wP#;Q95he6E<&&|0h>W$7hyU1U6+;;gKSLAbiA)muh*_V0J?%%0czT(&0{JUS}D|YQv^woM^^$2ilihW63Oxr zR|P-5L*)Uai!?9{$TT(g+)Hxk)061~JHv_N4C)bXN2z4Ztu>s-Ku_X-+~G^# zgF>Q-c5^Ts(|F;qSBvN4pjEsjL64vx+LKPFT4VM4%xN<@Ikl>^lwSSu^A?Yz^NW2J1BUm01Lxzyp zj+iJ@5)1l}rzx5e@07)tqLs?l~5EX&H`XuZVCg$;MK-VbI^i59~f!m3<m&FboFZou`P(+*86G1#t@!Vw0=_-hV-BgfI|=&zoOSk{;|~mn;cI z^s;VZ$if=!zKr5i*NEE9rEaatA=z{~n7-A@f>w#kNy9)q zw^bOxb$@iem>mUw_L=Wzrr6-UQ~cK(pVq1$D$kkclP!IJ^*G+*4*BBg$=iCXKq}Y>A=~no#_~zE zi%5Lx;>3XnO&-a7g=N_?tf1T;i z#gY9zS+ni0H?|=3IQhxezy4-#WXtGs6Brwp2JX_Bd%b{uyf*xRZSKgwbWVG_&(3Gl zBC+E*R!n^A-TU-FPAnjY8TA9gPL#~D^h9R6d5@Z(Ka1yL$8tPE{lk6!OdL70;=O3* z#FS*G1p0RA9Tt+419cymPl6L8f8h+q5@#n8Iac{zObBse$4m0}?g)GO5Ud#IoRWjj zg1e^`|G@YSr_VpL1(wS(#l(U!#g=)Xcbg+!{QQgcU-Z8*JYBY9!Q;@5nYXhdYzg(o z2VPz;I6d;8Ebj67?lb)ib00(ZoRB(+NBw&o$A;|=hT-}y&c%*x4lXl%e`>h@u$Q;- zxWDhK_97FOFmk*&Z|_W)aTw))ha)=fZSyu_Cv-y7iDQ_Xd(RhRKCE_ZLb>ZH+Z1!Hhe#whO1@;)RE z{10R<)%w7)F^B0})BP65f1U9$<7>|L*_MhMd$!)nu@b^zycTgdiM%Ku@0hUHSC*~m zbB6Davsd<-t)D3yzh=*`>uh$io6_3M>F+2=MQYWKP;MM*@9Mn_ZF(YFt0Bpa_`;{R_xK3 zZP$CzzwG9DfqM#N=VnXw``Wk?tS=#srN*VdHvt{jR#y96)<=l=Pm4THKsuB>!@9m^ zSuW2gUviBt&`2;_1omGl*Lch(VivN=YdP`!nk zN4EI+#(r&wuti}^mHToIK(*lLL?=|XI{kKpXJH&$et+-M@GaiGd zM=zj+f47y%x?XyHX#-=h#gSvi3Cu-QsVhGC18f< zA9bvedp^*^=9>G z4goEIY@{$3o9RYiTU=|{J8F#!EXMIK$ntppZQY}RcfCB1n0I@Adh`Q#K?mX)w9mbP zz|;o&=zAmIyQjf&Fed}qW{gG?Fc!>=S#9&BuVi7-&)a zV&i?bSA81q*~4iMD4)#4zFm*MiTX#^=jEB*dcl+bIB?Z|*w6a|F*m;P5nA_0%liOY z=cWEhcm%4OQ^!2h+ean41tw%CLgJajf0exLu5;{27&-A6><5+j69jse`!1u~$H2W? zQZnEKq57PV9^ruHDd(_1Ih4W`Aj5eNir^7W=5Pa_<@vMt1YE!`xP&Wsc;3SzxPn5g zP1Z|9z4&b27)LLlmp4GL-tt+F^}rKYFTp-wb=U`zKRfTW`&j5hE!@(tvH)M`e?C{w zl6ajY2)7ehQyM1*$da+8xgD5rTCoARwqy3|+hMKnT-!QIdoe&6GwAh4&u9L2@d9Ty)$K5~d8d7*psnTn^w!P(90!$pFUkWK$S4xN7mcD@dcN2F zETQM64+ge_ywb7osqE}Dlh@#j&q{nFbri4YDA27$>L>#1Uend@>81(Fe^gU9X>%Kg zIJYs_1U0vXKGf~O9_6I2E8o-0Y~P=t99__jmSX}+h5v&03Ya*U?(XUB%3#au58q)v zt-IAz@B!PD*y`~8+P||(j-v2EK+*K*f-3u=c!0j2abx^GruSsTzvihs-lef-kp%m2vO`tWH zXq!L(;|KJy$7cwC#F*aL4VcY-*1r)x7JL4&{vqYu1UY}EH>jf#f1@e?0LGSt=%+xp zMhQJnJURybO#m-X7US&agyg@`7t(!rn19W81t-sPIP9FL^r__js?U2|*`pFC0~WH8 zYqHT#iTIRmHWeR4*Eco)#C{JSNk0JQ^`bsOUjVUs;R^)!{#43^QxUOU3Dej-C;+`gAkM{sfz`t@of2R3RXlZ>_*-9=%_~zw93DsUsd)&VG-~UNo*tG0$K>$EaDir{>->Lu)y{2 z*wS6n+dqWeLoVUK?m#?U^o7_T%i9J|Nw<0X9d=Cd(r9N1U?8xw;1@??ufSeT4Hn>f z(6nlEe+7Suyc6=Zb^g+{0m~6sv)Hr(Q>+)thv9nvQj~>%4bRm%Ljv=@rscKL$)X;F z79q@K5!bPpA!LjmEZH}S2PEGCV~Jrbpbza3IsIZRk;jaG4}krRh|moWjm7TajoQi+ohvxxbF^)+}t z9`ejej8O24P}_KmwpYbErqp&;9^x$SK z9F3IGB#v-C62J-8BRzakd#x*80+{37XL>BgeJOaJ-{Ga|GG4~ADn9De-E63gJJopv ze@(}VcoZ{6BqkN#P3R~c%YzPX_)NP&UZ=z{XloEl2_DZ(D?A?bJFeM(-L{cctZbXW zCWs?0*{j~F)h1w@H;d;?#2<4TGa)+uWc%#|Fy^~9p%=(_f=yr(0!kzf4Fwn8c=zk^ z7t2T^_H|^xz7mw}M;3VODY#9VcHtxot$Mp;L<-{##hS&_}{2eYp$d!)i+I+~OUd7>|7D4-fP(4T*HZUyae}vH~ z?<)U?EOKnRp#Mmn7s&W*9Gqq+3M**Ja%God$BJN$&^fmo7;2T2c&w{j^P9!k#r_4% zwwmOzWIj60sxf0r?OSSz{>YedB(W~^2RR7XXCO0iSjUjI+-EM^b(YJ$-2=A<{^{-L zac-qIuwQyCdmbrF9EKR@K|C9oe@#4VUcj?v6VJ-|K}-vHSM}q<9I2Q(`aWpy{|0-% z&boCY_;?i^0e;=Z->5?_aYxUCs(!h|?}0yW3%}R09ctyip0t~Mt1zpweNrDSf|9o$ z(;)`j9st9MIol4$>|t_5Y&B%#?c{WPu!b`_o^07MemKp_=cDpjTRofNf4m|7#plfj zjhBMXI)b4g)@@ABRm>Z;^D|L<4heEJJKE0gwDC2~_QE^<1O}Bi%P>DBuJX0}U@m!HRP_Zp>u5YI`f4Q^V3RStRKSr2N5`ataQbMPPX$AK(tIWqy`1iy}BlcYI zDk?65aevTVyxjZ91;LV_f4wl10#n7JKSGDc3L_F7Bi6B5EG#A%4zVXv$$M1V#JGg= z|6lUB2x$13)M*7LapH+HyS;j!lXx$dB;RSN=;>0x*FpFE#RJ}0-- zsX(pNwDocAi*b?4xQciW#_G#)2|nZGz-V`~D=BZ4daMOIX>0Xvf1C*4nJ=1rDAz_N z`O@cr&}oZQE7O<0~DCc|@PpAk}))821FAPdiNlt7^AREzYdl?OJ(*hpls8dri&? z&ayRUrj0xJHeQQ;f5@06d5AUpCj0{HPYhTezM#I;ZC0%N)XX1ERUQ`93uDD*>|0*f zU3MowV0Z8Xb)3K3cJmE$u$@I*ElmYmkYY?8b%TzF%nMwB*}@g*_tW3y8Rq_|^g{{e z*5OW!guH54*3x`fcCbplrEHIlsheOVQ*H*K^rOR-?|Bq+e;~Y-=ht}QMlKmNMgYsH z`6L08mMI^@;`SW)9Y^t6$CAKJXD}{~p{@<;SzPr_Dh$)2+jT|VJ@xI2V z*ti7*5hwCVQSP8lKAs7A6P#*Pas$e@Z?sY57LlS2@_rknY=h|hL!}MzJ|+#sm`9k# z^*ln@=c&$Zf2Iv?)9#EHQp2raDcu(XKVO$4g%u>P-ut$e*z`<|Q6)!3KHKJ(>UeCh z-wM6#UwiC!dApla@=i>w>F2PUXN-5>@aH@i7ROSLwdy>W#3dblW739s^xD5=%Uiyq zrN5Dw;ETh5P0W9*^NdL+XIsBVS7jVvujN!+^10h|e;IO5S|1v`+sN}xsgr~6*LA+m zGeIBdJ|FraT{<+yB%07MlR}2sP*BCBurcy?!4Ktr>l~~?fvYW47!lgA!ZzX_K)Khi z^L{QHM?O8 z>i8<7e}k?*{O7!f%x%x{rN6$maw=bszux7w;v$zy#+7sIdLC8qye<8T7-f$2rp>+e zI%uzd{`F!UJjU2p%mD_0FN^WpiZ5@fn3?-q<(ltb=df0G`A&(ELJZP`V7twF+sum9 zo}RjOAEbJ(!IxR&!nB|=WrNWrf_tbFc zc)0DxqG6oom@y5BXIFF&#_G5W7-<>YxjVbPd}!0JWxhD5gg69u$&QNHiH3_w6Bm=R z&v|Y+45q)uI2SMQ;6)vf{rxJqaQRnp6r{m}>H5&rc$xN`A=q(EI~HG(X>bwD5Pe1J ze_GesOOh$#xbIhm^!Iw z6YHiK&oDpxkd^TZ%B;?LG&X0qPxE=FZ(Frqn8#Sx4|UnXINpYqXK`xtsOl>GOK<73 zTY9^TT67?nI*^FCGSa4|Rrg^4PuXqUe`Bq@;ltE0P@Q|y>#?+Y%6PP?xHrtBBY?Fq zelC2sihYl&_PxrdM-9ACfK zb^aw#TqlVf4jLQaS=5ZFTge5Tn>6%wE#FGV!f~k+d^Vq|*d8XbV@v{bCsk}ui!V%r zV8qT-PxupZPNyhDqhLzCb5+)$4+YNY+>Zd_l~1MRK#4Mm)9JUhz~~r9YUA_8`Hz@) zmBD5igSEmCk1yJJ_wFa@~WoJGtKvXDMKk3Sq`pRh-Zm#4ac-N-;(1gBDsg+OohNQ zV2q^9Dm~D%XB9tP&`DYJ16e-q?mj9Kr8 zikaCMGqW*fmgX@5(k_pcSbo5!qiMjrn{y?$to(N!d)WC|8f+`n_5ul_GG_oPgN-pg zUnL{)t26ju4v^=YYh0lpj0;D*Y0+-;$2C)bbocf_;y|oQKx@ z4B>akd;-eIA@WIM&gR}(f65WY!l6&G%t6&-twhSdZkKB18R}2)@z5{ z&hnPB%YJ>nZg`GNtS91>VOCj#i}A}gU$#~M743i*);Oy`w6h9{f5-#Q`)VX~YHT*H z#;@xBZ$Hv9PdHal`ci$r-!McRn87{UXYnJt)qlU5ySS_v(Cga50DJs;#Wf3DhyvaCX)3!Bt#U za)NQe3Fc>sNsdK%*Iu9{r-9!BC^-$8vsa!q=b}!DD;ly6qAp|R+;mhd-8q?h9+kj+ z?D$|~`C`@`f9o95fxwFMhwfOQJb!~Zcwl#7``d^WEvXxsCBB8QIvBNUlBnwqVLRi% zT-P^BJ!^xICW01`e%itEEq1H zKC)@$Z0&0GaS1-!h87*`@#Oj*>y73{e+&Pw{7#r`a6JFd7>xoK0Pf2FpXVCA z>yaCb3>0c{@)Hk;QgJkti-VonT9Fjvif zq;F?7BLWN(Ko2=IS>W_dgL*%1Qn{{^t4n1Rp46smHb7>U}A1@_mxa zq1JvblV$tjx7NOtTiJg8f4ombd%0{E&wmmpr`7wPxctrY-);A~y3fUZuHWaCfA{$( zUVERH?{iU5dp{q4`M&(E-LJLJYwhz|`@GhE`8*fx=f6SuQ1}0+e>f`8N@P|F1L?u zv63X`rxT5zo=ARrqWI~F&QHg%i$RUXpjP6#FBx~l6lA;8jLYu=O_a?-n^R&mlAj$R zVH%_|ccy7itTSu1)yz9v9kyMx*YOUi^J&P3mEU2&{E`&Efg~_ZalS`!e*{DuU+s)u zBiFKpCsE&Anv`=R$^ggw)_L^tAYXIvGB0v8R*^@F`38-6ZESYrk+zr1oF|$4tjj=P zx9}vP$n_6Xt2`rhNZC~8@9WoZgeiY{qP`m@;_@Y*3iG3#8wv>$od8kH5-+D_p;=5bQbUs4MX;m>1@l6w)8Hd0ojwGL+k;TtS zPGVb`USDs@CHE`NAFm19%bZHd0d=(1#`g-{q0PB!GskvB$wQs1Gc(q)-Z@U6!e*3f zpk1f(y3D1)Tx#rXno6v>B&B>*t_!*8;1zV8#``?aZ;|nH7?IF%e{Ey!nj4v`+trSo ze^Sk9Z7Xk-X=~N`jkiu2`#pKQ%qQn@E_?^`ha11!bbKLq7h_q0S?Yoo9#?Qe*b21v zFxW3H>MuRdZx_+ZIX0PxZf8t<>_?+&}gFcF7sB zjYSoov~aH)cDhTyf1fuSSC=Jv>{n#5&S6SET<$I8#4F#CtHoy8z6+z*|6jk6LJha| zn~i!u7g;rSs?DEzSC{FQoGw-GfBl{e^iS;@v<)(LQ_NARCkwt#Vtl&Jxfl68P2Bfo z+=s|>b8WU&)_F1CEEG5_0iDIkh|}|%m#+inkrc6Cu|JH%f3(8=(K3Dw??wsK1Kv^o z?bh)zU-Ki$>#FNMdFD-Gxt6m+N}in)L2gf-WxljWe&=j}tha6b2KtzYZ2?fkZ0Pur z?he_PG6!!v$+9(@3}30reS;GG=)_v^v;NhgjV}-w0Qcd+z4F^)qj`?c6`s3n;Kt3F zN-j8kx9%2xe?xu?0WcJPsSLkYSe|Fl)>&Q`f6MRb68xSnQ8sbHj*8Qj*Atrmeedir zzs|&(?P7&FMZOZogK!LQZYejN-v+R3zyy&U73Zxhd5d9ZTqtbGxmVw2EVw}tSCO11 z=JzMaLtuV?9M$vttqVE&)_-lQORp8*?G*7*?QC@se=m(M*|Fb?m1gh9N%12bQ7Euj z)QInp3;7JBna^-xtvbK`FuqskL{#j#JWEpMIktS)p^o#zwwNETGv-wm=QtW?D$6r= z4VkLntG8F@gsbmfx@We|O>3T2O%74Ey4S>tT4QP#bIM3HPm5G@%CNmgp3@~cC}R{U z%2n~*e~VJCg74SDMj-F5<(-QBbHMPAG_du(7X9v;%J1TW9Mv&rrR+bfZ(M%EyC%bC z8k$a&CWVfYc|45$P%{pKIOJ77Qlb6$=%CaQhky3EDPW71RmG3dt%DPmYHZmo1GNrhjhV)%#~WnU2s%J_fX&TWDm3*tNLtA;+-{lrp#U-0*)3Ej|* zTlt2z_I(`eqncycmlI3XnO@!RtLOW+`B7s!5OdQpx5_uc@4jFj6qqFoG5GF_nnSgx z@ta>|o<*r=Hc>uDEjljOW5xPjY2P!~LgrAciLBSS&U1Z&>7#vFKFe>WYu`&R<+JQd qbjiPyxp0zS@9^(rTV4L0`+45$_u$|E2LJ&7{{sL$=`m##cmM$ZrVT*= diff --git a/Logic/synwork/BUS68030_comp.tlg b/Logic/synwork/BUS68030_comp.tlg index 5f37a0f..6e1c810 100644 --- a/Logic/synwork/BUS68030_comp.tlg +++ b/Logic/synwork/BUS68030_comp.tlg @@ -23,5 +23,4 @@ State machine has 8 reachable states with original encodings of: 110 111 @N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":139:37:139:39|Trying to extract state machine for register cpu_est -@W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 23 to 20 of a(31 downto 2) are unused @W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 15 to 2 of a(31 downto 2) are unused diff --git a/Logic/synwork/BUS68030_mult.srs b/Logic/synwork/BUS68030_mult.srs index 844aca16f3b04ad2afb610c5a182ad8bea8f3562..6821e581beb3b63c8e71481401ffda0648d04b9a 100644 GIT binary patch delta 12502 zcmV;{Fe%TgW36O=ABzY80000001JFlOOMkq5WeSEj9OI!sg05}ZP}cFio;5~&BB6bg){1|_)87~$K=$&QM{Jdg)JW-3$JetEDa!a1!;)ody zSOX8u00-TclTTP`nhT7_(L&GJL zh=fOyrgwx~x)Ip^pRf~C*0dv32!j_o5)Q4ct4pI1F2v0w$mlvh$!lwB3R)iQ3Ch0> zlK5!6SUWp^#9-(*_?0?*Xj`zt=z`o59E#g|(1CCX)&cp5Qm9H^ZTz4xA%94Vs>4H=3iHA`|GM3S|;!OESm2hzZJ86p%nT4Gk!;>l$XI( zrAMVIGTVH*I2!o{5;(>mI4jaTNwaLXTW9|ehE2x3|9;OId&c88AiKJco^8z7o|iM{ zoH_6Q=+Td)Ye%--B_y)LPn2HL!<&ovWe{*4(Lb&n|MJ>BO#OL_`q#s2*Z6~u=ft13 zu3b7b{$ST*GN;pj>y}RsLx17^v6^?C^!MfPe(Y@LE61JFR(I+Tuc^V_daLz4-0OTg z+`OTko0)y%Y>mT>adZE--*?70H<$N|tz&ro8~5g+fAz=qCb)@tKjLrZaBuP8ir*h1 z9&?!C_QRF&$M70n>v*k>7-Qkj9gl6-#=7sAF6-ZOhYksUkIbpX#TuN&++TZJ<{15T zpD>r+JDd!;WyNMT?}g_Zeecj`PUnGM%pQ}U5##)hEpL15sokMOJl7u@_ile~xczht z4*#2SF~;S?!DWW)^;hhYzH}}IJPx8M?}+i;%frL{o$p-G&PypR&+RLm!GzOdG6MA zR}LT3>9qB~_zuF|b&dN!=g!!t-h+7Fpu@NK9$P&a?6AJvGU|@GZ1(_yf7~x%Q;on3 zyJ~(1W&qUuKjhq5#P9pt`Oq*99r^CuW3J=9IY57Zo%a8XEZC^uBkKeC&^E(Sck_W? z1j+2fEDkQZ?Tcs=b)x^B($>{S`y=3BY}+=8XjwV9br>71o*|e^+2=x$HyZ$ z9)a<8*?7C=@pdQ1+nq6rV@iYkKB>R|(WM_v!Lx}8Jll?vJ(<`h zE&UZ^_lJl1fnB=(gLmI?XaakE^^tz;hPDY{v_n{2l5koJzfQuN=AR&MGS>9%+GCf0 zs{=4W|ADrGsf&GEY2TLUn^02UHvt&CDU6Zk&6s%HZnOV94Q5YCyG_0S44#15CbPPA zcu(#B0J%QRrtMDa} z_Kkzvcl$bZMN&&IQs==W^Pj-H#t( zeH$Q4)4qu9N5t1hdLeMHW^LFf$Ft(&CH)w6ZJ;m`ZQ$SJ;&H}*vgm@-$Dhee(6($_ za(36ct(`~0W3( zT+)uXFYzl(aXyT==u`D4`!aXU{Y-Z$KY%_6_7TIs$!IX6Kgnn|NPYr;omXgN&>1CU z_Oo#>@%`yf(#RLWlpaFM#IbH?qo4HlXFcB<&2C{19We(Se@xxOe_guXcG~|h0naey z>tP80wv+F(?=)%mXY?@LI(2f%_fC5zaR~{-w!@H6yWL*X#TLZK{$yWElWmwo#;Zd+ z6udh6PM?zR)2E~|&Ub`=YtoVPi^bl+zsdJ!n*GOamv?pJeD6Fb64yc@Z-w1xVE1kZ zw0B#FU$?zMj^AMb=o#8Q%WmHe+H}xsw|ln~uLWJzj0aB)Zsi%bN!JFLY4&=b>F3&W zsQ0<)jO(>NH=l6`GK(ONnZL>~@sE3!@(F8oqbIUIx%|%X*__t-SE~yi8u$#Q>%Uud*ecornik7i9-xnW4>} zZPk~U|E|q`C7-E(8WZQmcI_Sg^;z|ot&4r${bF@AnXRkP=k&80+Y)12HYtz&t<9G7 z@mpn_$8XDT9^H~>R(yWcX3yVXUHivxyfryJ5A475_$`Mq@^32!`Ea(J-O=TAc~{x@ z8OnkgebnID>~6WNJtI8eJ!I=K#1A;BU)lq9w@WVC&wv?!OZM%Nf7?$0r#;ZQe0B{w zuhSQN$t2Wj#nXqaM?IggOpf%1*M{#n%jC z`a|Q|bC|R0Go&$MQ8Nbp!^8dBGoPF@f8y^=v40*l9RHg2p+kftH3;baF~AuVevkMO zh{os5Ej(|3Zq`|Ii_e(b)#AZ_lgU9x=eHni&fjEm=kY#QY`rxM2T=J$p9jK>R{vyw z3+T_;8+~%ddgQa`?2CLhJmcAf4;WYS?(t^_$umPfbaF52_`)t>zwit=V?NOcHtrOR zJ;IcJ!`bNMoD5LMr;MD$FVyc@#7Ae~gP1FaxxyQNU#54nlYLk8i{t$lY-Lk6Iz9I! zRpY16$$9HM^V!+#i`YYwoaRL{!oIXYwoXRR`>A=Fp1%&3f(K9bnu2bXHUuKRDUmojb1@3y9~a|>D}!~7@#f!G8kwoJ=PkX>|4ma=iq#s^#-hc z`)m4ta(WIrZjxJe28|EISxS=gpEb{T)?mKSeb4PPdd2wR8PDD`-(?T}726ulmFLgr z4!dl9I<+UU&yBOr5W#OxKNlH}`}e82nrFf)beZwDkav%cX&z3mli)hfn7=9DLHKES ze`1F-*LhmL`2w5@WaAN>Qsf+dQheS#^?Y=HE^bP?V=uS-FJ|2P+dt37QR_60%6(@o z^VB|A3(s}W9y>lg_Qqukx8^*FiE~KV?wg+r;5m2UdZ&1FLYT0@pL%XU*pht} z`yQRSKcU7K#=hTYhw*8+o(ZcS8{CfeyD#U+f%4gNH7B%S3cX0k=XkFcL zV0?w1^St~gH>;_=6U9H)4VH|~nx%J4Jt+obRoSf&C$(-ro zBwXWvKzp&l#%J;BGoLg63H^iad+)n{56rmQGC(}&V0<{mn=U}FN&O~AVO+sa<{rp7 zda2nzeI^gs=%2#mj5A5d5YhMy9d8@6@pK6*LM1+e{#*z7kp}e%_FA# zzeneOMcBg|e<5U$zU!TdPaJhZK*00hlVos3-SABK+#S>JX=^R)=JqBaVQg>&%uW+OY4?-`g~rB%3|4CnS(?5Wa4l2f#p#6T^MF znLsZ#y>>liYn#}c!ZrHCL))CFwM2x#bZov!*WzD2EchG7e{jmF&0Xq$T^H;497h!!QBpulvrW$#V$V^WxZi?R<+Y$En+yI8ZnW9$JS6Y0OQ_k`zo1O(8vqsS)jw%kTL z=-SybBkUJ(QEyMzDScrfm19h!N$ca9e%uKEWfz_EafVllU;Vf(%vZH4^;zHfxVOP7Aiyd|%=S z_a%zez8sj*Cwkw1D07fLqZ!__9-)KQty*`St~<`xJ*r*zsJQNtT=%HF?ryej;S9`i zP}yne-K@alGB_jp4Q5~NYTHV`we<(MhG3J?5Xf#amIU#)fSZpjjSqo-mh|qnV&8qF z`F*q*Dm(>>+8~~qDZkhI0X<`+!@4v++m5Pj7b9Bu-5RBTdqHfBWD$P|k2M^`u(0Q( z3bV9b(K778bCOVXX3PD+ATwA80X&gBVI-gbkyQ3rv?KkFwDUiWofGmy4to*?_)na{ zUbj0V&(q;jzNg!M_RopnnVOAT_?t8MlfbfpmH?HACE%~wplvv#3viLPY~;_|Np5G5 zW90X9J`8Jr2vWEQM4k^>3_yvueNPZi^y_Du{*|!aNLX(a?hD(t4vX)EJ%L1(>Z{+M zK(3+qJBO>uyC%pM-3+d}-MhrYU!;vY!)N{Xi*EnUU}<(SiUc;`9q9^KN_i94pwDrq zjfv-j{(E;zbvyhz_5Wiu1kN3(KRdH^oBEGYVcsHtjtS(wC)NYr2JqYgLA|hFA|9Et zQQ^LXEgV5O3NUEJ>!cmNuur!rug-pswp`#a#;yoHC2jT!&LIh)Mo45578Y*Vk0e z&G7GqeSoZawQa`f4&wx$I8$tK4I)+b)Hm%=-ZPa{d1GoWo4>^JRm7TEGSb zN}YcRy$)sSh=_PN!8`8{mx@2Ghp9eB7}@j4o=7@c+zf@@2C_G?_Yq%AsQ4ZA^LRSw zr$6_v09QMpG;$82-<02YaE(8Bdd;z2UK9L<*IYcWuEh&elC~3~uJMxNHTFZWf1>)& z-qriepDA7I{b<)DeWr8conzmBF?E{MZ8|eExA&O0nk*D;A`A)!w!68t({nweKVvms z86b*?HW081=e)$}?NaGKBKEa4tBHXOt8sF-owVQ6G?>rt$^M>z>>}`EWsFzf4I$T2 zDdWu&Dr3Bro`!iTQ#z}O#SLrYR-wO+v}w5>^RC^#-PVXc*_WmQr)Aowv0Ddx+CTlyn;s*#?Rt}MebJLbFZmC?}!-bRDM7hiurF~{uq0` zA90Y`x!@CHYODaLOZK!IVca#)Vc~lJv=h%FPJR6~SQg@qUcoDH+|Gzs_yhs^oDwzH zFfwg8h>01)Ty^=3T0Gr<|M7~J+6ywECT~<^L%D|6;Kq!03i4wutn>M!9mfQD3D{jI zWM65k0XagP+n1ak@Z8qhOED*u4W5N9X0o@m>qw&wp7MR3^L>)Z>N?-YHpTZxq;RJG zD}FyBb^ECJeIRHAAQ1)8EVjk@eFw50ubCs9O~_l+nS}UUKDYjV$)0Ir*3mVWbRF2H z2+u|#TbFN9ZoG=wLnN~Be%L<9TXdYu)iK%%t{yPAr>?C)pIMHfzD^}yTWF>Pw6`rd z#f$bo_QMqX_>vy33+-F8fIsFlmHyNHL|$9kHHT}pH<)~263aG&SlZ~BZ{VC$-R1H? zwKnC`D)X{Zyq{Qq3(Bmv9i#jz*HUX!#2D+zo@ci2`#(>`Tcf=pA*@bz{`PJ8xCcJf zIF1gRIy;org;Nz~&8>~FiD!Op-Q*Qa&8}UO~6d^hkC$_%how zldmWASRac1v~vPr8Av^Pje4}yQ&8u|l$`)3`p&WxZ;<2OY2#)-3;)_1EBSRdO!*i- zHT!bMxVfKyl;^JZfpU1J>kwUkU4$Zzn|tsqz<;4=+1vmb3wdy(+a>1iTHw)N)X`*V zcP{&ML4ID&)BGs?yqC5?;6%W=G4(DIII8o+aRhR1s~f#CdvrL7EkLvkh$M@Rb$ z;UF@9nHJ~o?B+a{>RjslPk3$s^Otc-^o;&`bWrtMG|f-9O-X+*;IhlS{ z+d2im4jD|Sy3T#;)}|}w97+GOS)8+wT~wP{BTGuzx2CVKJjXDfqqsM4)SP(P4<+Xq z3U>i0Vpa&}wumnqXf$v;4!kLC}N=h7w$ZP+h3#zRwo(Q$*l7-e4eBN8yWr`tajjWzMP=v&Tj>TKE> zzl_l4n>dt|eY#RshRwob%ZAe{P!TE7U18s&tjDktdulT!b=9WpdY$ZgDH2PK9V=m!Kl<)65?F8bri zh!yn45j>rcO8&3HaUdokN2qfcxVRlzD5V4#2UW&*j7I@IP5Iy&?$_oPBfiw}_UYv( z$pcJ`{YiOtYTNP6lrQUJN`41_bVKxf2GM`U$K`q=3+0N)LaUdI>jHjM@VmorVbjF3 z=U}o=^4-`9(szS6#&;E;<-9VN^o$UBD;#~dl27Hn^+?o+K)P6NY*m9n>Rf1ZrG|rg z0tXTh_P}xt2dudu{Rq+yOO3v+lf24K{M1S7LP$FeOfE({O^jiE!KNgC>jWz9wn5Gb z?-(=ouV#UzK8RCV#3Ez$X(Xw zX5!ow+|7I~(Inj$;9^=lNWtb_;(5vjs>}Hp?{w-n@EKLZ<~6h=Yx~H4j@e{DNVbGM z%(Osmw0PUDOy*W? zQH@T3=TePa44@5DUq_hxIv9IGd)glOn3CDFm;p6E>9<1Y51!bU{oM@SuqV>LAp7AP zdvf`{*{1k!{o^=x=WbT#Bj@se(jR*GZ(U^qHuDC~Iat$1mf4=~S zy9^FQhr^wM=X&zKY(Kp#*-u~1e|DY1IJN#5?SJC_Cpz?@PjScgET{8Qig}y`>5ol{u;$!OUYO+zP^RZ-~EVS!>>XOg2yyvy`ATs+?8oLe( z0SEbKz-^g(YL?H6!8NVilQE-=nG*hk^=-kHT(I{{*|>JxB7CgEJCu!;UrU#D$~HHh z$6i^5cr%7PvmF0?%zme2bhL%VwYIQsoh__1NAs$GTa0@<`(AwH-lmw}q+-q@lUNa; zxGCnU^4v|%>g+ng2bt?XChk?iwu8Pvw83x-)Ye$UcUzb<{KLy}*2;39pVo@;U)lr`pVks^g>D!nDoO+NQCx6Gkyc+FEIj4dN!8 zgOaa*_UXaJyl=+IUexpCZ83f*?Pd}d=%;?6zivS`Z>Z-d;oSv+!Rlg+Us^L%$;wi^2dd{o7G{gSLfZIa&%2vG^+NFXl90Y-N!T#l`sdyuIjOiHp3g zPItW6q%|wGco-+EUr9wBOrFY8GX9`WPn2?hm#9PginA8`?__l{y`jr4=a=g#&HXJP z6p}iBi>{|>F|>`knzXeXyH`4EH=50;Vsn{#i&H#J<#>C{@tvzV(rvdU=C<}Z$=Cdw zVcE9fcD?>lo~V377MAi0L|iJy3~VyGE#y*d05@Gvz|(VGMccW(0u>9py8(94 zz_);fo9UcO^ToN!dCGhdtTS@f9Cf-$Hg=N@OvPw9E2Ghmm3(Wop`B&-Eywh&`?T>q z#WVOdblEiL>9_Oa|H`%dO8ILdUNLchYGF`YOHk&uonyZ)$Gshs6I$a}*?ODibu&*rJ>IpV#Pr&ScV}{ifSsziDdEd1I5kCyNp9qEFqnH<29#A)cQF zzj##ii%XrbsMkhE>@~;kk+bbQia!v{fyLu6I}3q^b-St7CiDc9(|eqL)@`PLXdf{H z+d^iI{&KWcu-%tB8;P)8@GrTqx%}*HZ$QgBilz(1aB%S$2*R?7elCdm0RT`BVIj=v~Nl+YdQv`ymzE57F0Fr-RA$RcvKiewgHYvQ7Tg z?1<$!+FE_bVXw12KX=mHv&>F^=FZ`Hdby5{( zFSqC$$mGW1sYToL8MAa;)iXdTub+o3Ae~<^I*iK1UdTSQcTbIkDfF zX3=L5Sx0>a(blu-dx68iiPKbNJ;_Xa*K*QpY`LayO{Sd71L9p+8@ zT@}*-)P_EnAOd+_>E#8zuGjsWNP~er+AE3JhDmI(w~DCJ2CUcgt}=g)^`z4yfc) zId-oQt9|U8Rxv^7w9D584|Nav-qqD4qYdvB=uCcp_e9I3LtKetuJVS3 zexmQG!V@C#BoMXb>Ud%Hy%~(HP?8u}tqdB7j1 zG?@B;?0q2YB0a)?gp3t@5xxvnYfZO%Pw(2b^3;giwKa}3IuUDV^HhJ4^?F7=t$k0A zzn8Qzz8R)*L8)G@Y$s8OK70}a;aVt*YWzFA;&nN%MSm>!!a`i3zGvlov%cWt($Df) z=250H$G1FIE*5+>1~64(e&HLXl$>G)BDT{JOh|FP!kt;VwGZH72nX~U3( zcrhl%^ND<*%*KGVJVoub8ki_P5Je)eINXR_{z5!YRu4}3%*!&vnB>07rgoZeRRa@f zP1VAzlUtB~w(>e)ydo3S)D*03%X6T}Q5i?V0COuaMhOcWNE=XHzr}N1eA^?9U(V#z zruG4?w$<_B-X^tk;hC-5L?!N^Y_8dl3VZ(lXm?QK;I}%?wZTX{E$e2QolemS=mR=E zRP+Je&OBxD>+HPQc%8f3oU+44^JtDtN%b-jO`qts29?$a-{ z7ySp_g8yI?)t{GVorPxgEUeg%LbEw;ymU|6n2(&dNA@wbMUz^;7+1P{X5Uu(s_6%f zunii2^1p%#Y=dI{SGP7td8gH^(c}2^cKy1EMvmE7BKh2O{5pS4_Dwy+>!bG0zz!pf z`$PPAXT?R`CbTL!4ke$+);c019lK|i?zQ?VwtR!JE*lFFfTXBSMb!`j=o z(tcmDCu(#V1sIS2&ff6syPQ&fLSL=Ohd>(5b0l)QmG&@EQ}qmf*iG#M-J)H9*VqMr zxSp3G>*3eYWD{I!;|2pbWy1^rz#yNz&X0W|9iN zYqgOJ*Cjeo^(Lj?z=A!*l3p0r%~k*A6;`0pb6{i}^lduq>VP_iq`Th+eHy&5cKdFS z<43r`aPbgjc+6Fh-@21L;`cQb-@*fb9*s3EXRv{V`p!x(?Q&wmzwjT?<%qCa547wv z4z*gKweRKMXXJVvnF8Ke_+>I1w}F)7bxGa1Sk@6u(1V(JT=CwvUyXB-_>8n7bwq%v z&|~6EM`R?f=zu>C1zuQJ=lWQ?%57?@S1w~VuqbLI%m!&p{y2-VFY2iASjHHCj`Liq zBF$>wjLH1*mZ<3#S_MDb;%4~PS zaz%rd2v>v^1iWou^hht4Q}MfhtN0tg!HWI>kV^m`O?J3)4rj;EkbodQZUT{qBJy5O z*cEH*mLF@^aYT>fmO4(FFIKDrki{a)@65{jnQhwZ74{F;p1yz4yXd9gl$Gzou?~Ms zP-4q*%(1FBT<_z}?-z7N6%&@OMe?9xTyv=2eGUGU^Iz)fw>Q;PM|qilk=pMVG}_Zq zAEwMK>}%F0>;IT|mL8)uqefpJY;2i}&L?(P*&S?PL9O!clJY;ROhWH(SVzzR<%T%tw^e|K9>Ob2m=h~*-iI~IO9Xl=N4RQYHN1?0B z%+3|V)?!|biLsl7wWIscpfE+lVZ(Jxe1{O=w#vTcinS2!7}N7jQZpu@AYWmsgjb$# zAaeVG!3B|s-_>DEC+VoXb|6rAUD&@%+_K8qR9Kh$rn29rD=(RxiUiZ-!eqm6g|Firm zvv!_CQ>X)x#dn|`9`Sq88qVKbE|jeZ#605xl&A-1?0jgE4}^a}ToeC&Xni2$!v~3f z@Edk1`_0q)_<+KH(fs`sZwcNP&u4gy_p{&lpL##Tb@hIR|7v{oI~$*i@@d-pJo_!* zPw7b9|3DO7;qMP5T_4YW^AGxO`1PTBpXc}S0qwVZpXcv${kMES{mrxfdFj4bzy3Zi zjn7Ntm+q(Q*WWLVul#C4SfXnK0h7ToYQwY-nfY#Vu`$oz`XKV|E5rJ0R29&bBk?^QgoQkmfun zl>bBx6qNtW4gX@R?`c(H+h^CR?tuCR#1~q9UiWY7GNH0tTbQ*EkOfTxZRdJ!sfamD zYo?I2W^`14k^xn`w$KG{f4%I}T8K5@qE6#(@O#`O-lXUHNXA`jvf!v-hfK%BIbdvV zX7A@+aSq_wT#T>qOLbz(_B#E3(VnE@A`123QATR@YJ4cM(8+6Mi>!W@)KBZ=60=b* zF<&JM*VbK?IV)JT9qZY3g$xs9GK^YhQKnDhbjC7&Pxly%(B@VbLshCXFMPil&J^m( zOFa6wwf*FHCu%xI=v~C3aArxS?Rt?~cU^v7wDr0Tu{cfbsy|)6wX|`hejNzi5o<*R zfJ>rIMm&a%mGZTwLv97F30snWU8oIS@crrE8&Wz;5F?ofacpO`jneP?$oRudo{i-< zdP>)S1v-Qa5wE&!7wd>?-ykU1nx&mguhFBevGV;L`lnylR`^DRjF@$(BKl;1`s9?KpZJV6y{moG6Y;Os zCSQYJM8_}5Yquo*9u(4j;X40Ll;IG3o`iO?m?zFLS8zHz;Y%*USMh3@V{9dCk2$Gz{)+N{ zGg=KjC3lgk&qDem>T;Xk%euQOQ`Ag;k9Evv&ijfSS10$5HieiWP3}Duc*Uq)@OhSf zc=dkq8rl)xL3{0aob_?KhIkqGYJB{OI^PQ4HqyRBRKJ!QoPT}n+i4$R$+x0-h93Ji za`L*JTKq=Sw=F9)Q#o(4bL=%VGXk1_k#jg!gTdM`Xbx|4GdKI2UGndfrQ>vFVodYWx)Rkuy@ zfUH(&pO~pGE};jh2Nj~r}_Gl&(y`m@DA_fxoiY;%q%=Q(LOJKbDTzK zEWS-fbeY+RyQ z-==R}X7YjfCZGBi#fYW2sLLAiJ35GW^7@6Rc$vrciGE1l&#uzXPU}Zr?@Ik(jWm$! zHeo32qN=rYlLB}6V}j`_`-)GFM_S2v|fHjOBEY`{tccGwA=tj zO!H^;@3)*&)9@;2%*QUjuk?wGz67mF-y7C@+z6XQT=4m)vDaoL7NYDMK>td!FVL*` z0$*zOqrsn@Xy3e&`FL5}TbhGXhW#vR&H=Wn^)g>|Zt#v6<3t`^ zmv%4TQzBzbd$%>;*3)Buw7AYYre0t1h(JUP#D#kHeinm%&&GajuAnb&kF9%O!Pgk3 zF>U_%-YVvKgtdNa{5VM%sCpNwCTSfEf({p7!2qkuM1pxe(g8o0`g4#+rIjDSexP;W!wRrU6tI?(RKcR%|SW7%sbHAj?Jmp zFXpWt8NZU_Ssb6#j89IEe=H|K(oQ+XZ(vuysa&?xAYPeC(Y8=((|v7WQ=Q{*>sfVl zg!OlTcOXs2p&cn3dA@d2$Dhh~9<_NiwO87-oY>0Pb>ECGja^4?P3@JorhHsU6F9T#uAp_mGPNd=e^jw zexG8Trr6iz`-+Sq+VS~;^IqmEDjt%47w)~Vt(5CL$y}I!k_;*5S2Zo*@ESTECGRWz zq3?_Rt2^TxXk=7RBO^s4qZ%4fZDCE`O2JmF@$=W(P2}2|>?S|ffu0RR6306K0RJh@n*aa+ delta 12371 zcmV-ZFs#q5WUFI;ABzY80000001JFlOOMkq5We?Uj9OI!sjZSUedPpH92UVYqPsUs zRpLzB(K>cyPbfkC@r(nDfCDE##@}nk)9Dmi?-(_|tXLmUWN^dJVj#hqw1YY1b92aSxq*=DvEVDld#JdkQN;M^a7wF3fZU&ezFG6yCi50kg9K!vTL?ZI9Zxw|`we1tOJh zP}>NE6`1g*Ls*usCSkA?x~*DSkhDzAG((^>-8)zJyWex1*m0hK*}rb3GT=CVJU%`T zKOevM=zC&1k>i+zL{9jD(iuIzx{jaw0p}6@G*%P<qnSXic-Tblp5`2kyFXFGJ@NDrg7r);{ zJm%2C-Mbs(kHIax*6~^$QOClcx*pqYj7`tA=B#(mT{?dtJhH}|i$1uEslV}d%r$zO z9$|BO?{YHWHk6pPd*S&;&pY;*+j*eZlV8b?h;e?$mg_EiYOx$g5gh{n7l>YvY!5BGPzdrdpfK|ki5D6RMP!0-%qe>4o{ zj(T(2;-Y^)s}Iu|gZA|P$ED)^*=qXX$Tl;!G5qOfGpFuI%*Tojrfl|M_m?-{&F3qZ zkLY;Z`d@qp$9+CG?*E*+BcFN?BELZgukSs!dN9~=GutsbA90!P!3h3wzko?K0v_h= zJ2C@C&HqETokjeu_>yy&G0>GN@fV>%y9VM4^%dA^&_P9Nt4 z=iHdn*FBFNdvgOO#U&5p)}~Lz`{NC?+cREc2Ydc%`Vbg2ugk=`%&ab+*2U}V;%DpP zr|W+Tu&yBf=ycP%x*u!n>e9}~Q+0i$-Sc&Av92xjYnYDVuzn1OXUA}OZVWBLp)QM? zL+4qH(U7KpffnXRI_S-&?C8xOd{|?h{xg=xkxu5XDbiAJj&x0cUu;?rG<$x!K7#cT zsBfRuw{Na*f2O|u1@&22pA{~h{%6uYIFx^1_1nwO2Tq);B~8=D{HijxecD-eKDWp7 ztn7L32sQien35KK*oZRH_cpjTH@(?$^)U5~F%9zPr2hH)Iel*lo=q&^*-n%k$dzN! z(qB<`Z*ZI**=+7Vc=sKbCNS4G@9BFpbSxM~Cxp%=38%H=*GYI&`xE3%#)iJ$cx->R zIsy~)A80EW&#`PfE!!4l6H4mKCNReHbc}J$TQTvt(`J7^_9u@?yG^~n`;WkElSy4a zyvO$6uv{M}<94U@{zi<4iQ^H%XZO0@ZPV*+s|);dPGQ=o$d5hOzo+fab$8rFK0L>9 z?HR{;&-Ht>MaB=;8`>UsyQjuHz&d|o_+;0=IF0x<|HUG~r%Uzx>RjX6>CDD0YQBFD z{oBH_w4CeM`GxfQi(U)btLYn-$#JiEKcnv>(}5L6qAh$T*S{wG2aB#b{q-Z6h_x-# zmYm(S*0t?O*yM-UW3us%#2Sjo_Lq}BqnwL9u4x@*b);>Yi#A!GB)YgwjG~!CjERaa9@go?W6Pixy|}_ zwBP>C9-sUD_FcQ_{kwj{aGWqC)M>Xjbg=_5vUhc;U6XBSL&mE^ zCltIo`bHmfOeXYCwoW8>V$TM`hw$ons+qBzGMgZcS-;6d$OVPJb@ch0b|%oa8NP3&?^}OJrpx5%SCX(H8+O~* zcga3!)2C$liw%=u_l~ub_Vu1lSH975tF-&nNtO)W?hc16Y2RIU_H9WIw&1gNM3TS} z<@K+h*?O433&SqV8oqbUp8JrpX5HbkR$ln^v`n7absw4sud*fXok$0^iLwK+tgRT!-R+a>_7i_l#*%&g#lIe|fTlgrxqNmD zIhY;RFm9npchzIK9}$~c zG3e?Z^0qz``Z^mA&(lNJRvf?nCTP89*E+Z{S)o)aI~~tHoSc&wd4X?Sw1?#O z(dK*+KT{c!m$mZjJ+>>=$Q0Mq~x}QY$^Gy4#EFaL)S=s=;{Na**@(cPo zA?#Bd^n2iGjF9vEM9*(skl&9k8*gphVZ(p_`tyG0zko*VOXq#etdsK)z&y+sbMFOp z$CvQn!{_!T`#_g}yh#2^ct5lMoz92$TrpSB`HRNX5A(I$8~Og6jgA-0T_5(^J^6H= z9%NqY1$mj^7Fh*!q;=LUX$vb;141emPzx|p1TwfjP{n7Ibf8n#$4fzNCN1N1liZ)&=BF-C*^W$F~h^X76^IPou zf%#;2mD=toxk};#x!Ne7B)Wg%EQgaf4vuZ;9~>H8!P^eKoqJSE6JtNz1w+?BSKa z5O@i_Pm*RR7k$22Jov9NpQ_LUkv_BXq@PmEPx}Y^`}-q>!uSo{t#E%X+Vt;7{jcCv z<#(|y3&N)S6^p)e@&258x61xTBd&ihmlKFS1!*}e!ZyZ^xh_zj-lq*)?ObLc#bH^v zKN^PXx#-hFzudUrmUL$d|BIQHhqj^by+WIg)ac2--En^{-V0KUR{--AWwf$@I^2WN zv#uOcYD?-nat@ZD9q51i23loUJu$EHJ#yu6Nr!=nbQna0M=32yJ01;-bfBf{Z3q`v zRMZjm4mo{px8~N_I&VnKJttqsz~>6>)zfvmAiZ0oe9kl9_y+wh+#$qrLips)n=lmR zKC!;Av42kruz7`cPz@0{T?>;v?2*5c`a_)$)Is$@J1*O|x}896u#;J_uq? z5CoR<1Z4}Znd3BFmmBC)g7eK`zMoo2I`+`c5SSPKAv{jUelqza)}xhF_p;Kng54N- z=H!Y>+l;`8$?>~k~Vysize`Md13XObwWV<27dLD-f&_imE-lRTm zLa>hp9NLJaU}j^vmo{$bOQDULJ-hN-w{@#A zv`lO}bUz)#31NsedVWMVd7Bm5t=qq0Yy>SJ<_Le}yGPb-4^jTp?c>?lB7+9GD}=F4 zdEFZ36^a^` zF{XbYuuZ-U)HvF0#xr?Xj+HI@V~V{XguMW!*0%Y~N#Ean8F-68$YFfL83P%4A=k|2 zmd+Lin+{%mfqn);7K4f1yat(SvuJ)cSxGKL$O*Slzg~BfY*R~Tb-0M1Z2uAH>XJMO=y;nH17!oJi}8Oy!QCLo%V&URw`}MPS@)r zXKmSL#vaj!eW2T`|EQg9_E^_WuC>!d?5Qb0*RgEVT}?ahWIOK)?YtB1ydvp({QR@O zM7@7ZCpFl@untG0%FHl?;#^11o8MA@+7bB4bbr}~Vt?5>xbI4Suo& zIC47M+$;Vl^f3myT|@+yO|7F$Zv!QsL?CZasmEbC8Ln>T-}i3l)a?-1?qRu-FJ$b& zH|Q6IQIe+P1N=_JcPNLX<=_vMF3Klq`COHWMan#! z6u#@_sP9B8Q_eTqc0hi0%n*Nd(17E*$!$57T9Xr(D%+0*YhT0uvX-T2XNxNMR?yB? z?d!PyM$}l*m7&t~eI7_h(zMcl5UW1f(2AWX%=EQ-pbvx7k+E6{ zx$fJLN*PblZ*B`tv20Ms1~IfVwmD9FE1y0XVJ)T{${P;_4u2ppadUsKH@)>~r$cg{ z6>TGu?iJg%Q`I+D#%Sdkv{AS8c>WC6V4wZ`yj$jYnwRj1b|X@)^$mh||?%MGNV=Obap~ZITTqB<;ia^YTTsq05gQ zyE@iH8*8-VK-&ol(>zRNUummR`}XWeOX<3OhxG{+zN^Ep#0I@eevevLYHe`j`@G2a zhnY;G^Zg>u_8 zG1xKM2kg8rr*O*1OYT8z*!|gP+x+@<`Rf2ON2C1|G2B-NPMhlKf6d3{`bwRV(sg^= z+45lP*r3&IdEZI>X4yLK(c@hB-3eh-KQn@UcyC?UXT*Qr0X(Yl44+-V^?x^Xjwv zxfxr~Zqts1{i|Rvz;y!q>nC&npd7?lrkMX-KF zy0Kxe$KHQJj;Cn_4%!|9yQmK<-l)|p*6PUjZgty6CYuYp@PS!;b5&`(UWVE;Hbl2i zgwj6Yp?#vYkg_OnFP!eJDeS|!Oh2C@9l4zTCEGIpGT3aUs?0gvOqYkpy@hf+p3yoC zxuw|*BPRmo5I&Gbi##zxUpRcEvVae?N=F47fWUt|0ACnwIIVrJWN(*zOyKIz^Te>A zcWdbdp12E(I87-V#IPxX>=;BbD3`^3hDbY(%REL$yfU9?t6>&Vb1`xmKlSX93frh| zv&+YKYlabin#FbytsO;sQi1Mw#3MP)dh9jz7AMP)XEJfj^z|w2vcNBZbK9ZAw-);A z@GO5i+D#EVoB7mLJG!^@V;LvV^{Z)pKi_{ex;OlLftez?tujO}1fFnn-q^fyc1WJb z0cOw3ZAoq`XNu&$p|FbDxu(YQ*4=)^W+VNB<@V{e$D2s{qa)S>CF=XAR#u2~+ZXeC zBb{!_Wn7O6`&2D+;0I{VQ0Zh4M&>(l2(GI_}Y!7~P#3}p0F7VzT?zm)Swo#=lz zmCrT5nC{vg!(|=sW*Px7O&WwL{E|t#;{wEku^&QXjsB&RX zgmMG!L}{C?yvX(+xCGSX?foCa|VBkhRn#afqv{r@M}Q_hzZMdgBd;Esx&cAB*l2w z_+bk^mmJp+A|3>`z3{B<8)aUKY6*-H@He$)p9YP5E|UBv`7&tam%T{XoD=k=UlUd* zD{rF^$93zx2`$A38_L|XpC2PB<8S_?XYKi$=zN~6jhEQ+D>nN&Tg+nXNQ{4pBW1YN zXywYY(#|2`8V=>8{Zs1mx*jdgUA;hd&dOA_3=@PECklk5fPOrUpOQsX^c&Ury`s}{ zLR6L$3gwex`O3Z$;xS*2+oFxWu+rY7`(+B_&)AUYdLzgdL-e^c(KusVVh}T*d>W?# zJp*q7v-XTJ`I!4+%lp23UZ#H;jb7?_d(H!_fPkK2g@NP&mf*{T7yQ4H-w8~LeG5Uo!qizDbX`_gKf)hxFY5RBe1Wwgb@P#k*O(yrwN& z;kGk;oS)%Y>+HNs_PFOj_Chz1wrF={&{MG-F#bZOzvy;ps_P^#wN-zr_zxKlkl;@4 zL^g=;1z9Hmxga~AGitIC`GLX(H>MwcPsr~pIzc@#!#Q4Mcu9eAydq|XTg-7@VPrXo z3xp{ox*WgNbV};EwYtP&tJas>l&$wr z^YOuXtCJ&5pb6O~=D7u3wEPVBYl&4U;1NloZI;{ztU_O+Y+jy5_b9t{S${n|>_3t26;G@D%Tjo< z2s$1MgVk2=f3$z|)V?Hb8@EM$FH&-Rkw-SA>%249N1*}XR%~qBOiorh*?|#-D;~jm z)pbaa7m36cm(DRdx?blTi134D{{9qiso~ert_@n1jd(4ziAA~nTG`UIG_*_Qx)sTF zD~W>|Kd*CtN+|p(q3%yX+v4GFLZIv`-8WWhpQG9Kgsp#)Ob%Sij9NKcNPDaM&NM6o z4AAa}iv3BzL&aXdT+omb~vFEk4QRTKn+X_Jrw%#~q0jq8& ztl-1Z(~GuJyI?ErhE?00l)0gv80CdHf-Y{YqP&pp!%eneQFhY?@_ zlw*J8Ii^gG^INW=t9?O>td&i_&4BFH z1jgptE!x)ge4Di{b6HC6E8~Q8o3t(;{s%I-z+uhl0QRrxjBMyhe2Z?sy56R-Lp5BM zo5c~OA|5GE{VuEY*eqgU{MvYQ77M>~oKrrSi9;M8% z7_f$6Un$}+(liw(r^{2FqCr;@_8hkVRPpC`Z&UjY2=`JpujEBvy*$gL$3n^WofsO+ zL3|4E%%{fFMGOZRP;ctrxZi*6>e&&2TjAWj@%$-u?WS~$0V~h40gFaS6=*BuyzMBR ztqwDh>v*K18Bp{airIVZI{7Wke_lYcdPW}|%`=+4aXFPj(Ppr1P564V_tyTN=4 z`RQyEonDtV+IP`TgLWbzTT4F*%DD2paY9n;WRqV-#2dLl&Mlqi!T5iwI>t}@o)EgE z%R6xnN9qCRVlXJ*P!yrm9=!o2gFA^{c7pw)2~Y5R=`MMB+e`i#WkZlM-*L4vx~`%x zwKhg;x+w2e-CkvVsaO-2%C247k#!a1*04ry)iLl^W}CDyjzZBkX_sx272H*1`w~Ca zlwQ)=3ckm(-?3PRrOJPhXZcO-EWhx<;!QZ)z|wJc!bcibeX!+yBs}edt(+fxft*WF z->Q>kGrbY_^r*~>`nR<5Or9fVERuHozNQb=%DMUe5aXHde1Ay#xxH2H4{4gxqa`L< z$-9Wzj}WsTN4gxUx2a@HtHe*!<8MZFww)|r?z_V<9K3!H-kyK=F>O!yn99#k|B~ml z5C?u@zt?a}F##@#2ItI{UX2^}{g~@cVNJ{=IzQIFcYQ4C!Se2zx;;k5u+97?LVlF| z8P-`jM#O)74oKEle`cUw=9PEN;9A#pVf~!A{+-k0q^vrMa^J>F_QGK&ujADD4B&xi z;6hMAWM}v+E$)AuiZ3vNDa5rPePJ?QPM@W|FN~QZePZUPL&3h@YD-KW2)?jB5%l_o z*gU-hs1j33(fAN#u(S`UoGs;*G!{nnJ!y+U8<(VOV<6&N3BA{zy15-Put*3^v8^KR z6=;{2Y=!mvK*$UF)v0H=_ep=wN0#cz84i}UzA8+KjhKHD8!;s*j-oEES|c`4#{9!N z>fWotmKEgUz{X>`xYmO(i}4YjtG_OO;O^L*Ucz!Id0mT%RFIjay`g;0S;qutb_d~4 z9+G-`Y3if20ie%M+EgT_82LTaDRc;wmq=>ws~Zyys~&9eql{HYjI_j}*ZF)ACvC8i zlslTz6ZC%#$~d7IVe3V@9{9j1SwV|) z1Ix-1XG_A&7gbko@yzmzj#aB6SkY?xW_mn-u2!lx|Y7 zlf6)0RrQ=bGpFx+xlK)-Ev1Gl+K=nGyUzV)`g(tmXB;(KYr)@!@#J1$_fYkX*C+SG z#&r-@*Fiz=MY?KO2Tkc}eT+v6%WL8#On7ud`E9}!16%a7ggHhw%rUZA45dm8{|nAc znL4glPuKkhX2k~@iI_hij0rni+3y*M1EfTN{odGd!EVGttFX`1$^4cVHsaXJ&p;;= zbaa1eFHq(EX zqw|J_*gFL*>L|=HDE~il+x{ZCEyVMp7M>Rkt+*5;#V*ZjU5peMf4j*idghtc;4clW z0E1Q87~e_6@!P_B#~8VEVdyw|vf8rEVuybL1@rGxFP6Aa;nPeGriu@l#d*9sgouyi zDL#_qvEL(J>)X@DMcVId=U~e7?i(Gq-XEm0?19-PVnLeH(^w~OG1kf3OTNo}WY;^o z$F~?$92tqNVwCl_-j(0MzVWB3vrY+obG?6 zepF-vB+lYJ%6MsYG1T;PA~IHg-P)A;1vhj2PIpz%D-KNjKv!l+~1Iv_h9A%;ZK|LcFjV!@{F zh}`?U#y`B6zFxTZY=rT-)LbnVucsrI-Rp6=aE}8z(*0waZJA(~Fd~PH(<;u=mg2&V zB#v=JD*emLvbVwro?*wVW?eHqKT!9)E;e`5{9zn(xvoTijYLecgpW z$iwlx7qhGz;L;cIM6{D3Uj%=8G`X`Ce321y(q7gOL;X~`P9p{Tvh>53W1Z&l>%{%H z<{Kx>Vx?YpzjurAJF)NhzIIn_LoBk|`0VHAP5MnLYZGNea$@f4a69NruzIYpu4I~w zNz}CpHe}$Lq#z%)dSj!;zoB$rn8+(>kLMUy)4clc9u;>{-~Zt+<}H8E9T+2bP9MC& zJ4S1O_xFm!E*Sd><4a*YD3~1)I|}1FVO*yyA6w16S<1`s%mE&&F)ePrail!!z^+J9 zZsC0Hj;3s|X^Fe~U=^&g`%WXva@2YGK6li)=PSp=7s&|SVlHd-1~6qkcRur08D2XE z*};i%vqAio-VaVG0iJ(pVDPOL+YIpj(Yeb!x=Qbfbxa%Ka(HEArB3XxL7^&md*D^t z8wi+mgxGYOKRJal79(|U_#5PFi=O|gw(czfq7<>hYx)ZdkB)jPpSgeZ7IpP+1?o)L zOv0M_2}Rm;*{a7De{9P0R5`0&oF|-GXz57s-WKx)o4L31d)|LNbq%q`PTBcYe2BZY z!c1@4wZ8vRm(%`W-C8WBvscp3c3jfAXUrc)%zYqk>)pF|BDR?L|Bk|M@=nno!QWi{ z=HmG~LUI~S-^brPeV<^4oQ_*u`>o!Wv@YK#=^D`LPuD|Uzxb`yFV~E$KmCu-iKs7K zS6TjdM6^%7|Bipl-#qDys6YJ;n=Ezzn|iYmU6uHh&^O)Ql+oUd$UB7%wz?lN*jGAc1d0P8(Y90T z56S3T`p#`UCM=FOTf!fi#~!X?oj*}^zCzxOKx^`MX@7rRC!c6C2nYVAV&n)JMURmq z{r4DeH}mr;dE}-3ZVW|D2yEhx9?v-{;L&87jN=S-4zqigvUnE2RZ+d+ASzo0-(FNX z2Qxd;hUdk&CfON7J)V=?uWM}GG5SNB?lCFa#H#zb1^ao^yDyQ;AU~Gv}PLu{025NyV z*eafJV3FzT@ovg?KGTa-pNnS<>(k|Vqf&lyItY*f(kp2i-mg-3-91gEy44*grMm0VD``zw!_xi0MgLyu zS^0Ov{(8#i7y0JDv*q_Y#rrtU`23pe*4lr$Rh!6I2={cO$1W;XVtr^vZlX!ZN4>(5nbOMZ^{l<}ZcWAnR zyjPk?yj5x6c#*FI<&td(q5{S_ff|R-zT#VvKEpjp=?nL}Daqv^=?lFO%Uf7apPc-z zaLEHOHonxWWV+O;NK%XuB-8DLiFa zOxQBBIST)qJZmyU2hlni(q*@jzt^ScA1lPhkvhuinDVp++@bKPHQ7=nA7mtMEVoJj z$Nvv+^={!c9y zSB8Cw^0Nksz(qybJ%C~@)!CbsJd3pj0z??<{-B_A7Puk)U(n=lF29d^mDDG2P3^Pq zQqPNpO#!x#5j59Zx+A>hJ|8aOIV=I~uN>S8?SkK1?5RkY!Bb z1?`9f*m>eGuEbMeR#?H~lw%P9F9}baMQ?mo<5$(+JN3-0>qnhDpWkVz$Hgq(Ynhd= z?zXI7Ct)pSs2r~?pOb>_Ab3OhS>IQ4S~;WCbat9o{XCLKaACJB#Rh*h20|WS{^;JW zJ7bIcIOy>A)Yr~rrPzs)eeV}zmWH)HRH%~&GX8nDHb>M!HdwX{?9UxK$o{GWiv1(uEW+?uK~LNG z`q`l7c(-LdnOsM8_hM>y8>$#4Iv!}K-Ki+sfp)99^tv`nTx5OS)w`6N?sL>_W_9UF zq3$9kvt&zFYeGKq24uS6|cnvC6nXc|L%#uLb;bY0Q6L9%l*K^dg*bqVA!r z=(b4zNs^C=7F$3tK*W|z>}DNTUqAm+$2}{rG|0W`+!cxQ*s8ltF8}tw4CLMtqm4w1 z*-(C0VfMVY>D=KRX%gpGmNB45A|3ND-=^omG#(=CDgIkwTdBnTsgoi5TSvcFQ|W+x zw{YJk&F|to*_VHU{$4)g_CkA#EW1``m-GgUCd6~fFi)ZG3+oSZp7A}b!)4~rH=*i} z;>#iEG^oc7-M8AB<&|~Ze_Q3tIDZ@WZDo%i`}Of$w7XjMHKN)wtXU(&F9VfESy*c~ zsk=M=g?1C!w??}O@~0I~c@X)J++K!21~%Wm5`5M7sFL4;XUiwG7%RI$0zqbhS@so?-tf&jrls@=*| z9gCKo6e{}fmsILD_pagN} z8y%pwZ_aPQvwt@2Y@xL+oh^hR(AGK^1cEL+o(ELj?=+uH6$JO3?q)(yiIK3IExB)| zFDsdfxdvnXr6F8M3i(}dzyme0!-zcUxwA;`$G5UQM8EN~DBhd((tcT+!Fa84sg-Cd z5=q#vP5j$W#@Ma3SZWeW==6HzAv=iI0dDSz5}Dg841ZSxZmQRNft&Bh$2=TY=c~-q-WUuq^k~$WuB1>TDRXa{y+s}{3hZ$e8dSs+ z*%KIrdlTUhjQ$}ZFZTYkj1Ss7@6O=lvi@L}IRKyEnx|4wKv z4-aa;b2?eQl~4`&T7!m}E?JQ#4eUd}PkYgXM}NE12jW$Xt4|P}CKhx1axlIe3{KX%Ap_iV%Ma?w=dbb+19>0~wrmY|^d}OZYx0dGsn`*k# z7P|m9Yx+8y6lJ5LB!hi}69?XW8bEf95EK%uk7DoTn}( zH+Nh8S8r>xn)!uh;~aZ)Y!o7odk9`cy#mS3!07|r)k5kO z)3DUXxa`csQ|fFOF}3E$|A^I&Ut!DifAXtO!R-EGcCRqglQI7V00960;&M>n0ssI2 P|NjF3kn;27CI|oke%~4v delta 1093 zcmV-L1iJhB2=)k&K!2Q7O>f&c5IvW_f(Ub19U@2w0mbG?A61!!rG^7oDFOro$#yGK zbuC(UQmE*EUs9>t?w*=Gks1yk=e_w56ma_{A0Uj!FYBe&DJxk=Qjog}t~1PUSrC*dfp6vY9aOhjj(IaQC4a+4Hd)GVV8Y0*tGv6# zqLY8iw30UhG$G%GyTkCZ_>2|XcSGLXpai0S+F3KO5`woE=h!;@oU!0~5bT0Ld3Rl~ zODr<^MyA8fH@GmLo>$L*JttRGuj2S><$p@9%vH4VSIbX)718x7UVbMRViAHt%R>NJ z7#*UvZ!Ye^vwt@2Y>~CCoh^bf)YiHX1j0T#pNCXE95kQL6oe0)9_B*Nh>>uZueon# zFDsdeg$85&r6F8M3dM);gePhehY@?!b7!$WPH*K$h=1VcadI@+||GD1#*()(9CNX12hTJp>rH*1MfSAX)rsuJjjhW8M4XYX=C6?8Ei={WC&iJJMBG6 zy&7m8Qh%&>qr>;ey^sk!PkA`6&R3bIqcIp^NjE1_EQu>lQpU9mDtTR295?~bC4&VP2N4UX0}h8OJGHg&5#9E3469|EQk3=tp^CK zzLDX{79$R!tt)>1u2l;k3VJ%Bl`5f;t*bxAeCY{1*m{`y#I79{E0)Ty;CBUL$)=ix zeSfn%jJ39XQnCgvn*#G{2r^dgfh>RV4kajjpnP`V@DM8M*0sg?%4AwV=QAv)UT(-9 ztQM2jP&RIUG(kR8`>eFdU;fk=2I=X0)LbK=cdtR~>04=RyBdnpN9KBdZ+VWet7ivo zu}g5XW@z%Dtg`)*fvu;;GMJH3IsI=x{U);{_i zmz{U`8qLNDQ)_n0ssI2|NjF3 Llx-p1A_xEg)9xUH diff --git a/Logic/synwork/BUS68030_s.srs b/Logic/synwork/BUS68030_s.srs index 2ddc0b78b506b24cfe7a2ee3c8149cb0095238b2..652651eabd9ee681d241fb4da4ccf56ea43f0d5d 100644 GIT binary patch delta 9478 zcmV+hCHdNpUzK2xGk;%C+b|Tx-}w|*rD3|7Z`>qTxu~(aAOCDyMHFYm(^Fu&(F&&5mKqK ze(=wQaMz#*Z?<92odT{UgoeJoHv-3S(ulrOI`EK!q$2B#b*KV&0|fIiu;T+5-40MI z=sn?(7(y5)xfTlc7@E;ADA)PXUKlMgd3i8f;IMZXg3>_CQ`%Fsol`0WdKUlV4&T}q z3=v(jn}So6R)6*)0jFRbNc6#B-H)pwgYYhH8q;eLC@~2etdaI0Z72B<)l3N1n2 zf)VGg>0zxb6eZ+~Wx-BOHvtVqzxL+^M*qp^IMGJ#f(Z8Jj zhGt@>4P~Inboeoo+m=j(k*IgktKU7X9C;fvnskE=BY!1kE#-_^k1^Y#EMn$(7c<{* zmt;m$K^qIk$dvcNczTIDZJgTlTBCs^kCr^DigYwGVr;8f$1MToIV%_J{Pgnx zALNV%Z-3_VqWHX4Tfb>IJ?z8oa7vJQz95ih|1hk!W{*13ld`E5tFb2+<(Dp!!Qs;&(T*DsR#Fvpdb;9 zeTgGks1V8SLVOa4x;~_`uqkSx9wcix^2oOxCZMUinn6dwv+Q?-M~?KlM( z!qtkpO)eeKZxWbKz2ojyMg8n+?@}HIr+}rqo-IUykJV!u7H7GKv$F(R3V)njVUOB4xBgsy1tR?rq-g}g3J|_@2=6qz znuKC0bf>qK1@S7>Ofv*#X7`<6f6sBS!fn+NY7fux3FgqVGpm7_s#|`IpXUXZz9n zXgh>^p6759(%^GQ_vFYTvY*m0BJ4=XG|!G?x}9~X{qduGj(d(55E|}37tip-o1WiG zrXHsxKc>*PtM7OuOH~_qoloh}k~Q1zEz1x67y8dzmwyd+vtd`w zpAGFL(5`N8aI*B@O@FA`h;5vgn3I4P(^?8`n z*H@OWj?cNknIlnZTFRp^PlYSMU7QLphf62bbr=W^ICi#XLKxN z{bI=Y7`}2yi}Kl6=x?=M&vT&;N>Vk};Y;@~7?zj$xXT3A*Ja^f!x#3_&F5HW<-Y1i z26cGcf$z?EkQCp2BFrKKrsRb62_1GedYrOioH7!)#q(pBCoX4ZT#We`=7x*$SWs22 z<`~|yu?`!TjGm)hK7Wt%Ih;T28p~?GjrwA&FHS)wRek3*%2$Hz_8S`B688K9#sJ3< zWyid4d{Wc@$5BMb4)-_ zcn(xUNv7s_3^E}=CSLb>G486qAswr_%CR9yjQ?cD*v#h-2Y;CVS0~r&dVYAAOZoJU z4w+BsLw7D^xw5uh=m+Q_LflebS8VvTR@bn*`YPWgjyGM=;y&nTZziVYcY!{O<#%a4 z@i(qCy4#r7@~^SJ3F?PbzDLUUUh*;a<%Rv7Q#O{rRi6cP0^V~##BJ5*F?*}%6!Z6( zme;BdqUs=K9e;;f9S5V1!$lpossrq)s^h@sKi=5SO&^vg^jppY*ovR*ZS%tV-SL5z z_h)RZ#vlPfl}dw>M9ai{lmAd_FCTB_V3Wm$j&o>Ytn5@GTCSWyy^l)Kv+{0Di+A-C7H_d^i6#I)D89!QNgrtnY$ep$yE^owfY7 zcsD{jhB%@7(r3&S#QY|~+_G*vOJIm>H!EaI)xG~V3YRfkpdH={#zO1;^4dKLnit!^ z@h7Ivv11&28~QFT>Q9O~!lwFb_sseYod!JzDFGP+Ey~UMt#6~pM-~O-DH2U(s;(}3 z(YD@8<9~$1zi#X$^}aGr?w3!|JJhKm3kKKjslMWGy&jSTbUpl&2p*&~+<2zQ(Y-(LPy6$D z3A{(B=d7)0;YW1608AvWu`fcdU(}%<~$ zxNA?`vdtI4x`r&MHW}FNhvROZf=L>b`b^<=Hvp}Vfcsi?N*-J(xBkon#4VNL*#W8a4C z=hx2a(qB0ARKIsU92<*{-UlHIKL>-Tx1a+0B=ry2XP8SE?^M`#^z^7?clm83F(Kd$ z;vKozPPM#A?8vME;RNi9m?|vEr(QA6cYmYP&%%{_gb-aXJ}axhyRrqm(IN0l=x4Dh zQuLvy3|f^foS)S)5hq~ONS465C+k@HPE{YvDSa$w!%CgTQ!eK;_0kEo)^u%YJAYu> z0GK*yS60KX8qY!d7xTF374`z<1!WBM9Y}CESbUB^g2}aZrOkbzh5e;3`ERxN()&B_ zfeyX4UQ%2yylB%(>BL&E>0e>@<%JEQuibGdXqWx?J;7Rgz~ z2Lo&{%wMHXb)@c8U(fio)KNm}C~={q#zg6;G4c+kuKty70xZy^oAlU1dw(&GZ47g4 zjIGp%=qr`Fd53;fMOXexFM}RM*}SS7W6yB`T?2ps-`U~O=MYxb_73@gZ{cX4J+XVq z3pACP>j7#+JFI;%HFnBx1pV(OD8}6N?reHO3^)WI7ky#&oIB>+IWTu>-lj*}xORNb_sthqM0EkDM?)RTs{qXPK zQI5p4)fa#MzWNS*Z1u-i?ECZZyXFGy+iAs@E59uj;9t6edg*|kg7JKq{~!eL%6K@Z zKZyLjB5W6Ks`{w(A<*|kIVHB zV_UgLUGcl?ecXs4h{h1MlX*6{Vi~xBbP|OXKj4f*ByKn|$F${Y&AdFY;m(<}V@FJt z9+GQ%EA+E4pYf6{-eLLnJ+s2jvREh3Zj2OK3>~4aTUjTEQL#p`_4&h&3FkPi_ibnN zjZQmsPPHB(*KohK)qhS3VlFw+^iS&Rwzs2Tq23Pw&#V^o#W_gKB6YhXO8*zuAdlr5 z1YMIP^|j6|HYqruiO_x&M|qf7xK1X>M;d&Kl91b+Jb$1Fvyes;!!OyBkeqo@ z0g}Mp({QQB1=b0{Hj8-=t>ybrj>nllmFvh{2PHR?z=CwaqzWhEG^CTyees2fvme49 ztv~nlQ`A&e+AlHH{b1H(^z;}+hzHNSYhe>%(Tnpsd8Kl!Z`s?hd%Mde2lG8=Q1)H? zi4}mNYtvEUdw=Tn5A*QZ0tlibdqbLroiT!Vu?9+6GEk&xe3k)t!O%>%*0$$(X4 z4{S;#hm(tdg@Xyso2jGE{7j`?#Z4rrw=-~WFu>r5x?DT=sp8N%8-P!E<(`~F#Z!s= zDEa;eHlL&3KfdOU;%qYyTJ_QdJWVx^`dgiSn3>;0!+$~Ry-qm5CM#Un^hk6c2=qaZ zFBm!S-&}xWab(Lj@jW^Jdv*^Z>PPGE_5#9TDZ1D$v&oe8> zwX@r(_S<}H!_KAzOrryCgIp^z#*r~g`u%jDRcvlP1V2P`$&xqhA`MFUBr|buQWD%@mgm&YXl0jM*=3!t7I|WSDTr1MTEGwinC#>+fRx34E{% z`9cnFPh@=bF$$iTb-!nkAKtTtznIN@Kr zC6nAmy95X};Z9)dIFrAO8XG7O?3*U;>rSOQNHSd2@_iPl+wdNmSY;7bYL$%V?SE7>b3dmf5Y}Tt4?T z@7Kj|H)1M^cN1m|*QvyC9oZih6E@=N4q#;gJ&WT847qeQfA6=I8@#C0KW00WXiktQ zy@CBIV&tlCiGgQh><9P|Ndum>uYcfK`vT7z<739T$+a<3{qFFoviJ2ES6w&Ob;PfJ z%bbihPU4pKD*9zMzRK;uQWr4*4gvqm@#@mTZi26#|wb*6nb+>DQh2i~6+&nNJF`K+s-?PPM?)bqB&Ab&OfaICgZCQRAXWSI?V8WbnJjAzZ3O03=h2Kg59$v z#^EI|18wpWvyl?B)v=P4(SJm8bV;3~Q*DB#ovL-q*bDmONAO7m#xYEez&oa5JH7cG zj^G-1q2HO!FXdVEg#Pc$ZTt~NC;v3r?!z#6no-TK@XW;|5CSUHu720<{9VNSuHE^& zi2g3cFNh=YZVc>V*28vlM{!cW6H~%>db@cOH?6-5uH^k_^v7uu`hVN-vD7f6NbHDBd_v=dULV z1}86hfpgviD1G)PV1Moi^_OWw?s>(>Wu1xg6|i0+{dVe#itci+`|EC#zh1}P;Im)z z|CO#!(0fOJnqyuL=!S}aZeQ`;?MvUiazFcRzu)i+!Jp$E2M30q<+a3?PU%xp=4id8 z^l64h3&s=qW10~uJ2;NPG6PESq-zXA96W2&K#*Pl@v6bfp|(+0oG1900Iq!(a?-dKw1O z|0akF(GNx*6Y)mrGZ%jM3=oRh1~J^kOKHenyZv<(OrA-kh@ zTkSW*9OJMAxkx+8s$5d4b2BeF$ojobxHNffsbkW4$wfE$kc!`~=>p_ZbUSq(33EKQ zw$^DE{1dDv+w@_i4sx4yP;JeeIh=GE1_4cLYo`+`E`J^#_5dE*S$d*_;0wSq9D_b7 z^t$?u9Est(m3hDp<^em2nM+2x#X3{hN@k7qfE*8?y~G=2^wbY1`m_E1m!eITSBL)O zHMwfzV(IhuR_?_d%WFK&oVUwogFbJgCpOJeq@62Q?qRj*k0)Y7Wx(3U%ywwBYSe?UAuNq**RRVgm^LabZ)4O zS0H}x6K1Z#R)0?USPIwmBjvA^*pCi3t@sb{e}9VlDg^^TiCfWEGF~Nwe;-B*>bq^_ z{mvJaM~@UPKuJ&xG%4Z-3X76PEJ}2rF~`@)WkfEwb7s&Er_ z$xSrj_WbWx)Oj-AAJ9>F#};>dORvkci(Z-Mq~N~d9*c9XGdbsdxAJT=hiV&+^jd;8 z9Dnp;9#G7~%yZDVXPtQQPLsayPZn*+0ma<8dOnTv$@N&HPQd)TndM)s^*-&Xx+>$6 zG>nUxbHlmxz6tm)PMyvr7i!w*H7BcM134b*^*iXYoSr%sh17`7Er&;OqA~6H&o=<9}|Q zGvpP{Q0IcQz>b5t7ayYjDom3wv3_M1=TJ_lT* zZ=3Qu-%xQKckl`NCKKpulWrcqjeiv;z1kGcaW2F6(^^7$5`=kikBp@r};|n zXq$XcSKA<@5mD~46dXc1@AA7qF-iQT?AaLBX2FXTc+Db({Jv7fs}x+SlC{aVku<31 zwX6Lpo}iqQ1OSs;_h*uQDB5D<^?T>oxRmpPCoFIV`eCR?zYA9S?Ie?t@qaACy%Oix zG`W;vlpD-}XHU^R+gngNV5dn?(am(zp?g|l89k1A{iJ&RBq7mp_6ZugK{TPkskOWw zH&wjJ7goVba;~@l6_ggdnc>rDKS#dLNaI{8oJ4etoB+0w`?cg4VmuymBw1fpg*sct zO`FzzDMuV&3`}oYqwiZ{QMT%Cb1aiy0IUiYIh}H*i=^L zB9W^$?^Sb!&n{-RxFMUNdpK|||F$Z-WLkAbW!7u$A zz&yI0|A*hEbB_RrX*o0HPsMqZpV_f=4vXemI@x8+@=LG(TRKom8GrgZJeBieVlP}* zDj&_nUTx*XC|7o>NJS+8Tx?hqMb2wdiSZ^n#;drx+8)qS?_?s&WPYZN`I+%n>9*Lia;^57pMPU=a(}#Ca>*R?1kgsx zd&%@4F+Wb{^q=E-FXlBoy*2ZKhNA+z9GPolE<{^-vA_QY_o&?b&h>fTbl%YE2KR8? zoNkIeTsKW+b-%J$^9ozVq(8kW{d`iz_8b-f_|Z0Kt?{= zzF%({U0VOJm$%`tyX!W}y6YhB!Dr?$nDp(R7slp8Ie!n>{&EjkUdJo(*aFA#Y{!Yn z1$NbEGgRaDiCk|uU&=@7h>DN)l6MPit@T~vVg@OEsTbGz1qZ&%ipq{M@nmq`vz;=QaHBe#{GWl`zIqybB}#L^0xm{jsbC#)e~bX?CDb#d>W z2l^5uB7cZ0cwo7Bzw{s3B%-9WQOo7~1^B&>BC6uRnti(#^COkMO;nyjHmS$^JTF5G zp!R1wO}>R=sXK-S{sVWoA@JZKOdzY|4r2U#v0K3dsH4^P1Ncgm%p;C>%rh;V3I}$vraOa~)!;+u{C_a0Q%e794F-`P(Z^-@pTM^|qk$g{ zB!7UF8$hYtCW<>qi=Cmg*#CKcj;(|6?wn&37db|;BOEFMK__hCZ|l#&@_+{&wRZ%Y z2>i){jlz!X2T1rD&-wuXn7J}*33Ksf^O0pSe>-5E!3c(O;0Jf%x;Xy>H>9|7Uk~<| zSQKtsep8)@kz^03U2evTl%T+yo^z9m={1@mV;LdZ@#~(KZP8(I9-JzIEI0_A5CD2Dj>C zo=C$U=$CD`F?KW=iB7NwOCW8tMTetJXSrP5y;J_;X+?w33Z5p+ewmBO z{{w~J^U&v(HzWi;p zujE#>U;K~viEOWs?ep^=f^rSvooihZzWZcgSkPRhK-rg)f40LjwQW}=^Z<~+v*2VT^v&rae3oo$)_Qs?3# z-k9snRlDHhYF=IOk7%1a{RYl3%j7sUVHg&ioEFTZa-}Y9YtdfwJLK|=BbZO+Lt@NV z0tU)s6kCpTnx)z(Poo{zl ze_iA99!ABU0Ps>=??@_p@XI{~E~)c*CRf+A*C4z(?~7vRvzBhwiyO6z zk);ZUzVBAK<|cfyACza_)#b5qOpW?y-6kGbw4Q6@uPuGXB!B4MT=B`6Yoz)~pD)h3 z(c`DyHD$Uf*Y7uZ>c;-5b%VA+ok4;9Yl@T2qk?Dbd|jzH5#}Zq`|;23syr)H=T zRp+&M7Vh0krGJm-fC`MqC>uu9`FglMCIC7_1&ftDYy$7PsTYHx5tv)5&eQ{aEbY>z z?kn~K8ac@qynn2*mmBx}8D}0|T_eBTr=jYd<&7Mz&SlClZtXZ29e1{zKGOXsotv4n z@dj4VD(_RB6A1;d5XOBx_iXRqG{(_Y&ee@P2lg_DWN@O$tIPOX1NSA@F|O+-p0Qf@ zB@g4ASeeT!Fqc=aE&*?qe!~7QH0qBW) zXt}hP^}QDDvBCPi-)XBwufcS@<~j1a+q~R&j=wRsdCiVBF)BM)X^3=J5xEU=5yC%<(jVANYItNS3usZXTvGQD*E8kVeE2tNW{mhGZ z`rPt*K11={XBvAuTyyyh#OU3 z2UKD~IsU7g3p*#v@tvW6bD@t-Ke5!`SN*+-zg}xyj~LrLJIKs2S&fRmWZ-A2{ne)5 zr_LWT^4XjGC^a^XW2=0VRH{#o=i?+>M`G~O4wP#;Q95he6E<&&|0h>W$7hyU1U6+;;gKSLAbiA)muh*_V0J?%%0czT(&0{JUS}D|YQv^woM^^$2ilihW63Oxr zR|P-5L*)Uai!?9{$TT(g+)Hxk)061~JHv_N4C)bXN2z4Ztu>s-Ku_X-+~G^# zgF>Q-c5^Ts(|F;qSBvN4pjEsjL64vx+LKPFT4VM4%xN<@Ikl>^lwSSu^A?Yz^NW2J1BUm01Lxzyp zj+iJ@5)1l}rzx5e@07)tqLs?l~5EX&H`XuZVCg$;MK-VbI^i59~f!m3<m&FboFZou`P(+*86G1#t@!Vw0=_-hV-BgfI|=&zoOSk{;|~mn;cI z^s;VZ$if=!zKr5i*NEE9rEaatA=z{~n7-A@f>w#kNy9)q zw^bOxb$@iem>mUw_L=Wzrr6-UQ~cK(pVq1$D$kkclP!IJ^*G+*4*BBg$=iCXKq}Y>A=~no#_~zE zi%5Lx;>3XnO&-a7g=N_?tf1T;i z#gY9zS+ni0H?|=3IQhxezy4-#WXtGs6Brwp2JX_Bd%b{uyf*xRZSKgwbWVG_&(3Gl zBC+E*R!n^A-TU-FPAnjY8TA9gPL#~D^h9R6d5@Z(Ka1yL$8tPE{lk6!OdL70;=O3* z#FS*G1p0RA9Tt+419cymPl6L8f8h+q5@#n8Iac{zObBse$4m0}?g)GO5Ud#IoRWjj zg1e^`|G@YSr_VpL1(wS(#l(U!#g=)Xcbg+!{QQgcU-Z8*JYBY9!Q;@5nYXhdYzg(o z2VPz;I6d;8Ebj67?lb)ib00(ZoRB(+NBw&o$A;|=hT-}y&c%*x4lXl%e`>h@u$Q;- zxWDhK_97FOFmk*&Z|_W)aTw))ha)=fZSyu_Cv-y7iDQ_Xd(RhRKCE_ZLb>ZH+Z1!Hhe#whO1@;)RE z{10R<)%w7)F^B0})BP65f1U9$<7>|L*_MhMd$!)nu@b^zycTgdiM%Ku@0hUHSC*~m zbB6Davsd<-t)D3yzh=*`>uh$io6_3M>F+2=MQYWKP;MM*@9Mn_ZF(YFt0Bpa_`;{R_xK3 zZP$CzzwG9DfqM#N=VnXw``Wk?tS=#srN*VdHvt{jR#y96)<=l=Pm4THKsuB>!@9m^ zSuW2gUviBt&`2;_1omGl*Lch(VivN=YdP`!nk zN4EI+#(r&wuti}^mHToIK(*lLL?=|XI{kKpXJH&$et+-M@GaiGd zM=zj+f47y%x?XyHX#-=h#gSvi3Cu-QsVhGC18f< zA9bvedp^*^=9>G z4goEIY@{$3o9RYiTU=|{J8F#!EXMIK$ntppZQY}RcfCB1n0I@Adh`Q#K?mX)w9mbP zz|;o&=zAmIyQjf&Fed}qW{gG?Fc!>=S#9&BuVi7-&)a zV&i?bSA81q*~4iMD4)#4zFm*MiTX#^=jEB*dcl+bIB?Z|*w6a|F*m;P5nA_0%liOY z=cWEhcm%4OQ^!2h+ean41tw%CLgJajf0exLu5;{27&-A6><5+j69jse`!1u~$H2W? zQZnEKq57PV9^ruHDd(_1Ih4W`Aj5eNir^7W=5Pa_<@vMt1YE!`xP&Wsc;3SzxPn5g zP1Z|9z4&b27)LLlmp4GL-tt+F^}rKYFTp-wb=U`zKRfTW`&j5hE!@(tvH)M`e?C{w zl6ajY2)7ehQyM1*$da+8xgD5rTCoARwqy3|+hMKnT-!QIdoe&6GwAh4&u9L2@d9Ty)$K5~d8d7*psnTn^w!P(90!$pFUkWK$S4xN7mcD@dcN2F zETQM64+ge_ywb7osqE}Dlh@#j&q{nFbri4YDA27$>L>#1Uend@>81(Fe^gU9X>%Kg zIJYs_1U0vXKGf~O9_6I2E8o-0Y~P=t99__jmSX}+h5v&03Ya*U?(XUB%3#au58q)v zt-IAz@B!PD*y`~8+P||(j-v2EK+*K*f-3u=c!0j2abx^GruSsTzvihs-lef-kp%m2vO`tWH zXq!L(;|KJy$7cwC#F*aL4VcY-*1r)x7JL4&{vqYu1UY}EH>jf#f1@e?0LGSt=%+xp zMhQJnJURybO#m-X7US&agyg@`7t(!rn19W81t-sPIP9FL^r__js?U2|*`pFC0~WH8 zYqHT#iTIRmHWeR4*Eco)#C{JSNk0JQ^`bsOUjVUs;R^)!{#43^QxUOU3Dej-C;+`gAkM{sfz`t@of2R3RXlZ>_*-9=%_~zw93DsUsd)&VG-~UNo*tG0$K>$EaDir{>->Lu)y{2 z*wS6n+dqWeLoVUK?m#?U^o7_T%i9J|Nw<0X9d=Cd(r9N1U?8xw;1@??ufSeT4Hn>f z(6nlEe+7Suyc6=Zb^g+{0m~6sv)Hr(Q>+)thv9nvQj~>%4bRm%Ljv=@rscKL$)X;F z79q@K5!bPpA!LjmEZH}S2PEGCV~Jrbpbza3IsIZRk;jaG4}krRh|moWjm7TajoQi+ohvxxbF^)+}t z9`ejej8O24P}_KmwpYbErqp&;9^x$SK z9F3IGB#v-C62J-8BRzakd#x*80+{37XL>BgeJOaJ-{Ga|GG4~ADn9De-E63gJJopv ze@(}VcoZ{6BqkN#P3R~c%YzPX_)NP&UZ=z{XloEl2_DZ(D?A?bJFeM(-L{cctZbXW zCWs?0*{j~F)h1w@H;d;?#2<4TGa)+uWc%#|Fy^~9p%=(_f=yr(0!kzf4Fwn8c=zk^ z7t2T^_H|^xz7mw}M;3VODY#9VcHtxot$Mp;L<-{##hS&_}{2eYp$d!)i+I+~OUd7>|7D4-fP(4T*HZUyae}vH~ z?<)U?EOKnRp#Mmn7s&W*9Gqq+3M**Ja%God$BJN$&^fmo7;2T2c&w{j^P9!k#r_4% zwwmOzWIj60sxf0r?OSSz{>YedB(W~^2RR7XXCO0iSjUjI+-EM^b(YJ$-2=A<{^{-L zac-qIuwQyCdmbrF9EKR@K|C9oe@#4VUcj?v6VJ-|K}-vHSM}q<9I2Q(`aWpy{|0-% z&boCY_;?i^0e;=Z->5?_aYxUCs(!h|?}0yW3%}R09ctyip0t~Mt1zpweNrDSf|9o$ z(;)`j9st9MIol4$>|t_5Y&B%#?c{WPu!b`_o^07MemKp_=cDpjTRofNf4m|7#plfj zjhBMXI)b4g)@@ABRm>Z;^D|L<4heEJJKE0gwDC2~_QE^<1O}Bi%P>DBuJX0}U@m!HRP_Zp>u5YI`f4Q^V3RStRKSr2N5`ataQbMPPX$AK(tIWqy`1iy}BlcYI zDk?65aevTVyxjZ91;LV_f4wl10#n7JKSGDc3L_F7Bi6B5EG#A%4zVXv$$M1V#JGg= z|6lUB2x$13)M*7LapH+HyS;j!lXx$dB;RSN=;>0x*FpFE#RJ}0-- zsX(pNwDocAi*b?4xQciW#_G#)2|nZGz-V`~D=BZ4daMOIX>0Xvf1C*4nJ=1rDAz_N z`O@cr&}oZQE7O<0~DCc|@PpAk}))821FAPdiNlt7^AREzYdl?OJ(*hpls8dri&? z&ayRUrj0xJHeQQ;f5@06d5AUpCj0{HPYhTezM#I;ZC0%N)XX1ERUQ`93uDD*>|0*f zU3MowV0Z8Xb)3K3cJmE$u$@I*ElmYmkYY?8b%TzF%nMwB*}@g*_tW3y8Rq_|^g{{e z*5OW!guH54*3x`fcCbplrEHIlsheOVQ*H*K^rOR-?|Bq+e;~Y-=ht}QMlKmNMgYsH z`6L08mMI^@;`SW)9Y^t6$CAKJXD}{~p{@<;SzPr_Dh$)2+jT|VJ@xI2V z*ti7*5hwCVQSP8lKAs7A6P#*Pas$e@Z?sY57LlS2@_rknY=h|hL!}MzJ|+#sm`9k# z^*ln@=c&$Zf2Iv?)9#EHQp2raDcu(XKVO$4g%u>P-ut$e*z`<|Q6)!3KHKJ(>UeCh z-wM6#UwiC!dApla@=i>w>F2PUXN-5>@aH@i7ROSLwdy>W#3dblW739s^xD5=%Uiyq zrN5Dw;ETh5P0W9*^NdL+XIsBVS7jVvujN!+^10h|e;IO5S|1v`+sN}xsgr~6*LA+m zGeIBdJ|FraT{<+yB%07MlR}2sP*BCBurcy?!4Ktr>l~~?fvYW47!lgA!ZzX_K)Khi z^L{QHM?O8 z>i8<7e}k?*{O7!f%x%x{rN6$maw=bszux7w;v$zy#+7sIdLC8qye<8T7-f$2rp>+e zI%uzd{`F!UJjU2p%mD_0FN^WpiZ5@fn3?-q<(ltb=df0G`A&(ELJZP`V7twF+sum9 zo}RjOAEbJ(!IxR&!nB|=WrNWrf_tbFc zc)0DxqG6oom@y5BXIFF&#_G5W7-<>YxjVbPd}!0JWxhD5gg69u$&QNHiH3_w6Bm=R z&v|Y+45q)uI2SMQ;6)vf{rxJqaQRnp6r{m}>H5&rc$xN`A=q(EI~HG(X>bwD5Pe1J ze_GesOOh$#xbIhm^!Iw z6YHiK&oDpxkd^TZ%B;?LG&X0qPxE=FZ(Frqn8#Sx4|UnXINpYqXK`xtsOl>GOK<73 zTY9^TT67?nI*^FCGSa4|Rrg^4PuXqUe`Bq@;ltE0P@Q|y>#?+Y%6PP?xHrtBBY?Fq zelC2sihYl&_PxrdM-9ACfK zb^aw#TqlVf4jLQaS=5ZFTge5Tn>6%wE#FGV!f~k+d^Vq|*d8XbV@v{bCsk}ui!V%r zV8qT-PxupZPNyhDqhLzCb5+)$4+YNY+>Zd_l~1MRK#4Mm)9JUhz~~r9YUA_8`Hz@) zmBD5igSEmCk1yJJ_wFa@~WoJGtKvXDMKk3Sq`pRh-Zm#4ac-N-;(1gBDsg+OohNQ zV2q^9Dm~D%XB9tP&`DYJ16e-q?mj9Kr8 zikaCMGqW*fmgX@5(k_pcSbo5!qiMjrn{y?$to(N!d)WC|8f+`n_5ul_GG_oPgN-pg zUnL{)t26ju4v^=YYh0lpj0;D*Y0+-;$2C)bbocf_;y|oQKx@ z4B>akd;-eIA@WIM&gR}(f65WY!l6&G%t6&-twhSdZkKB18R}2)@z5{ z&hnPB%YJ>nZg`GNtS91>VOCj#i}A}gU$#~M743i*);Oy`w6h9{f5-#Q`)VX~YHT*H z#;@xBZ$Hv9PdHal`ci$r-!McRn87{UXYnJt)qlU5ySS_v(Cga50DJs;#Wf3DhyvaCX)3!Bt#U za)NQe3Fc>sNsdK%*Iu9{r-9!BC^-$8vsa!q=b}!DD;ly6qAp|R+;mhd-8q?h9+kj+ z?D$|~`C`@`f9o95fxwFMhwfOQJb!~Zcwl#7``d^WEvXxsCBB8QIvBNUlBnwqVLRi% zT-P^BJ!^xICW01`e%itEEq1H zKC)@$Z0&0GaS1-!h87*`@#Oj*>y73{e+&Pw{7#r`a6JFd7>xoK0Pf2FpXVCA z>yaCb3>0c{@)Hk;QgJkti-VonT9Fjvif zq;F?7BLWN(Ko2=IS>W_dgL*%1Qn{{^t4n1Rp46smHb7>U}A1@_mxa zq1JvblV$tjx7NOtTiJg8f4ombd%0{E&wmmpr`7wPxctrY-);A~y3fUZuHWaCfA{$( zUVERH?{iU5dp{q4`M&(E-LJLJYwhz|`@GhE`8*fx=f6SuQ1}0+e>f`8N@P|F1L?u zv63X`rxT5zo=ARrqWI~F&QHg%i$RUXpjP6#FBx~l6lA;8jLYu=O_a?-n^R&mlAj$R zVH%_|ccy7itTSu1)yz9v9kyMx*YOUi^J&P3mEU2&{E`&Efg~_ZalS`!e*{DuU+s)u zBiFKpCsE&Anv`=R$^ggw)_L^tAYXIvGB0v8R*^@F`38-6ZESYrk+zr1oF|$4tjj=P zx9}vP$n_6Xt2`rhNZC~8@9WoZgeiY{qP`m@;_@Y*3iG3#8wv>$od8kH5-+D_p;=5bQbUs4MX;m>1@l6w)8Hd0ojwGL+k;TtS zPGVb`USDs@CHE`NAFm19%bZHd0d=(1#`g-{q0PB!GskvB$wQs1Gc(q)-Z@U6!e*3f zpk1f(y3D1)Tx#rXno6v>B&B>*t_!*8;1zV8#``?aZ;|nH7?IF%e{Ey!nj4v`+trSo ze^Sk9Z7Xk-X=~N`jkiu2`#pKQ%qQn@E_?^`ha11!bbKLq7h_q0S?Yoo9#?Qe*b21v zFxW3H>MuRdZx_+ZIX0PxZf8t<>_?+&}gFcF7sB zjYSoov~aH)cDhTyf1fuSSC=Jv>{n#5&S6SET<$I8#4F#CtHoy8z6+z*|6jk6LJha| zn~i!u7g;rSs?DEzSC{FQoGw-GfBl{e^iS;@v<)(LQ_NARCkwt#Vtl&Jxfl68P2Bfo z+=s|>b8WU&)_F1CEEG5_0iDIkh|}|%m#+inkrc6Cu|JH%f3(8=(K3Dw??wsK1Kv^o z?bh)zU-Ki$>#FNMdFD-Gxt6m+N}in)L2gf-WxljWe&=j}tha6b2KtzYZ2?fkZ0Pur z?he_PG6!!v$+9(@3}30reS;GG=)_v^v;NhgjV}-w0Qcd+z4F^)qj`?c6`s3n;Kt3F zN-j8kx9%2xe?xu?0WcJPsSLkYSe|Fl)>&Q`f6MRb68xSnQ8sbHj*8Qj*Atrmeedir zzs|&(?P7&FMZOZogK!LQZYejN-v+R3zyy&U73Zxhd5d9ZTqtbGxmVw2EVw}tSCO11 z=JzMaLtuV?9M$vttqVE&)_-lQORp8*?G*7*?QC@se=m(M*|Fb?m1gh9N%12bQ7Euj z)QInp3;7JBna^-xtvbK`FuqskL{#j#JWEpMIktS)p^o#zwwNETGv-wm=QtW?D$6r= z4VkLntG8F@gsbmfx@We|O>3T2O%74Ey4S>tT4QP#bIM3HPm5G@%CNmgp3@~cC}R{U z%2n~*e~VJCg74SDMj-F5<(-QBbHMPAG_du(7X9v;%J1TW9Mv&rrR+bfZ(M%EyC%bC z8k$a&CWVfYc|45$P%{pKIOJ77Qlb6$=%CaQhky3EDPW71RmG3dt%DPmYHZmo1GNrhjhV)%#~WnU2s%J_fX&TWDm3*tNLtA;+-{lrp#U-0*)3Ej|* zTlt2z_I(`eqncycmlI3XnO@!RtLOW+`B7s!5OdQpx5_uc@4jFj6qqFoG5GF_nnSgx z@ta>|o<*r=Hc>uDEjljOW5xPjY2P!~LgrAciLBSS&U1Z&>7#vFKFe>WYu`&R<+JQd qbjiPyxp0zS@9^(rTV4L0`+45$_u$|E2LJ&7{{sL$=`m##cmM$ZrVT*=