diff --git a/Layout and PCB/68030-TK-V09b.b#1 b/Layout and PCB/68030-TK-V09b.b#1 deleted file mode 100644 index b13efc1..0000000 --- a/Layout and PCB/68030-TK-V09b.b#1 +++ /dev/null @@ -1,7850 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9b -(c) 2013 Matthias -Heinrichs -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09b.s#1 b/Layout and PCB/68030-TK-V09b.s#1 deleted file mode 100644 index 1e0f991..0000000 --- a/Layout and PCB/68030-TK-V09b.s#1 +++ /dev/null @@ -1,14401 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09c.b#1 b/Layout and PCB/68030-TK-V09c.b#1 deleted file mode 100644 index 883e4fd..0000000 --- a/Layout and PCB/68030-TK-V09c.b#1 +++ /dev/null @@ -1,8236 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9b -(c) 2013 Matthias -Heinrichs -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09c.b#2 b/Layout and PCB/68030-TK-V09c.b#2 deleted file mode 100644 index 86235c4..0000000 --- a/Layout and PCB/68030-TK-V09c.b#2 +++ /dev/null @@ -1,8115 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9b -(c) 2013 Matthias -Heinrichs -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09c.b#3 b/Layout and PCB/68030-TK-V09c.b#3 deleted file mode 100644 index 45cfeac..0000000 --- a/Layout and PCB/68030-TK-V09c.b#3 +++ /dev/null @@ -1,7870 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9b -(c) 2013 Matthias -Heinrichs -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09c.b#4 b/Layout and PCB/68030-TK-V09c.b#4 deleted file mode 100644 index 22573e0..0000000 --- a/Layout and PCB/68030-TK-V09c.b#4 +++ /dev/null @@ -1,8104 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9b -(c) 2013 Matthias -Heinrichs -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09c.s#1 b/Layout and PCB/68030-TK-V09c.s#1 deleted file mode 100644 index 60381f9..0000000 --- a/Layout and PCB/68030-TK-V09c.s#1 +++ /dev/null @@ -1,14652 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09c.s#2 b/Layout and PCB/68030-TK-V09c.s#2 deleted file mode 100644 index 6dbe79e..0000000 --- a/Layout and PCB/68030-TK-V09c.s#2 +++ /dev/null @@ -1,14414 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09c.s#3 b/Layout and PCB/68030-TK-V09c.s#3 deleted file mode 100644 index a7a04df..0000000 --- a/Layout and PCB/68030-TK-V09c.s#3 +++ /dev/null @@ -1,14401 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09d.b## b/Layout and PCB/68030-TK-V09d.b## deleted file mode 100644 index 8258cf0..0000000 --- a/Layout and PCB/68030-TK-V09d.b## +++ /dev/null @@ -1,8263 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2014 Matthias Heinrichs -thx BukoCharly, Georg Braun, Herzi -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09d.b#1 b/Layout and PCB/68030-TK-V09d.b#1 deleted file mode 100644 index 41c2864..0000000 --- a/Layout and PCB/68030-TK-V09d.b#1 +++ /dev/null @@ -1,8013 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2014 Matthias Heinrichs -thx BukoCharly, Georg Braun, Herzi -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09d.b#2 b/Layout and PCB/68030-TK-V09d.b#2 deleted file mode 100644 index 59f820a..0000000 --- a/Layout and PCB/68030-TK-V09d.b#2 +++ /dev/null @@ -1,8268 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2013 Matthias Heinrichs -thx Buko Charly -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09d.b#3 b/Layout and PCB/68030-TK-V09d.b#3 deleted file mode 100644 index d619944..0000000 --- a/Layout and PCB/68030-TK-V09d.b#3 +++ /dev/null @@ -1,8012 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2013 Matthias Heinrichs -thx Buko Charly -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09d.b#4 b/Layout and PCB/68030-TK-V09d.b#4 deleted file mode 100644 index 4d3c63e..0000000 --- a/Layout and PCB/68030-TK-V09d.b#4 +++ /dev/null @@ -1,8260 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2013 Matthias Heinrichs -thx Buko Charly -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09d.b#5 b/Layout and PCB/68030-TK-V09d.b#5 deleted file mode 100644 index 76c1ac4..0000000 --- a/Layout and PCB/68030-TK-V09d.b#5 +++ /dev/null @@ -1,8278 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2013 Matthias Heinrichs -thx Buko Charly -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09d.b#6 b/Layout and PCB/68030-TK-V09d.b#6 deleted file mode 100644 index f1fc0b5..0000000 --- a/Layout and PCB/68030-TK-V09d.b#6 +++ /dev/null @@ -1,8010 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2013 Matthias Heinrichs -thx Buko Charly -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09d.b#7 b/Layout and PCB/68030-TK-V09d.b#7 deleted file mode 100644 index 5be233b..0000000 --- a/Layout and PCB/68030-TK-V09d.b#7 +++ /dev/null @@ -1,8014 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2013 Matthias Heinrichs -thx Buko Charly -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09d.b#8 b/Layout and PCB/68030-TK-V09d.b#8 deleted file mode 100644 index 4388ab8..0000000 --- a/Layout and PCB/68030-TK-V09d.b#8 +++ /dev/null @@ -1,8003 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2013 Matthias Heinrichs -thx Buko Charly -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09d.b#9 b/Layout and PCB/68030-TK-V09d.b#9 deleted file mode 100644 index 89bf9c4..0000000 --- a/Layout and PCB/68030-TK-V09d.b#9 +++ /dev/null @@ -1,8250 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2013 Matthias Heinrichs -thx Buko Charly -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09d.s## b/Layout and PCB/68030-TK-V09d.s## deleted file mode 100644 index d2399c6..0000000 --- a/Layout and PCB/68030-TK-V09d.s## +++ /dev/null @@ -1,14672 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09d.s#1 b/Layout and PCB/68030-TK-V09d.s#1 deleted file mode 100644 index afcbc44..0000000 --- a/Layout and PCB/68030-TK-V09d.s#1 +++ /dev/null @@ -1,14650 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09d.s#2 b/Layout and PCB/68030-TK-V09d.s#2 deleted file mode 100644 index d921919..0000000 --- a/Layout and PCB/68030-TK-V09d.s#2 +++ /dev/null @@ -1,14637 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09d.s#3 b/Layout and PCB/68030-TK-V09d.s#3 deleted file mode 100644 index 4ca4fdd..0000000 --- a/Layout and PCB/68030-TK-V09d.s#3 +++ /dev/null @@ -1,14634 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09d.s#4 b/Layout and PCB/68030-TK-V09d.s#4 deleted file mode 100644 index eefc953..0000000 --- a/Layout and PCB/68030-TK-V09d.s#4 +++ /dev/null @@ -1,14641 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09d.s#5 b/Layout and PCB/68030-TK-V09d.s#5 deleted file mode 100644 index cc6c0cf..0000000 --- a/Layout and PCB/68030-TK-V09d.s#5 +++ /dev/null @@ -1,14641 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09e.b#1 b/Layout and PCB/68030-TK-V09e.b#1 deleted file mode 100644 index e379946..0000000 --- a/Layout and PCB/68030-TK-V09e.b#1 +++ /dev/null @@ -1,8297 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2014 Matthias Heinrichs -thx BukoCharly, Georg Braun, Herzi -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09e.b#2 b/Layout and PCB/68030-TK-V09e.b#2 deleted file mode 100644 index 4eea056..0000000 --- a/Layout and PCB/68030-TK-V09e.b#2 +++ /dev/null @@ -1,8268 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2014 Matthias Heinrichs -thx BukoCharly, Georg Braun, Herzi -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09e.s#1 b/Layout and PCB/68030-TK-V09e.s#1 deleted file mode 100644 index d3c7195..0000000 --- a/Layout and PCB/68030-TK-V09e.s#1 +++ /dev/null @@ -1,14681 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09e.s#2 b/Layout and PCB/68030-TK-V09e.s#2 deleted file mode 100644 index e4c418d..0000000 --- a/Layout and PCB/68030-TK-V09e.s#2 +++ /dev/null @@ -1,14659 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09f.b#1 b/Layout and PCB/68030-TK-V09f.b#1 deleted file mode 100644 index 4c88ebc..0000000 --- a/Layout and PCB/68030-TK-V09f.b#1 +++ /dev/null @@ -1,8330 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2014 Matthias Heinrichs -thx BukoCharly, Georg Braun, Herzi -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09f.b#2 b/Layout and PCB/68030-TK-V09f.b#2 deleted file mode 100644 index c0d54c2..0000000 --- a/Layout and PCB/68030-TK-V09f.b#2 +++ /dev/null @@ -1,8330 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2014 Matthias Heinrichs -thx BukoCharly, Georg Braun, Herzi -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09f.b#3 b/Layout and PCB/68030-TK-V09f.b#3 deleted file mode 100644 index 4cd4720..0000000 --- a/Layout and PCB/68030-TK-V09f.b#3 +++ /dev/null @@ -1,8330 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -TOP -Bot -a1k.org 68030-TK v0.9d -(c) 2014 Matthias Heinrichs -thx BukoCharly, Georg Braun, Herzi -a1k.org 68030-TK V0.9 -(c)2013 Matthias Heinrichs -Free for non commercial -reproduction - -JTAG - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - -<b>LeitOn Design-Regeln</b> -<p> -Diese DRU-Datei enthält viele erforderliche Design Einstellungen, damit Ihre Standardleiterplatte bei uns fehlerfrei und ohne Zusatzkosten produziert werden kann. Die Optionen Shapes und Misc sind nicht relevant und der minimale und maximale Wert für Roundness Shapes kann frei gewählt werden. Nach unten abweichende Design-Regeln sind möglich, können jedoch Aufpreise erfordern. Hinweis: Freistellungen und Streichstärken von Bestückungsdruck werden nicht im DRC geprüft! -<br><br> -<b>Übersicht der LeitOn Regeln:</b<<br><br> -<u>allgemein:</u><br> -minimale Leiterbahnbreite/-abstand: <b>0.15 mm</b><br> -(Strichstärke für Kupferschrift sollte mind. 0.2 mm sein um gut lesbar zu bleiben)<br> -kleinster Bohrdurchmesser: <b>0.3 mm</b><br><br> -<u>Kupferrestringe um DK-Bohrungen:</u><br> -Aussenlagen: <b>0.15 mm</b><br> -Innenlagen: <b>0.2 mm</b><br> -<br> -<u>Masselagen-Freimachungen:</u><br> -Innenlagen: <b>0.35 mm</b><br> -<br> -<u>Bestückungsdruck</u><br> -minimale Strichstärke: <b>0.2 mm</b><br><br> -<b><u><font color= "blue">Wichtig:</font></b></u> Verwenden Sie als Strichstärke für Masseflächen keine Strichstärken kleiner 0.1mm. Es entstehen sonst extrem große Datenmengen, weil Eagle die Massefläche stets mit Polygonen der gleichen Strichstärke füllt. - -</p> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -Since Version 6.2.2 text objects can contain more than one line, -which will not be processed correctly with this version. - - - diff --git a/Layout and PCB/68030-TK-V09f.s#1 b/Layout and PCB/68030-TK-V09f.s#1 deleted file mode 100644 index 8f1049d..0000000 --- a/Layout and PCB/68030-TK-V09f.s#1 +++ /dev/null @@ -1,14769 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - -CITIZEN Crystal Clock Oscilator from http://cfm.citizen.co.jp/english/product/pdf/CSX-750F.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09f.s#2 b/Layout and PCB/68030-TK-V09f.s#2 deleted file mode 100644 index 1daaa92..0000000 --- a/Layout and PCB/68030-TK-V09f.s#2 +++ /dev/null @@ -1,14769 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - -CITIZEN Crystal Clock Oscilator from http://cfm.citizen.co.jp/english/product/pdf/CSX-750F.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09f.s#3 b/Layout and PCB/68030-TK-V09f.s#3 deleted file mode 100644 index 5c19de3..0000000 --- a/Layout and PCB/68030-TK-V09f.s#3 +++ /dev/null @@ -1,14769 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Motorola MC68000 Processors</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>micro Ball Grid Array</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Dual In Line</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>PLASTIC LEADED CHIP CARRIER</b><p> -square - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>PLCC Socked</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME -68 - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -VCC -GND - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>68xxx PROCESSOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors, Capacitors, Inductors</b><p> -Based on the previous libraries: -<ul> -<li>r.lbr -<li>cap.lbr -<li>cap-fe.lbr -<li>captant.lbr -<li>polcap.lbr -<li>ipc-smd.lbr -</ul> -All SMD packages are defined according to the IPC specifications and CECC<p> -<author>Created by librarian@cadsoft.de</author><p> -<p> -for Electrolyt Capacitors see also :<p> -www.bccomponents.com <p> -www.panasonic.com<p> -www.kemet.com<p> -http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> -<p> -for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> - -<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> -<tr valign="top"> - -<! <td width="10">&nbsp;</td> -<td width="90%"> - -<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> -<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> - <TR> - <TD COLSPAN=8> - <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> - </B> - </TD> - <TD ALIGN=CENTER> - <B> - <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> - </B> - </TD><TD>&nbsp;</TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > - 3005P<BR> - 3006P<BR> - 3006W<BR> - 3006Y<BR> - 3009P<BR> - 3009W<BR> - 3009Y<BR> - 3057J<BR> - 3057L<BR> - 3057P<BR> - 3057Y<BR> - 3059J<BR> - 3059L<BR> - 3059P<BR> - 3059Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 89P<BR> - 89W<BR> - 89X<BR> - 89PH<BR> - 76P<BR> - 89XH<BR> - 78SLT<BR> - 78L&nbsp;ALT<BR> - 56P&nbsp;ALT<BR> - 78P&nbsp;ALT<BR> - T8S<BR> - 78L<BR> - 56P<BR> - 78P<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - T18/784<BR> - 783<BR> - 781<BR> - -<BR> - -<BR> - -<BR> - 2199<BR> - 1697/1897<BR> - 1680/1880<BR> - 2187<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 8035EKP/CT20/RJ-20P<BR> - -<BR> - RJ-20X<BR> - -<BR> - -<BR> - -<BR> - 1211L<BR> - 8012EKQ&nbsp;ALT<BR> - 8012EKR&nbsp;ALT<BR> - 1211P<BR> - 8012EKJ<BR> - 8012EKL<BR> - 8012EKQ<BR> - 8012EKR<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 2101P<BR> - 2101W<BR> - 2101Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 2102L<BR> - 2102S<BR> - 2102Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVMCOG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 43P<BR> - 43W<BR> - 43Y<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 40L<BR> - 40P<BR> - 40Y<BR> - 70Y-T602<BR> - 70L<BR> - 70P<BR> - 70Y<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - RT/RTR12<BR> - RT/RTR12<BR> - RT/RTR12<BR> - -<BR> - RJ/RJR12<BR> - RJ/RJR12<BR> - RJ/RJR12<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3250L<BR> - 3250P<BR> - 3250W<BR> - 3250X<BR> - 3252P<BR> - 3252W<BR> - 3252X<BR> - 3260P<BR> - 3260W<BR> - 3260X<BR> - 3262P<BR> - 3262W<BR> - 3262X<BR> - 3266P<BR> - 3266W<BR> - 3266X<BR> - 3290H<BR> - 3290P<BR> - 3290W<BR> - 3292P<BR> - 3292W<BR> - 3292X<BR> - 3296P<BR> - 3296W<BR> - 3296X<BR> - 3296Y<BR> - 3296Z<BR> - 3299P<BR> - 3299W<BR> - 3299X<BR> - 3299Y<BR> - 3299Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66X&nbsp;ALT<BR> - -<BR> - 64W&nbsp;ALT<BR> - -<BR> - 64P&nbsp;ALT<BR> - 64W&nbsp;ALT<BR> - 64X&nbsp;ALT<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 66X&nbsp;ALT<BR> - 66P&nbsp;ALT<BR> - 66W&nbsp;ALT<BR> - 66P<BR> - 66W<BR> - 66X<BR> - 67P<BR> - 67W<BR> - 67X<BR> - 67Y<BR> - 67Z<BR> - 68P<BR> - 68W<BR> - 68X<BR> - 67Y&nbsp;ALT<BR> - 67Z&nbsp;ALT<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 5050<BR> - 5091<BR> - 5080<BR> - 5087<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - T63YB<BR> - T63XB<BR> - -<BR> - -<BR> - -<BR> - 5887<BR> - 5891<BR> - 5880<BR> - -<BR> - -<BR> - -<BR> - T93Z<BR> - T93YA<BR> - T93XA<BR> - T93YB<BR> - T93XB<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 8026EKP<BR> - 8026EKW<BR> - 8026EKM<BR> - 8026EKP<BR> - 8026EKB<BR> - 8026EKM<BR> - 1309X<BR> - 1309P<BR> - 1309W<BR> - 8024EKP<BR> - 8024EKW<BR> - 8024EKN<BR> - RJ-9P/CT9P<BR> - RJ-9W<BR> - RJ-9X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - 3103P<BR> - 3103Y<BR> - 3103Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3105P/3106P<BR> - 3105W/3106W<BR> - 3105X/3106X<BR> - 3105Y/3106Y<BR> - 3105Z/3105Z<BR> - 3102P<BR> - 3102W<BR> - 3102X<BR> - 3102Y<BR> - 3102Z<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMCBG<BR> - EVMCCG<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 55-1-X<BR> - 55-4-X<BR> - 55-3-X<BR> - 55-2-X<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 50-2-X<BR> - 50-4-X<BR> - 50-3-X<BR> - -<BR> - -<BR> - -<BR> - 64P<BR> - 64W<BR> - 64X<BR> - 64Y<BR> - 64Z<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RT/RTR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RJ/RJR22<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RT/RTR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RJ/RJR26<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RT/RTR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - RJ/RJR24<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=8>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=8> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> - </TD> - <TD ALIGN=CENTER> - <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3323P<BR> - 3323S<BR> - 3323W<BR> - 3329H<BR> - 3329P<BR> - 3329W<BR> - 3339H<BR> - 3339P<BR> - 3339W<BR> - 3352E<BR> - 3352H<BR> - 3352K<BR> - 3352P<BR> - 3352T<BR> - 3352V<BR> - 3352W<BR> - 3362H<BR> - 3362M<BR> - 3362P<BR> - 3362R<BR> - 3362S<BR> - 3362U<BR> - 3362W<BR> - 3362X<BR> - 3386B<BR> - 3386C<BR> - 3386F<BR> - 3386H<BR> - 3386K<BR> - 3386M<BR> - 3386P<BR> - 3386S<BR> - 3386W<BR> - 3386X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 25P<BR> - 25S<BR> - 25RX<BR> - 82P<BR> - 82M<BR> - 82PA<BR> - -<BR> - -<BR> - -<BR> - 91E<BR> - 91X<BR> - 91T<BR> - 91B<BR> - 91A<BR> - 91V<BR> - 91W<BR> - 25W<BR> - 25V<BR> - 25P<BR> - -<BR> - 25S<BR> - 25U<BR> - 25RX<BR> - 25X<BR> - 72XW<BR> - 72XL<BR> - 72PM<BR> - 72RX<BR> - -<BR> - 72PX<BR> - 72P<BR> - 72RXW<BR> - 72RXL<BR> - 72X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - T7YB<BR> - T7YA<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - TXD<BR> - TYA<BR> - TYP<BR> - -<BR> - TYD<BR> - TX<BR> - -<BR> - 150SX<BR> - 100SX<BR> - 102T<BR> - 101S<BR> - 190T<BR> - 150TX<BR> - 101<BR> - -<BR> - -<BR> - 101SX<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ET6P<BR> - ET6S<BR> - ET6X<BR> - RJ-6W/8014EMW<BR> - RJ-6P/8014EMP<BR> - RJ-6X/8014EMX<BR> - TM7W<BR> - TM7P<BR> - TM7X<BR> - -<BR> - 8017SMS<BR> - -<BR> - 8017SMB<BR> - 8017SMA<BR> - -<BR> - -<BR> - CT-6W<BR> - CT-6H<BR> - CT-6P<BR> - CT-6R<BR> - -<BR> - CT-6V<BR> - CT-6X<BR> - -<BR> - -<BR> - 8038EKV<BR> - -<BR> - 8038EKX<BR> - -<BR> - -<BR> - 8038EKP<BR> - 8038EKZ<BR> - 8038EKW<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 3321H<BR> - 3321P<BR> - 3321N<BR> - 1102H<BR> - 1102P<BR> - 1102T<BR> - RVA0911V304A<BR> - -<BR> - RVA0911H413A<BR> - RVG0707V100A<BR> - RVA0607V(H)306A<BR> - RVA1214H213A<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 3104B<BR> - 3104C<BR> - 3104F<BR> - 3104H<BR> - -<BR> - 3104M<BR> - 3104P<BR> - 3104S<BR> - 3104W<BR> - 3104X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - EVMQ0G<BR> - EVMQIG<BR> - EVMQ3G<BR> - EVMS0G<BR> - EVMQ0G<BR> - EVMG0G<BR> - -<BR> - -<BR> - -<BR> - EVMK4GA00B<BR> - EVM30GA00B<BR> - EVMK0GA00B<BR> - EVM38GA00B<BR> - EVMB6<BR> - EVLQ0<BR> - -<BR> - EVMMSG<BR> - EVMMBG<BR> - EVMMAG<BR> - -<BR> - -<BR> - EVMMCS<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - EVMM1<BR> - -<BR> - -<BR> - EVMM0<BR> - -<BR> - -<BR> - EVMM3<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - 62-3-1<BR> - 62-1-2<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67R<BR> - -<BR> - 67P<BR> - -<BR> - -<BR> - -<BR> - -<BR> - 67X<BR> - 63V<BR> - 63S<BR> - 63M<BR> - -<BR> - -<BR> - 63H<BR> - 63P<BR> - -<BR> - -<BR> - 63X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - RJ/RJR50<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P>&nbsp;<P> -<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> - <TR> - <TD COLSPAN=7> - <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> - <P> - <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3224G<BR> - 3224J<BR> - 3224W<BR> - 3269P<BR> - 3269W<BR> - 3269X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 44G<BR> - 44J<BR> - 44W<BR> - 84P<BR> - 84W<BR> - 84X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST63Z<BR> - ST63Y<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - ST5P<BR> - ST5W<BR> - ST5X<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> - <TR> - <TD COLSPAN=7>&nbsp; - </TD> - </TR> - <TR> - <TD COLSPAN=7> - <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> - </TD> - </TR> - <TR> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> - </TD> - <TD> - <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> - </TD> - </TR> - <TR> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 3314G<BR> - 3314J<BR> - 3364A/B<BR> - 3364C/D<BR> - 3364W/X<BR> - 3313G<BR> - 3313J<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - 23B<BR> - 23A<BR> - 21X<BR> - 21W<BR> - -<BR> - 22B<BR> - 22A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST5YL/ST53YL<BR> - ST5YJ/5T53YJ<BR> - ST-23A<BR> - ST-22B<BR> - ST-22<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - ST-4B<BR> - ST-4A<BR> - -<BR> - -<BR> - -<BR> - ST-3B<BR> - ST-3A<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - EVM-6YS<BR> - EVM-1E<BR> - EVM-1G<BR> - EVM-1D<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - G4B<BR> - G4A<BR> - TR04-3S1<BR> - TRG04-2S1<BR> - -<BR> - -<BR> - -<BR></FONT> - </TD> - <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> - -<BR> - -<BR> - DVR-43A<BR> - CVR-42C<BR> - CVR-42A/C<BR> - -<BR> - -<BR></FONT> - </TD> - </TR> -</TABLE> -<P> -<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> -<P> - -&nbsp; -<P> -</td> -</tr> -</table> - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 2.5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 3 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 4 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 5 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm, outline 6 x 5 mm - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 mm + 5 mm, outline 2.4 x 7 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 2.5 + 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.4 x 4.4 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 2.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 4.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 5.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -Horizontal, grid 5 mm, outline 7.5 x 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 3.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 4.2 x 10.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 5.2 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 4.3 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 5.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm, outline 6.4 x 13.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 5.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 6.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 7.2 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 8.4 x 18.3 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 15 mm, outline 9.1 x 18.2 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 6.2 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 7.4 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 8.7 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 10.8 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 22.5 mm, outline 11.3 x 26.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 9.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 11.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 13.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 20.5 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 13.7 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 16.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 32.5 mm, outline 18.2 x 37.4 mm - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 19.2 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 20.3 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 5 mm, outline 3.5 x 7.5 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 37.5 mm, outline 15.5 x 41.8 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 7.5 mm, outline 6.3 x 10.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 15.4 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>CAPACITOR</b><p> -grid 27.5 mm, outline 17.3 x 31.6 mm - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> -Metric Code Size 1005 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> -Metric Code Size 1608 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> -Metric Code Size 2012 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> -Metric Code Size 3216 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> -Metric Code Size 3225 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> -Metric Code Size 4532 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> -Metric Code Size 4564 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 - - - - ->NAME ->VALUE - - - - -<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 - - - - ->NAME ->VALUE - - - - -<b> </b><p> -Source: http://www.vishay.com/docs/10129/hpc0201a.pdf - - ->NAME ->VALUE - - - -Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf - - ->NAME ->VALUE - - - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>CAPACITOR</b><p> -Source: AVX .. aphvc.pdf - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -wave soldering - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b> wave soldering<p> -Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.10 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.12 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -MELF 0.25 W - - - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0204, grid 5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0204, grid 2.5 mm - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 10 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0207, grid 12 mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 15mm - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0207, grid 2.5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 5 mm - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0207, grid 7.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 10mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0309, grid 2.5 mm - - - - - - ->NAME ->VALUE - - - - - -<b>RESISTOR</b><p> -type 0411, grid 12.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0411, grid 3.81 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0414, grid 15 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0414, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0617, grid 17.5 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0617, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0922, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - -<b>RESISTOR</b><p> -type 0613, grid 5 mm - - - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b><p> -type 0613, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type 0817, grid 22.5 mm - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -0817 - - - - -<b>RESISTOR</b><p> -type 0817, grid 6.35 mm - - - - - - ->NAME ->VALUE -0817 - - - -<b>RESISTOR</b><p> -type V234, grid 12.5 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V235, grid 17.78 mm - - - - - - - - - - - - ->NAME ->VALUE - - - - -<b>RESISTOR</b><p> -type V526-0, grid 2.5 mm - - - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Reflow Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC2211</b> Wave Soldering<p> -source Beyschlag - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC3715</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Reflow Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>CECC Size RC6123</b> Wave Soldering<p> -source Beyschlag - - - - - - - - ->NAME ->VALUE - - -<b>RESISTOR</b><p> -type 0922, grid 7.5 mm - - - - - - ->NAME ->VALUE -0922 - - - -<b>RESISTOR</b><p> -type RDH, grid 15 mm - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -RDH - - - - -<b>Mini MELF 0102 Axial</b> - - - - ->NAME ->VALUE - - - -<b>RESISTOR</b> chip<p> -Source: http://www.vishay.com/docs/20008/dcrcw.pdf - - ->NAME ->VALUE - - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR52<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR53<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR54<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RBR56<br> -Source: VISHAY .. vta56.pdf - - - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC55<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> -MIL SIZE RNC60<br> -Source: VISHAY .. vta56.pdf - - - - - - - - ->NAME ->VALUE - - - - -<b>Package 4527</b><p> -Source: http://www.vishay.com/docs/31059/wsrhigh.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>Wirewound Resistors, Precision Power</b><p> -Source: VISHAY wscwsn.pdf - - - - - - ->NAME ->VALUE - - -<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> -Source: http://www.vishay.com .. dcrcw.pdf - - - - ->NAME ->VALUE - - - - -<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> -Source: http://www.murata.com .. GRM43DR72E224KW01.pdf - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - -<B>CAPACITOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<B>RESISTOR</B>, European symbol - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Frames for Sheet and Layout</b> - - - - - - - - - - - - - - - - - - - - ->DRAWING_NAME ->LAST_DATE_TIME ->SHEET -Sheet: - - - - - -<b>FRAME</b><p> -DIN A4, landscape with location and doc. field - - - - - - - - - - - - - - -<b>TTL Devices, 74xx Series with European Symbols</b><p> -Based on the following sources: -<ul> -<li>Texas Instruments <i>TTL Data Book</i>&nbsp;&nbsp;&nbsp;Volume 1, 1996. -<li>TTL Data Book, Volume 2 , 1993 -<li>National Seminconductor Databook 1990, ALS/LS Logic -<li>ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0 -<li>http://icmaster.com/ViewCompare.asp -</ul> -<author>Created by librarian@cadsoft.de</author> - - -<b>Dual In Line Package</b> - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Wide Small Outline package</b> 300 mil - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Leadless Chip Carrier</b><p> Ceramic Package - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME -GND -VCC - - - - - - -Octal <b>BUS TRANSCEIVER</b>, 3-state - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Harting & 3M Connectors</b><p> -Low profile connectors, straight<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -10 ->NAME ->VALUE -1 -2 - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -1 -2 ->NAME ->VALUE -10 - - - - - - - - - - - - - - - - - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - -<b>3M</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->VALUE ->NAME - - - - - - - - - - - - - - -<b>HARTING</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Crystals and Crystal Resonators</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -VCC -OUT - - - - - - - -<b>CRYSTAL RESONATOR</b> - - - - - - - - - - - - - - - - - - - - - - - - -<b>VG Connectors (DIN 41612/DIN 41617)</b><p> -The library contains devices which allow to place the contacts individually or -in one or several blocks.<p> -This behavior is indicated by the key words <i>single</i> and <i>block</i> in -the respective device descriptions.<p> -<author>Created by librarian@cadsoft.de</author> - - -<b>CONNECTOR</b><p> -female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -1 -a -b -c -32 -DIN41612-R - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - ->NAME - - - - - -<b>CONNECTOR</b> female, 96 pins, type R, rows ABC, grid 2.54 mm - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>Resistors in DIL Packages</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -concave termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array</b> size 4 × 0603<p> -convex termination - Phycomp Components<br> -Source: RS Components - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>BOURNS</b> Chip Resistor Array<p> -Source: RS Component / BUORNS - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>ARRAY CHIP RESISTOR</b> Size 4 x 0402<p> -Source: www.yageo.com .. Pu-YC124_51_PbFree_L_1.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Array chip resistor</b> size 4 × 0402<p> -Source: http://docs-europe.electrocomponents.com/webdocs/0114/0900766b80114d99.pdf - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - -<b>Chip Resistor Array 0201x4</b> 4 resistors in 1.4 mm x 0.6 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.2 mm x 1.6 mm size (EXB38V, V8V)<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0402x4</b> 4 resistors in 2.0 mm x 1.0 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0805x4</b> 4 resistors in 5.08 mm x 2.20 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - -<b>Chip Resistor Array 0603x4</b> 4 resistors in 3.20 mm x 1.60 mm size<p> -Source: PANASONIC .. aoc0000ce1.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - ->VALUE ->NAME - - - - - - -<b>Array Chip Resistor</b><p> -Source: RS Component / Phycomp - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH4/MACH5 Family (Vantis)</b><p> -<author>Created by librarian@cadsoft.de</author> - - -<b>THIN QUAD FLAT PACK</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -TQFP 100 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE -GND -GND -VCC -GND -GND -VCC -GND -GND -VCC -GND -VCC -GND -GND -GND -VCC -GND -VCC -GND -GND -GND -GND -GND -GND -GND - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -<b>AMD MACH SERIES</b> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - -CITIZEN Crystal Clock Oscilator from http://cfm.citizen.co.jp/english/product/pdf/CSX-750F.pdf - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Layout and PCB/68030-TK-V09b.brd b/Layout and PCB/Old Versions/68030-TK-V09b.brd similarity index 100% rename from Layout and PCB/68030-TK-V09b.brd rename to Layout and PCB/Old Versions/68030-TK-V09b.brd diff --git a/Layout and PCB/68030-TK-V09b.sch b/Layout and PCB/Old Versions/68030-TK-V09b.sch similarity index 100% rename from Layout and PCB/68030-TK-V09b.sch rename to Layout and PCB/Old Versions/68030-TK-V09b.sch diff --git a/Layout and PCB/68030-TK-V09c.brd b/Layout and PCB/Old Versions/68030-TK-V09c.brd similarity index 100% rename from Layout and PCB/68030-TK-V09c.brd rename to Layout and PCB/Old Versions/68030-TK-V09c.brd diff --git a/Layout and PCB/68030-TK-V09c.sch b/Layout and PCB/Old Versions/68030-TK-V09c.sch similarity index 100% rename from Layout and PCB/68030-TK-V09c.sch rename to Layout and PCB/Old Versions/68030-TK-V09c.sch diff --git a/Layout and PCB/68030-TK-V09d.brd b/Layout and PCB/Old Versions/68030-TK-V09d.brd similarity index 100% rename from Layout and PCB/68030-TK-V09d.brd rename to Layout and PCB/Old Versions/68030-TK-V09d.brd diff --git a/Layout and PCB/68030-TK-V09d.sch b/Layout and PCB/Old Versions/68030-TK-V09d.sch similarity index 100% rename from Layout and PCB/68030-TK-V09d.sch rename to Layout and PCB/Old Versions/68030-TK-V09d.sch diff --git a/Layout and PCB/68030-TK-V09e.brd b/Layout and PCB/Old Versions/68030-TK-V09e.brd similarity index 100% rename from Layout and PCB/68030-TK-V09e.brd rename to Layout and PCB/Old Versions/68030-TK-V09e.brd diff --git a/Layout and PCB/68030-TK-V09e.sch b/Layout and PCB/Old Versions/68030-TK-V09e.sch similarity index 100% rename from Layout and PCB/68030-TK-V09e.sch rename to Layout and PCB/Old Versions/68030-TK-V09e.sch diff --git a/Logic/68030-68000-bus.vhd b/Logic/68030-68000-bus.vhd index c832cb9..c921c89 100644 --- a/Logic/68030-68000-bus.vhd +++ b/Logic/68030-68000-bus.vhd @@ -278,7 +278,7 @@ begin -- as030-sampling and FPU-Select - if(AS_030_D0 ='1' or BERR='0') then -- "async" reset of various signals + if(AS_030_D0 ='1') then -- "async" reset of various signals AS_030_000_SYNC <= '1'; DSACK1_INT <= '1'; AS_000_INT <= '1'; @@ -320,14 +320,14 @@ begin --Amiga statemachine - if(BERR='0')then --"async" reset on errors - SM_AMIGA<=IDLE_P; - end if; + --if(BERR='0')then --"async" reset on errors + -- SM_AMIGA<=IDLE_P; + --end if; case (SM_AMIGA) is when IDLE_P => --68000:S0 wait for a falling edge RW_000_INT <= '1'; - if( CLK_000_D(0)='0' and CLK_000_D(1)= '1' and AS_030_000_SYNC = '0' and nEXP_SPACE ='1')then -- if this a delayed expansion space detection, do not start an amiga cycle! + if( CLK_000_D(1)='0' and CLK_000_D(2)= '1' and AS_030_000_SYNC = '0' and nEXP_SPACE ='1')then -- if this a delayed expansion space detection, do not start an amiga cycle! SM_AMIGA<=IDLE_N; --go to s1 end if; when IDLE_N => --68000:S1 place Adress on bus and wait for rising edge, on a rising CLK_000 look for a amiga adressrobe @@ -353,6 +353,7 @@ begin when SAMPLE_DTACK_P=> --68000:S4 wait for dtack or VMA if( CLK_000_NE='1' and --falling edge ((VPA_D = '1' AND DTACK_D0='0') OR --DTACK end cycle + (VPA_D = '1' AND BERR='0') OR --Bus error (VPA_D='0' AND cpu_est=E9 AND VMA_INT='0')) --VPA end cycle )then --go to s5 SM_AMIGA<=DATA_FETCH_N; diff --git a/Logic/68030_TK.STY b/Logic/68030_TK.STY index 1bb8e88..b15bf23 100644 --- a/Logic/68030_TK.STY +++ b/Logic/68030_TK.STY @@ -1,4 +1,4 @@ -[synthesis-type] -tool=Synplify [STRATEGY-LIST] Normal=True, 1412327082 +[synthesis-type] +tool=Synplify diff --git a/Logic/68030_TK.tcl b/Logic/68030_TK.tcl index 4551a9c..0a5444b 100644 --- a/Logic/68030_TK.tcl +++ b/Logic/68030_TK.tcl @@ -398713,3 +398713,2229 @@ if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 6 ########## Tcl recorder end at 08/25/16 22:27:39 ########### + +########## Tcl recorder starts at 08/25/16 23:46:26 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/25/16 23:46:26 ########### + + +########## Tcl recorder starts at 08/25/16 23:46:26 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/25/16 23:46:26 ########### + + +########## Tcl recorder starts at 08/26/16 20:59:01 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 20:59:01 ########### + + +########## Tcl recorder starts at 08/26/16 20:59:02 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 20:59:02 ########### + + +########## Tcl recorder starts at 08/26/16 21:00:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 21:00:41 ########### + + +########## Tcl recorder starts at 08/26/16 21:00:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 21:00:41 ########### + + +########## Tcl recorder starts at 08/26/16 21:15:10 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 21:15:10 ########### + + +########## Tcl recorder starts at 08/26/16 21:15:10 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 21:15:10 ########### + + +########## Tcl recorder starts at 08/26/16 21:20:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 21:20:08 ########### + + +########## Tcl recorder starts at 08/26/16 21:20:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 21:20:08 ########### + + +########## Tcl recorder starts at 08/26/16 21:41:50 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 21:41:50 ########### + + +########## Tcl recorder starts at 08/26/16 21:41:50 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 21:41:50 ########### + + +########## Tcl recorder starts at 08/26/16 21:52:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 21:52:54 ########### + + +########## Tcl recorder starts at 08/26/16 21:52:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/26/16 21:52:54 ########### + + +########## Tcl recorder starts at 08/30/16 22:39:02 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/30/16 22:39:02 ########### + + +########## Tcl recorder starts at 08/30/16 22:39:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 08/30/16 22:39:03 ########### + + +########## Tcl recorder starts at 09/03/16 20:35:38 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/03/16 20:35:38 ########### + + +########## Tcl recorder starts at 09/03/16 20:35:39 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/03/16 20:35:39 ########### + + +########## Tcl recorder starts at 09/14/16 23:42:20 ########## + +set version "2.0" +set proj_dir "C:/Users/Matze/Amiga/Hardwarehacks/68030-TK/GitHub/Logic" +cd $proj_dir + +# Get directory paths +set pver $version +regsub -all {\.} $pver {_} pver +set lscfile "lsc_" +append lscfile $pver ".ini" +set lsvini_dir [lindex [array get env LSC_INI_PATH] 1] +set lsvini_path [file join $lsvini_dir $lscfile] +if {[catch {set fid [open $lsvini_path]} msg]} { + puts "File Open Error: $lsvini_path" + return false +} else {set data [read $fid]; close $fid } +foreach line [split $data '\n'] { + set lline [string tolower $line] + set lline [string trim $lline] + if {[string compare $lline "\[paths\]"] == 0} { set path 1; continue} + if {$path && [regexp {^\[} $lline]} {set path 0; break} + if {$path && [regexp {^bin} $lline]} {set cpld_bin $line; continue} + if {$path && [regexp {^fpgapath} $lline]} {set fpga_dir $line; continue} + if {$path && [regexp {^fpgabinpath} $lline]} {set fpga_bin $line}} + +set cpld_bin [string range $cpld_bin [expr [string first "=" $cpld_bin]+1] end] +regsub -all "\"" $cpld_bin "" cpld_bin +set cpld_bin [file join $cpld_bin] +set install_dir [string range $cpld_bin 0 [expr [string first "ispcpld" $cpld_bin]-2]] +regsub -all "\"" $install_dir "" install_dir +set install_dir [file join $install_dir] +set fpga_dir [string range $fpga_dir [expr [string first "=" $fpga_dir]+1] end] +regsub -all "\"" $fpga_dir "" fpga_dir +set fpga_dir [file join $fpga_dir] +set fpga_bin [string range $fpga_bin [expr [string first "=" $fpga_bin]+1] end] +regsub -all "\"" $fpga_bin "" fpga_bin +set fpga_bin [file join $fpga_bin] + +if {[string match "*$fpga_bin;*" $env(PATH)] == 0 } { + set env(PATH) "$fpga_bin;$env(PATH)" } + +if {[string match "*$cpld_bin;*" $env(PATH)] == 0 } { + set env(PATH) "$cpld_bin;$env(PATH)" } + +lappend auto_path [file join $install_dir "ispcpld" "tcltk" "lib" "ispwidget" "runproc"] +package require runcmd + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/14/16 23:42:20 ########### + + +########## Tcl recorder starts at 09/14/16 23:45:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/14/16 23:45:12 ########### + + +########## Tcl recorder starts at 09/14/16 23:45:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/14/16 23:45:12 ########### + + +########## Tcl recorder starts at 09/14/16 23:54:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/14/16 23:54:13 ########### + + +########## Tcl recorder starts at 09/14/16 23:54:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 09/14/16 23:54:13 ########### + diff --git a/Logic/68030_tk-25new.jed b/Logic/68030_tk-25new.jed new file mode 100644 index 0000000..2007ab6 --- /dev/null +++ b/Logic/68030_tk-25new.jed @@ -0,0 +1,1109 @@ +|--------------------------------------------| +|- ispLEVER Fitter Report File -| +|- Version 2.0.00.17.20.15 -| +|- (c)Copyright, Lattice Semiconductor 2002 -| +|--------------------------------------------| + + +TITLE: +AUTHOR: +PATTERN: +COMPANY: +REVISION: +DATE: Sat Sep 03 20:35:57 2016 + +ABEL mach447a + * +QP100* +QF54096* +G0*F0* +NOTE Part Number : M4A5-128/64-10VC * +NOTE Handling of Preplacements No Change * +NOTE Use placement data from 68030_tk.vct * +NOTE Global clocks routable as PT clocks? N * +NOTE 22V10/MACH1XX/2XX S/R Compatibility? Y * +NOTE SET/RESET treated as DONT_CARE? Y * +NOTE Reduce Unforced Global Clocks? N * +NOTE Iterate between partitioning and place/route? Y * +NOTE Balanced partitioning? Y * +NOTE Reduce Routes Per Placement? N * +NOTE Spread Placement? Y * +NOTE Run Time Upper Bound in 15 minutes 0 * +NOTE Zero Hold Time For Input Registers? Y * +NOTE Table of pin names and numbers* +NOTE PINS SIZE_0_:70 AHIGH_30_:5 SIZE_1_:79 AHIGH_29_:6 AHIGH_28_:15* +NOTE PINS AHIGH_31_:4 AHIGH_27_:16 AHIGH_26_:17 A_DECODE_23_:85* +NOTE PINS AHIGH_25_:18 AHIGH_24_:19 A_DECODE_22_:84 A_DECODE_21_:94* +NOTE PINS A_DECODE_20_:93 A_DECODE_19_:97 IPL_2_:68 A_DECODE_18_:95* +NOTE PINS A_DECODE_17_:59 FC_1_:58 A_DECODE_16_:96 AS_030:82* +NOTE PINS AS_000:42 DS_030:98 UDS_000:32 LDS_000:31 nEXP_SPACE:14* +NOTE PINS BERR:41 BG_030:21 BGACK_000:28 CLK_030:64 CLK_000:11* +NOTE PINS CLK_OSZI:61 CLK_DIV_OUT:65 FPU_CS:78 IPL_1_:56* +NOTE PINS FPU_SENSE:91 IPL_0_:67 FC_0_:57 DTACK:30 A_1_:60* +NOTE PINS AVEC:92 E:66 VPA:36 RST:86 RESET:3 AMIGA_ADDR_ENABLE:33* +NOTE PINS AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* +NOTE PINS CIIN:47 IPL_030_2_:9 RW_000:80 BG_000:29 BGACK_030:83* +NOTE PINS A_0_:69 IPL_030_1_:7 CLK_EXP:10 IPL_030_0_:8 DSACK1:81* +NOTE PINS VMA:35 RW:71 * +NOTE Table of node names and numbers* +NOTE NODES RN_SIZE_0_:263 RN_AHIGH_30_:125 RN_SIZE_1_:287 * +NOTE NODES RN_AHIGH_29_:137 RN_AHIGH_28_:149 RN_AHIGH_31_:143 * +NOTE NODES RN_AHIGH_27_:157 RN_AHIGH_26_:155 RN_AHIGH_25_:167 * +NOTE NODES RN_AHIGH_24_:161 RN_AS_030:281 RN_AS_000:203 * +NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 RN_IPL_030_2_:131 * +NOTE NODES RN_RW_000:269 RN_BG_000:175 RN_BGACK_030:275 * +NOTE NODES RN_A_0_:257 RN_IPL_030_1_:139 RN_IPL_030_0_:133 * +NOTE NODES RN_DSACK1:283 RN_VMA:173 RN_RW:245 cpu_est_3_:227 * +NOTE NODES cpu_est_0_:188 cpu_est_1_:187 cpu_est_2_:193 * +NOTE NODES inst_AS_000_INT:164 inst_AMIGA_BUS_ENABLE_DMA_LOW:170 * +NOTE NODES inst_AS_030_D0:209 inst_AS_030_000_SYNC:103 inst_BGACK_030_INT_D:205 * +NOTE NODES inst_AS_000_DMA:134 inst_DS_000_DMA:145 CYCLE_DMA_0_:182 * +NOTE NODES CYCLE_DMA_1_:176 SIZE_DMA_0_:259 SIZE_DMA_1_:253 * +NOTE NODES inst_VPA_D:109 CLK_000_D_1_:277 inst_DTACK_D0:224 * +NOTE NODES inst_RESET_OUT:113 CLK_000_D_0_:221 inst_CLK_OUT_PRE_50:248 * +NOTE NODES inst_CLK_OUT_PRE_25:158 inst_CLK_OUT_PRE_D:211 * +NOTE NODES IPL_D0_0_:200 IPL_D0_1_:260 IPL_D0_2_:254 CLK_000_D_2_:272 * +NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:152 inst_LDS_000_INT:169 * +NOTE NODES inst_DS_000_ENABLE:163 inst_UDS_000_INT:265 SM_AMIGA_6_:119 * +NOTE NODES SM_AMIGA_4_:223 SM_AMIGA_1_:239 SM_AMIGA_0_:289 * +NOTE NODES RST_DLY_0_:115 RST_DLY_1_:104 RST_DLY_2_:121 * +NOTE NODES inst_CLK_030_H:140 SM_AMIGA_5_:241 SM_AMIGA_3_:235 * +NOTE NODES SM_AMIGA_2_:229 SM_AMIGA_i_7_:233 CIIN_0:217 * +NOTE BLOCK 0 * +L000000 + 111111111111111111111111111111111111111111011111111111111111111111 + 111111111101111111111111111111111111111111111111111111111111111111 + 111111111111101111111111111111111111111110111111111111111111111111 + 111011111111111110111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111011 + 111111111111111111111111011111011111111111111111110111111111111111 + 111101110111111111111101111111111011011111111111111111111111111111 + 111111101111111111111111111011111111111111111110111111111111111111 + 101111111111111111010111111110111110111111110111111110111111111111* +L000594 + 000000000000000000000000000000000000000000000000000000000000000000* +L000660 111111111111111111111111111111111111111111101111111110111111111111* +L000726 000000000000000000000000000000000000000000000000000000000000000000* +L000792 000000000000000000000000000000000000000000000000000000000000000000* +L000858 000000000000000000000000000000000000000000000000000000000000000000* +L000924 000000000000000000000000000000000000000000000000000000000000000000* +L000990 011111111101111111101111111111111001111111111111111111111111111111* +L001056 011011011101111111111111111111111001111110111101111111111111111111* +L001122 011111011101111111111111011111111001111110111101111111111111111111* +L001188 011111010101111111111111111111111001111110111101111111111111111111* +L001254 011111011101111110111111111111111001111110111101111111111111111111* +L001320 + 111111111111111111111111111111111111111111111111111111111111111111* +L001386 011111111111111111111111111111111111111111110111111111111111111111* +L001452 011111011101111111111101111111111001111110111101111111111111111111* +L001518 011111011101101111111111111111111001111110111101111111111111111111* +L001584 000000000000000000000000000000000000000000000000000000000000000000* +L001650 000000000000000000000000000000000000000000000000000000000000000000* +L001716 011101111111111111111111110111111111111111111111111011111111111011* +L001782 011101111111111111111111110111111111111111111011111111111111111011* +L001848 000000000000000000000000000000000000000000000000000000000000000000* +L001914 000000000000000000000000000000000000000000000000000000000000000000* +L001980 000000000000000000000000000000000000000000000000000000000000000000* +L002046 + 000000000000000000000000000000000000000000000000000000000000000000* +L002112 111111111111111111111111111111111111111111111111111111111111111111* +L002178 111111111111111111111111111111111111111111111111111111111111111111* +L002244 111111111111111111111111111111111111111111111111111111111111111111* +L002310 111111111111111111111111111111111111111111111111111111111111111111* +L002376 111111111111111111111111111111111111111111111111111111111111111111* +L002442 011111111111111111111011111111111111111111111111111111111111111111* +L002508 111111111111111111111111111111111111111111111111111111111111111111* +L002574 111111111111111111111111111111111111111111111111111111111111111111* +L002640 111111111111111111111111111111111111111111111111111111111111111111* +L002706 111111111111111111111111111111111111111111111111111111111111111111* +L002772 + 000000000000000000000000000000000000000000000000000000000000000000* +L002838 111111111111111111111111111111111111111111111111111111111111111111* +L002904 111111111111111111111111111111111111111111111111111111111111111111* +L002970 111111111111111111111111111111111111111111111111111111111111111111* +L003036 111111111111111111111111111111111111111111111111111111111111111111* +L003102 111111111111111111111111111111111111111111111111111111111111111111* +L003168 111111111111111111111111111111111111111111111111111111111111111111* +L003234 111111111111111111111111111111111111111111111111111111111111111111* +L003300 111111111111111111111111111111111111111111111111111111111111111111* +L003366 111111111111111111111111111111111111111111111111111111111111111111* +L003432 111111111111111111111111111111111111111111111111111111111111111111* +L003498 + 000000000000000000000000000000000000000000000000000000000000000000* +L003564 011111111111111111111111111111111111011111111111111111111111111111* +L003630 011101111111111111111111110111111111111111110111110111111111111011* +L003696 000000000000000000000000000000000000000000000000000000000000000000* +L003762 000000000000000000000000000000000000000000000000000000000000000000* +L003828 000000000000000000000000000000000000000000000000000000000000000000* +L003894 011101111111111111111111111011111111111111111111111111111111111111* +L003960 011101111111111111111111111111111111111111111111111111111111110111* +L004026 011110111111111111111111110111111111111111111111111111111111111011* +L004092 011101111111111111111111111111111111111111110111110111111111111111* +L004158 000000000000000000000000000000000000000000000000000000000000000000* +L004224 + 000000000000000000000000000000000000000000000000000000000000000000* +L004290 111111111111111111111111111111111111111111111111111111111111111111* +L004356 111111111111111111111111111111111111111111111111111111111111111111* +L004422 111111111111111111111111111111111111111111111111111111111111111111* +L004488 111111111111111111111111111111111111111111111111111111111111111111* +L004554 111111111111111111111111111111111111111111111111111111111111111111* +L004620 111111111111111111111111111111111111111111111111111111111111111111* +L004686 111111111111111111111111111111111111111111111111111111111111111111* +L004752 111111111111111111111111111111111111111111111111111111111111111111* +L004818 111111111111111111111111111111111111111111111111111111111111111111* +L004884 111111111111111111111111111111111111111111111111111111111111111111* +L004950 + 000000000000000000000000000000000000000000000000000000000000000000* +L005016 011111111101111111101111111001111111111110111111111111111111111111* +L005082 011111111111111111111111110111011101111101111111111111111111111111* +L005148 011111111111111111111111111111011101111101111111111111111111111011* +L005214 000000000000000000000000000000000000000000000000000000000000000000* +L005280 000000000000000000000000000000000000000000000000000000000000000000* +L005346 011101111111111111111111110111111111111111110111111111111111111011* +L005412 011111111111111111111111111111111111111111111111110111111111111111* +L005478 000000000000000000000000000000000000000000000000000000000000000000* +L005544 000000000000000000000000000000000000000000000000000000000000000000* +L005610 000000000000000000000000000000000000000000000000000000000000000000* +L005676 + 111111111110111111111111111111111111011111111110111111111111111111* +L005742 111111111111111111111111111111111111111111111111111111111111111111* +L005808 111111111111111111111111111111111111111111111111111111111111111111* +L005874 111111111111111111111111111111111111111111111111111111111111111111* +L005940 111111111111111111111111111111111111111111111111111111111111111111* +L006006 111111111111111111111111111111111111111111111111111111111111111111* +L006072 111111111111111111111111111111111111111111111111111111111111111111* +L006138 111111111111111111111111111111111111111111111111111111111111111111* +L006204 111111111111111111111111111111111111111111111111111111111111111111* +L006270 111111111111111111111111111111111111111111111111111111111111111111* +L006336 111111111111111111111111111111111111111111111111111111111111111111* +L006402 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L006534 0010* +L006538 01100011111000* +L006552 11100110010011* +L006566 00110110010101* +L006580 11111011111111* +L006594 00110011111000* +L006608 01000110010010* +L006622 11010011110001* +L006636 11111111110011* +L006650 10100110010000* +L006664 10100110010011* +L006678 11011011110001* +L006692 11111111110011* +L006706 10100110010000* +L006720 10100110010011* +L006734 11010011110100* +L006748 11111011111110* +NOTE BLOCK 1 * +L006762 + 111111111111111111110111111111111111111110111111111111111111111111 + 111101111110111111111111111111010111111111111111111111111111111111 + 111111101111111111111101111111111111111111111011111111111110110111 + 101111111111111111111111111111111111111111111111111111111111011111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111101111111111111110111111011111111111011111111111111111 + 111111110111111101111111111111111111111111111110111111111111111111 + 111111111111111111111111111111111111111111101111111110100111111111* +L007356 + 111111111111111111111111111111111111111111111111111111111111111111* +L007422 000000000000000000000000000000000000000000000000000000000000000000* +L007488 000000000000000000000000000000000000000000000000000000000000000000* +L007554 000000000000000000000000000000000000000000000000000000000000000000* +L007620 000000000000000000000000000000000000000000000000000000000000000000* +L007686 000000000000000000000000000000000000000000000000000000000000000000* +L007752 111111111111111111111111111101111111111111111111111111111111111111* +L007818 000000000000000000000000000000000000000000000000000000000000000000* +L007884 000000000000000000000000000000000000000000000000000000000000000000* +L007950 000000000000000000000000000000000000000000000000000000000000000000* +L008016 000000000000000000000000000000000000000000000000000000000000000000* +L008082 + 111111111111111111111111111111111111111111111111111111111111111111* +L008148 000000000000000000000000000000000000000000000000000000000000000000* +L008214 111111111111111111111111111111111111111111111111111111111111111111* +L008280 111111111111111111111111111111111111111111111111111111111111111111* +L008346 111111111111111111111111111111111111111111111111111111111111111111* +L008412 111111111111111111111111111111111111111111111111111111111111111111* +L008478 011111011101111111111111111111111111111111011011111111011110111111* +L008544 101111011101111111111111111111111111111111011011111111101110111111* +L008610 011111101110111111111111111111111111111111011011111111011110111111* +L008676 101111101110111111111111111111111111111111011011111111101110111111* +L008742 111111111111111111111111111111111111111111011011111111111111101111* +L008808 + 111111111111111111111111111111111111111111111111111111111111111111* +L008874 101111111111111111111111111111111111111111011111111111011111101111* +L008940 011111111111111111111111111111111111111111011111111111101111101111* +L009006 111111101101111111111111111111111111111111011111111111111111101111* +L009072 111111011110111111111111111111111111111111011111111111111111101111* +L009138 111111111111111111111111111111111111111111011111111111111110101111* +L009204 101111011101111111111111111111111111111111011011111111101110111111* +L009270 101111101110111111111111111111111111111111011011111111101110111111* +L009336 101111101110111111111111111111111111111111010111111111101101111111* +L009402 101111011101111111111111111111111111111111010111111111101101111111* +L009468 101111111111111111111111111111111111111111011111111111111111111011* +L009534 + 111111111111111111111111111111111111111111111111111111111111111111* +L009600 111111111111111111111111111111111111111111101111111111111111111111* +L009666 111111111111111111111111111111111111111111111101111111111111111111* +L009732 111111111111111111111101111111111111111110111111111111111111111111* +L009798 111111110111111111111111111111111111111111111111111111110111111111* +L009864 111111111011111111111111111111111111111111111111111111111011111111* +L009930 111111111111111111111111111111111111111111011111111111101111111011* +L009996 111111101101111111111111111111111111111111011111111111111111111011* +L010062 111111011110111111111111111111111111111111011111111111111111111011* +L010128 111111111111111111111111111111111111111111011011111111111101111011* +L010194 111111111111111111111111111111111111111111010111111111111110111011* +L010260 + 111111111111111111111111111111101111011111111110111111111111111111* +L010326 000000000000000000000000000000000000000000000000000000000000000000* +L010392 111111111111111111111111111111111111111111111111111101111111111111* +L010458 111111111111111101111111111111111111111111111111011111111111111111* +L010524 000000000000000000000000000000000000000000000000000000000000000000* +L010590 000000000000000000000000000000000000000000000000000000000000000000* +L010656 011111101110111111111111111111111111111111011011111111011110111111* +L010722 101111101110111111111111111111111111111111011011111111101110111111* +L010788 011111101110111111111111111111111111111111010111111111011101111111* +L010854 101111101110111111111111111111111111111111010111111111101101111111* +L010920 111111101111111111111111111111111011111111011111111111111111111111* +L010986 + 111111111111111111111111111111101111011111111110111111111111111111* +L011052 111111111011111110111110111111111111111110011110111110110111111111* +L011118 111111110111111110111110111111111111111110011110111110111011111111* +L011184 111101111011111110111111111111111111111111011110111110110111111111* +L011250 111101110111111110111111111111111111111111011110111110111011111111* +L011316 111111111011111111111110111111111111111110011110101110110111111111* +L011382 101111111111111111111111111111111011111111011111111111011111111111* +L011448 011111111111111111111111111111111011111111011111111111101111111111* +L011514 111111111110111111111111111111111011111111011111111111111111111111* +L011580 111111111111111111111111111111111011111111011011111111111101111111* +L011646 111111111111111111111111111111111011111111010111111111111110111111* +L011712 + 111111111111111111111111111111101111011111111110111111111111111111* +L011778 000000000000000000000000000000000000000000000000000000000000000000* +L011844 111111110111111111111110111111111111111110011110101110111011111111* +L011910 111101111011111111111111111111111111111111011110101110110111111111* +L011976 111101110111111111111111111111111111111111011110101110111011111111* +L012042 000000000000000000000000000000000000000000000000000000000000000000* +L012108 111111111111111111111111111111111111111111101111111111111111111111* +L012174 111111111111111111111111111111111111111111111101111111111111111111* +L012240 111111110111111111111111111111111111111111111111111111110111111111* +L012306 111111111011111111111111111111111111111111111111111111111011111111* +L012372 111111111111111111111111111111111111111111111111111101111111111111* +L012438 + 111111111111111111111111111111111111101111111111111111111111111111* +L012504 111111111111111101111111111111111111111111111111011111111111111111* +L012570 111111111111101111110111111111111111111110111111111111111111111111* +L012636 111101111111101111111101111111111111111101111111111111111111111111* +L012702 111110111111101111110111111111111111111111111111111111111111111111* +L012768 000000000000000000000000000000000000000000000000000000000000000000* +L012834 111111111111111111111111111111111111111111111111111111111111111111* +L012900 111111111111111111111111111111111111111111111111111111111111111111* +L012966 111111111111111111111111111111111111111111111111111111111111111111* +L013032 111111111111111111111111111111111111111111111111111111111111111111* +L013098 111111111111111111111111111111111111111111111111111111111111111111* +L013164 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L013296 0010* +L013300 00100011111000* +L013314 00100110011111* +L013328 00010011110101* +L013342 11011111111111* +L013356 11100110011000* +L013370 11100110011111* +L013384 10100110011100* +L013398 11001011111110* +L013412 00000011110000* +L013426 11100110010011* +L013440 10100110010111* +L013454 11001011110011* +L013468 00001111110000* +L013482 10100110010010* +L013496 11110011110011* +L013510 11111011111111* +NOTE BLOCK 2 * +L013524 + 111111111111110111111101111111111111111111111111111111111111111111 + 111111111111111101111111110111011110111111111111111011111111111111 + 101111111111111111111111111111111111111111111111111111011111111111 + 111101111111111111111111111111111111111111111110111111111111111111 + 111111101110111111111011111111111111111111111111111111111111111111 + 111111111111101111011111111111111111111111111111111111111111111111 + 111111111111111111111111111111111011011111111111111111111111111111 + 111111111011111111111111111110111111111110111111111111111111111111 + 111011111111111111111111111111111111111111101111111111111111111111* +L014118 + 111111111111111111111111111111101111011110111111111111111111111111* +L014184 000000000000000000000000000000000000000000000000000000000000000000* +L014250 000000000000000000000000000000000000000000000000000000000000000000* +L014316 000000000000000000000000000000000000000000000000000000000000000000* +L014382 000000000000000000000000000000000000000000000000000000000000000000* +L014448 000000000000000000000000000000000000000000000000000000000000000000* +L014514 111111111111111011111111111111111111111110111111111111111111111111* +L014580 000000000000000000000000000000000000000000000000000000000000000000* +L014646 000000000000000000000000000000000000000000000000000000000000000000* +L014712 000000000000000000000000000000000000000000000000000000000000000000* +L014778 000000000000000000000000000000000000000000000000000000000000000000* +L014844 + 111111111111111111111111111111101111011110111111111111111111111111* +L014910 111111101111111111111111111111111111111110011111111111111111111111* +L014976 111110111111111111111111111101111111111101011111111111111111111111* +L015042 000000000000000000000000000000000000000000000000000000000000000000* +L015108 000000000000000000000000000000000000000000000000000000000000000000* +L015174 000000000000000000000000000000000000000000000000000000000000000000* +L015240 111111111111111111111111111111111111111111111111111111111111111111* +L015306 111111111111111111111111111111111111111111111111111111111111111111* +L015372 111111111111111111111111111111111111111111111111111111111111111111* +L015438 111111111111111111111111111111111111111111111111111111111111111111* +L015504 111111111111111111111111111111111111111111111111111111111111111111* +L015570 + 111111111111111111111111111111101111011110111111111111111111111111* +L015636 000000000000000000000000000000000000000000000000000000000000000000* +L015702 111111111111111111111111111111111111111111111111111111111111111111* +L015768 111111111111111111111111111111111111111111111111111111111111111111* +L015834 111111111111111111111111111111111111111111111111111111111111111111* +L015900 111111111111111111111111111111111111111111111111111111111111111111* +L015966 000000000000000000000000000000000000000000000000000000000000000000* +L016032 111111111111111111111111111111111111111111111111111111111111111111* +L016098 111111111111111111111111111111111111111111111111111111111111111111* +L016164 111111111111111111111111111111111111111111111111111111111111111111* +L016230 111111111111111111111111111111111111111111111111111111111111111111* +L016296 + 111111111111111111111111111111101111011110111111111111111111111111* +L016362 111111111111111111111111111111111111111111111110111111011111111111* +L016428 111111111111111111111111111111111111111111111101111111101111111111* +L016494 000000000000000000000000000000000000000000000000000000000000000000* +L016560 000000000000000000000000000000000000000000000000000000000000000000* +L016626 000000000000000000000000000000000000000000000000000000000000000000* +L016692 111111111111111111111111111111111111111111111111111111111111111111* +L016758 111111111111111111111111111111111111111111111111111111111111111111* +L016824 111111111111111111111111111111111111111111111111111111111111111111* +L016890 111111111111111111111111111111111111111111111111111111111111111111* +L016956 111111111111111111111111111111111111111111111111111111111111111111* +L017022 + 111111111111111111111111111111101111011110111111111111111111111111* +L017088 000000000000000000000000000000000000000000000000000000000000000000* +L017154 111111111111111111111111111111111111111111111111111111111111111111* +L017220 111111111111111111111111111111111111111111111111111111111111111111* +L017286 111111111111111111111111111111111111111111111111111111111111111111* +L017352 111111111111111111111111111111111111111111111111111111111111111111* +L017418 111111111001111111110111111111111111111111011111111111111111111111* +L017484 110111111111111111111111110111011011111111011111111111111111111111* +L017550 111111111001111111011111111111111111111111011111110111111111111111* +L017616 000000000000000000000000000000000000000000000000000000000000000000* +L017682 000000000000000000000000000000000000000000000000000000000000000000* +L017748 + 111111111111111111111111111111111111111111111111111111111111111111* +L017814 111111111001111111011111111111111111111111011111111111111111111111* +L017880 110111111111111110111111111111011011111111011111111111111111111111* +L017946 000000000000000000000000000000000000000000000000000000000000000000* +L018012 000000000000000000000000000000000000000000000000000000000000000000* +L018078 000000000000000000000000000000000000000000000000000000000000000000* +L018144 111111111111111111111111111111111111111111111111111111111111111111* +L018210 111111111111111111111111111111111111111111111111111111111111111111* +L018276 111111111111111111111111111111111111111111111111111111111111111111* +L018342 111111111111111111111111111111111111111111111111111111111111111111* +L018408 111111111111111111111111111111111111111111111111111111111111111111* +L018474 + 000000000000000000000000000000000000000000000000000000000000000000* +L018540 000000000000000000000000000000000000000000000000000000000000000000* +L018606 111111111111111111111111111111111111111111111111111111111111111111* +L018672 111111111111111111111111111111111111111111111111111111111111111111* +L018738 111111111111111111111111111111111111111111111111111111111111111111* +L018804 111111111111111111111111111111111111111111111111111111111111111111* +L018870 111111111111111111111111111111111111111111101111111111111111111111* +L018936 111111111111111111101101111111111111111111111111111111111111111111* +L019002 101111111111101111011111111111111101111111111111111111111111111111* +L019068 000000000000000000000000000000000000000000000000000000000000000000* +L019134 000000000000000000000000000000000000000000000000000000000000000000* +L019200 + 000000000000000000000000000000000000000000000000000000000000000000* +L019266 111111011111111111111111111111111111111110011111111111111111111111* +L019332 111111111111111011111111111101111111111101011111111111111111111111* +L019398 000000000000000000000000000000000000000000000000000000000000000000* +L019464 000000000000000000000000000000000000000000000000000000000000000000* +L019530 000000000000000000000000000000000000000000000000000000000000000000* +L019596 111111111111111111111111111111111111111111111111111111111111111111* +L019662 111111111111111111111111111111111111111111111111111111111111111111* +L019728 111111111111111111111111111111111111111111111111111111111111111111* +L019794 111111111111111111111111111111111111111111111111111111111111111111* +L019860 111111111111111111111111111111111111111111111111111111111111111111* +L019926 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L020058 0010* +L020062 00100011110000* +L020076 01101111110011* +L020090 11100110011100* +L020104 11101111110010* +L020118 00111011110000* +L020132 00000011110011* +L020146 10100110010110* +L020160 11100011110010* +L020174 00111111110001* +L020188 10100110010011* +L020202 11100110011110* +L020216 11100011111111* +L020230 00111011111001* +L020244 10100110010011* +L020258 11100110010000* +L020272 11101111111111* +NOTE BLOCK 3 * +L020286 + 111111111111111111101101111111111111111111111111111111111111111111 + 111111010101111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111011111111111111111111110111111111111111111111 + 111111111111111111111111111111111111111111111111111011111111111111 + 111111111111111111111111111111111111111101011111111111111111111111 + 111111111111110110111111111111111111111111111111111111111111011111 + 111111111111111111111111111011110111011111111110111111111111111111 + 100101111111111111111111011111111111111111111111111110110111111111* +L020880 + 111111111111111111111111111111111111111111111111111111111111111111* +L020946 101011111111111111111111111111111111111111111111111111111111111111* +L021012 111011111111111011111011111011111111111110101111110111111111111111* +L021078 010111111111110111111011110111111111101101101111111011111111111111* +L021144 000000000000000000000000000000000000000000000000000000000000000000* +L021210 000000000000000000000000000000000000000000000000000000000000000000* +L021276 011111111001111101111111111111111111111111111111110111111111111111* +L021342 011110111011111111111111111111111111111111111111111111111111111111* +L021408 000000000000000000000000000000000000000000000000000000000000000000* +L021474 000000000000000000000000000000000000000000000000000000000000000000* +L021540 000000000000000000000000000000000000000000000000000000000000000000* +L021606 + 111111111111111111111111111111111111111111111111111111111111111111* +L021672 011111111111111111111111111111111011111111111110111110110111111111* +L021738 011111111111111111111111110111111111111111111110111110110111111111* +L021804 011111111111111111111111111011110111111111111110110110111011111111* +L021870 011111111111111111111111111111111111111111111110111010110111111111* +L021936 000000000000000000000000000000000000000000000000000000000000000000* +L022002 111111111111111111111111111111111111111111111111111111111111111111* +L022068 111111111111111111111111111111111111111111111111111111111111111111* +L022134 111111111111111111111111111111111111111111111111111111111111111111* +L022200 111111111111111111111111111111111111111111111111111111111111111111* +L022266 111111111111111111111111111111111111111111111111111111111111111111* +L022332 + 111111111111111111111111111111111111111111111111111111111111111111* +L022398 111111111111111111111111011111111111111111111101111111111111111111* +L022464 111111111111111111111111111111111111111111110110111111111111111111* +L022530 000000000000000000000000000000000000000000000000000000000000000000* +L022596 000000000000000000000000000000000000000000000000000000000000000000* +L022662 000000000000000000000000000000000000000000000000000000000000000000* +L022728 000000000000000000000000000000000000000000000000000000000000000000* +L022794 111111111111111111111111111111111111111111111111111111111111111111* +L022860 111111111111111111111111111111111111111111111111111111111111111111* +L022926 111111111111111111111111111111111111111111111111111111111111111111* +L022992 111111111111111111111111111111111111111111111111111111111111111111* +L023058 + 111111111111111111111111111111111111111111111101111111111111011111* +L023124 011111111111111111111111110111110111111111111110111110111111111111* +L023190 011111111111111111111111111011111011111111111110110110111111111111* +L023256 011111111111111111111111111111110111111111111110111010111111111111* +L023322 000000000000000000000000000000000000000000000000000000000000000000* +L023388 000000000000000000000000000000000000000000000000000000000000000000* +L023454 111111111111111111111111111111111111111111111111111111111111111111* +L023520 111111111111111111111111111111111111111111111111111111111111111111* +L023586 111111111111111111111111111111111111111111111111111111111111111111* +L023652 111111111111111111111111111111111111111111111111111111111111111111* +L023718 111111111111111111111111111111111111111111111111111111111111111111* +L023784 + 111111111111111111111111111111111111111111111101111111111111011111* +L023850 111111011111111111101111111111111111111111111111111111111111111111* +L023916 111111111111111111111111111111111111111111111111111111111111111111* +L023982 111111111111111111111111111111111111111111111111111111111111111111* +L024048 111111111111111111111111111111111111111111111111111111111111111111* +L024114 111111111111111111111111111111111111111111111111111111111111111111* +L024180 111111111111110111111111111111111111111110111111111111111111111111* +L024246 111111111111110111111111111011111111111111111111111111111111111111* +L024312 111111111111110111111111111111111111111111111111110111111111111111* +L024378 111111111111111011111011110111111111111101111111111011111111111111* +L024444 000000000000000000000000000000000000000000000000000000000000000000* +L024510 + 000000000000000000000000000000000000000000000000000000000000000000* +L024576 111111111111111111111111111011111111111101111111111111111111111111* +L024642 111111111111111111111111111111111111111101111111110111111111111111* +L024708 111111111111111111111111110111111111111110111111111011111111111111* +L024774 000000000000000000000000000000000000000000000000000000000000000000* +L024840 000000000000000000000000000000000000000000000000000000000000000000* +L024906 111111111111111111111111111111111111111111111111111111111111111111* +L024972 111111111111111111111111111111111111111111111111111111111111111111* +L025038 111111111111111111111111111111111111111111111111111111111111111111* +L025104 111111111111111111111111111111111111111111111111111111111111111111* +L025170 111111111111111111111111111111111111111111111111111111111111111111* +L025236 + 111111111111111111111111111111111111111111111111111111111111111111* +L025302 111111011111111111111110111111111111111111111111111111111111111111* +L025368 111111111111111111111111111111111111111111111111111111111111111111* +L025434 111111111111111111111111111111111111111111111111111111111111111111* +L025500 111111111111111111111111111111111111111111111111111111111111111111* +L025566 111111111111111111111111111111111111111111111111111111111111111111* +L025632 111111111111111111111111111111111111111111011111111111111111111111* +L025698 111111111111110111111111110111111111111101111111111011111111111111* +L025764 000000000000000000000000000000000000000000000000000000000000000000* +L025830 000000000000000000000000000000000000000000000000000000000000000000* +L025896 000000000000000000000000000000000000000000000000000000000000000000* +L025962 + 000000000000000000000000000000000000000000000000000000000000000000* +L026028 111111111111111111111111111111111111111111111111111111111111111111* +L026094 111111111111111111111111111111111111111111111111111111111111111111* +L026160 111111111111111111111111111111111111111111111111111111111111111111* +L026226 111111111111111111111111111111111111111111111111111111111111111111* +L026292 111111111111111111111111111111111111111111111111111111111111111111* +L026358 111111111111111111111111111111111111111111111111111111111111111111* +L026424 111111111111111111111111111111111111111111111111111111111111111111* +L026490 111111111111111111111111111111111111111111111111111111111111111111* +L026556 111111111111111111111111111111111111111111111111111111111111111111* +L026622 111111111111111111111111111111111111111111111111111111111111111111* +L026688 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L026820 0010* +L026824 10100111010000* +L026838 11100110011110* +L026852 10100110010100* +L026866 11100011111111* +L026880 10101111111001* +L026894 00001011111111* +L026908 10100110010100* +L026922 11101011110011* +L026936 01110011110010* +L026950 10100110010010* +L026964 10100110010001* +L026978 11101011110011* +L026992 01111111111010* +L027006 00100110011110* +L027020 11010011110001* +L027034 11111011110011* +NOTE BLOCK 4 * +L027048 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111101111111111111011111111111111111110111110111111111 + 111111110111101111011111111101111111111111110111111111111111111111 + 101011011111111111111111111111111101111111111111111111101111111111 + 111111111111110111111111111111111111111111111111111111111111111111 + 111111111111111111111111011011111111011111111111111111111111111111 + 111111111111111111111111111111111011111111101111111101111111010111 + 111111111101111111111111111111111111111011111110111111111101111111 + 111110111111111111111101111111111111111110111111101111111111111110* +L027642 + 110111111111011111111101101111111111011011111111111110011111111011* +L027708 000000000000000000000000000000000000000000000000000000000000000000* +L027774 000000000000000000000000000000000000000000000000000000000000000000* +L027840 000000000000000000000000000000000000000000000000000000000000000000* +L027906 000000000000000000000000000000000000000000000000000000000000000000* +L027972 000000000000000000000000000000000000000000000000000000000000000000* +L028038 111110111111111111111111111111101111111111011110111111111111111111* +L028104 111111111111111111111111111111111111111111101101111111111111111111* +L028170 000000000000000000000000000000000000000000000000000000000000000000* +L028236 000000000000000000000000000000000000000000000000000000000000000000* +L028302 000000000000000000000000000000000000000000000000000000000000000000* +L028368 + 111111111111111111111111111111111111111111111101111111111111011111* +L028434 101111111111111111111111111111111111111111111111011111111111111111* +L028500 111111111111111111111111111111111111111111111111111111111111111111* +L028566 111111111111111111111111111111111111111111111111111111111111111111* +L028632 111111111111111111111111111111111111111111111111111111111111111111* +L028698 111111111111111111111111111111111111111111111111111111111111111111* +L028764 111111111111111111111111111111111111111111111111111111111111111111* +L028830 111111111111111111111111111111111111111111111111111111111111111111* +L028896 111111111111111111111111111111111111111111111111111111111111111111* +L028962 111111111111111111111111111111111111111111111111111111111111111111* +L029028 111111111111111111111111111111111111111111111111111111111111111111* +L029094 + 000000000000000000000000000000000000000000000000000000000000000000* +L029160 111111111111111110111111111111111111111011111111111111111111111111* +L029226 111111111111111111111111111111111111111111111111111111111111111111* +L029292 111111111111111111111111111111111111111111111111111111111111111111* +L029358 111111111111111111111111111111111111111111111111111111111111111111* +L029424 111111111111111111111111111111111111111111111111111111111111111111* +L029490 111111111111111111111111111111111111111111111110011111111111111111* +L029556 111111111111111111111111111111111111111111111111111111111111111111* +L029622 111111111111111111111111111111111111111111111111111111111111111111* +L029688 111111111111111111111111111111111111111111111111111111111111111111* +L029754 111111111111111111111111111111111111111111111111111111111111111111* +L029820 + 000000000000000000000000000000000000000000000000000000000000000000* +L029886 111111111111111111111111111111111111111111111111111111111111111111* +L029952 111111111111111111111111111111111111111111111111111111111111111111* +L030018 111111111111111111111111111111111111111111111111111111111111111111* +L030084 111111111111111111111111111111111111111111111111111111111111111111* +L030150 111111111111111111111111111111111111111111111111111111111111111111* +L030216 111111111111111111111111111111111111111111111111111111111111111111* +L030282 111111111111111111111111111111111111111111111111111111111111111111* +L030348 111111111111111111111111111111111111111111111111111111111111111111* +L030414 111111111111111111111111111111111111111111111111111111111111111111* +L030480 111111111111111111111111111111111111111111111111111111111111111111* +L030546 + 000000000000000000000000000000000000000000000000000000000000000000* +L030612 111111111111111111111111111111111111111011111111011111111111111111* +L030678 111111111111111111111111111111111111111111111111111111111111111111* +L030744 111111111111111111111111111111111111111111111111111111111111111111* +L030810 111111111111111111111111111111111111111111111111111111111111111111* +L030876 111111111111111111111111111111111111111111111111111111111111111111* +L030942 111111111111111111011111111111111111111111111111111111111111111111* +L031008 111111111111111111111111111111111111111111111111111111111111111111* +L031074 111111111111111111111111111111111111111111111111111111111111111111* +L031140 111111111111111111111111111111111111111111111111111111111111111111* +L031206 111111111111111111111111111111111111111111111111111111111111111111* +L031272 + 000000000000000000000000000000000000000000000000000000000000000000* +L031338 111111111111111111111111111111111111111111111111111111111111111111* +L031404 111111111111111111111111111111111111111111111111111111111111111111* +L031470 111111111111111111111111111111111111111111111111111111111111111111* +L031536 111111111111111111111111111111111111111111111111111111111111111111* +L031602 111111111111111111111111111111111111111111111111111111111111111111* +L031668 111111111111111111111111111111111111111111111111111111111111111111* +L031734 111111111111111111111111111111111111111111111111111111111111111111* +L031800 111111111111111111111111111111111111111111111111111111111111111111* +L031866 111111111111111111111111111111111111111111111111111111111111111111* +L031932 111111111111111111111111111111111111111111111111111111111111111111* +L031998 + 111111111111111111111111110111111111111111111111111111111111111111* +L032064 111111101001111011111111111110111010111101111011111011111001111101* +L032130 111111111111111111111111111111111111111111111111111111111111111111* +L032196 111111111111111111111111111111111111111111111111111111111111111111* +L032262 111111111111111111111111111111111111111111111111111111111111111111* +L032328 111111111111111111111111111111111111111111111111111111111111111111* +L032394 111111101001111011111111111110111010111101111011111011111001111101* +L032460 111111111111111111111111111111011111111111111111111111111111111111* +L032526 000000000000000000000000000000000000000000000000000000000000000000* +L032592 000000000000000000000000000000000000000000000000000000000000000000* +L032658 000000000000000000000000000000000000000000000000000000000000000000* +L032724 + 111111111111111111111111111111111111111111111111111111111111111111* +L032790 111111111111111111111111111111111111111111111111111111111111111111* +L032856 111111111111111111111111111111111111111111111111111111111111111111* +L032922 111111111111111111111111111111111111111111111111111111111111111111* +L032988 111111111111111111111111111111111111111111111111111111111111111111* +L033054 111111111111111111111111111111111111111111111111111111111111111111* +L033120 111111111111111111111111111111111111111111111111111111111111111111* +L033186 111111111111111111111111111111111111111111111111111111111111111111* +L033252 111111111111111111111111111111111111111111111111111111111111111111* +L033318 111111111111111111111111111111111111111111111111111111111111111111* +L033384 111111111111111111111111111111111111111111111111111111111111111111* +L033450 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L033582 0010* +L033586 00100011110000* +L033600 10101111110011* +L033614 01010110010100* +L033628 11101111110010* +L033642 01111011111000* +L033656 01000110011111* +L033670 11011011110000* +L033684 11110011111110* +L033698 01110110010001* +L033712 00000110011111* +L033726 11010111110000* +L033740 11111111111110* +L033754 00110011110001* +L033768 10101011111111* +L033782 11010111111100* +L033796 11111111111111* +NOTE BLOCK 5 * +L033810 + 111111011111101111111111111111111110111111111111111111111111111111 + 111111111101111111111111111111111111101111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111101111111111 + 111111111111111111111111111111101111111111111111111111111110111111 + 111111111111111111111011111111111111111111111111111011111111111111 + 111111111111111011111111111111111111111101011111011111111111111111 + 111111111111111111111111011101111111111111111111111111111111111111 + 111101111111111111111111111011111111111111111111111111111111111111 + 101011111011111111011111111111111111111111111111111101111111111111* +L034404 + 000000000000000000000000000000000000000000000000000000000000000000* +L034470 111111011111111111111111111111111111111111111111111111111111111111* +L034536 000000000000000000000000000000000000000000000000000000000000000000* +L034602 000000000000000000000000000000000000000000000000000000000000000000* +L034668 000000000000000000000000000000000000000000000000000000000000000000* +L034734 000000000000000000000000000000000000000000000000000000000000000000* +L034800 011111111111011111111111110111111111111111111111111011111111111111* +L034866 010111111111111111110111110111111111111111111111111111111111111111* +L034932 010111111111111111110111111111111111111111111111111011111111111111* +L034998 000000000000000000000000000000000000000000000000000000000000000000* +L035064 000000000000000000000000000000000000000000000000000000000000000000* +L035130 + 000000000000000000000000000000000000000000000000000000000000000000* +L035196 011111111111111111111111111110111111111111111111111111111111111111* +L035262 111111111111111111111111111111111111111111111111111111111111111111* +L035328 111111111111111111111111111111111111111111111111111111111111111111* +L035394 111111111111111111111111111111111111111111111111111111111111111111* +L035460 111111111111111111111111111111111111111111111111111111111111111111* +L035526 111111111111111111111111111111111111111111111111111111111111111111* +L035592 111111111111111111111111111111111111111111111111111111111111111111* +L035658 111111111111111111111111111111111111111111111111111111111111111111* +L035724 111111111111111111111111111111111111111111111111111111111111111111* +L035790 111111111111111111111111111111111111111111111111111111111111111111* +L035856 + 000000000000000000000000000000000000000000000000000000000000000000* +L035922 111111111111111111111111111111111111111110101111111111111101111111* +L035988 111111111111111111111111111011111111111111111111111111111101111111* +L036054 111111111111111111111111111111111111111111111111110111111101111111* +L036120 111111111111111111111111010111111111111101011111111011111111111111* +L036186 000000000000000000000000000000000000000000000000000000000000000000* +L036252 011110111111111111111111100111111111011110101111111010111101111111* +L036318 011101111111111111111111110111101111011111111111111011111111111111* +L036384 010111111111111111111111110111111111111111111111111111011111111111* +L036450 010111111111111111111111111111111111111111111111111011011111111111* +L036516 000000000000000000000000000000000000000000000000000000000000000000* +L036582 + 000000000000000000000000000000000000000000000000000000000000000000* +L036648 111111111111111111111111111111111111111111111111111111111111111111* +L036714 111111111111111111111111111111111111111111111111111111111111111111* +L036780 111111111111111111111111111111111111111111111111111111111111111111* +L036846 111111111111111111111111111111111111111111111111111111111111111111* +L036912 111111111111111111111111111111111111111111111111111111111111111111* +L036978 011011111111111111110111111011111111111111111111110111111111111111* +L037044 010111111111100111111011111011111110101111111111100111101111111111* +L037110 010111111110101011111011111111111110101111111111101111101111111111* +L037176 010111111111101011011011111111111110101111111111101111101111111111* +L037242 010111111111101011111011110111111110101111111111101111101111111111* +L037308 + 000000000000000000000000000000000000000000000000000000000000000000* +L037374 010111111111111111111111111111111111111111111111111111111111111111* +L037440 010111111011101011111011111111111110101111111111101111101111111111* +L037506 011011111111111111111111111011111111111111111111010111111111111111* +L037572 011011111111111111111111110111111101111111111111111011111111111111* +L037638 011011111111011111111111110111111111111111111111111011111111111111* +L037704 010111111111111111111111111111111111011111111111111111111111111111* +L037770 011111111111111111110111111011111111101111111111110111111111111111* +L037836 010110111111111111111111100111111111011110101111111010111101111111* +L037902 010101111111111111111111110111101111011111111111111011111111111111* +L037968 011011111111111111110111111011111111111111111111110111111111111111* +L038034 + 000000000000000000000000000000000000000000000000000000000000000000* +L038100 011010111111111111111111100111111111011110101111111010111101111111* +L038166 011001111111111111111111110111101111011111111111111011111111111111* +L038232 011011111111111111111111111011111111111111111111110111011111111111* +L038298 011011110101101011101011111011111110101111111111101111101111111111* +L038364 000000000000000000000000000000000000000000000000000000000000000000* +L038430 111111111111111111111111111111111111111111111111111111111111111111* +L038496 111111111111111111111111111111111111111111111111111111111111111111* +L038562 111111111111111111111111111111111111111111111111111111111111111111* +L038628 111111111111111111111111111111111111111111111111111111111111111111* +L038694 111111111111111111111111111111111111111111111111111111111111111111* +L038760 + 000000000000000000000000000000000000000000000000000000000000000000* +L038826 011111111111111111111111111011111111111111111111110111011111111111* +L038892 010111111111111111111111111011111101111111111111111111111111111111* +L038958 010111111111111111111111111111111101111111111111110111111111111111* +L039024 000000000000000000000000000000000000000000000000000000000000000000* +L039090 000000000000000000000000000000000000000000000000000000000000000000* +L039156 011111111111111111111111111011111111111111111111010111111111111111* +L039222 010111111111011111111111111011111111111111111111111111111111111111* +L039288 010111111111011111111111111111111111111111111111110111111111111111* +L039354 000000000000000000000000000000000000000000000000000000000000000000* +L039420 000000000000000000000000000000000000000000000000000000000000000000* +L039486 + 000000000000000000000000000000000000000000000000000000000000000000* +L039552 111111111111111111111111111111111111111111111111111111111111111111* +L039618 111111111111111111111111111111111111111111111111111111111111111111* +L039684 111111111111111111111111111111111111111111111111111111111111111111* +L039750 111111111111111111111111111111111111111111111111111111111111111111* +L039816 111111111111111111111111111111111111111111111111111111111111111111* +L039882 111111111111111111111111111111111111111111111111111111111111111111* +L039948 111111111111111111111111111111111111111111111111111111111111111111* +L040014 111111111111111111111111111111111111111111111111111111111111111111* +L040080 111111111111111111111111111111111111111111111111111111111111111111* +L040146 111111111111111111111111111111111111111111111111111111111111111111* +L040212 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L040344 0010* +L040348 00100110011110* +L040362 10100110010010* +L040376 01010110011110* +L040390 11100011110011* +L040404 10100110011111* +L040418 10100110010011* +L040432 11011011111110* +L040446 11010011110011* +L040460 00100110011111* +L040474 00100110010011* +L040488 11001111111110* +L040502 11110011111110* +L040516 10100110011111* +L040530 10100110011111* +L040544 11011011111110* +L040558 11111111111111* +NOTE BLOCK 6 * +L040572 + 111111111111111111101111111111111111111111111111111111111111111111 + 111111111101111111111111101111111111111111111111111111111111111111 + 101111101011111111111011111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111010111111111110111111 + 111111111111111111111111111111111110111111111111111111111111111111 + 110111111111111111111111111111011111111111111111111111111111111111 + 111110111111100111111111111111111111011111111111011111111111111111 + 111111111111111101111111111110111111111110111111111111111111111111 + 111111111111111111111111111111111111111111101111111111111111111111* +L041166 + 111111111111111111111111111111111111111111111111111111111111111111* +L041232 111111111111111111111111111101111110111101011111111111111111111111* +L041298 111111111111101111111111111111111111111110011111111111111111111111* +L041364 000000000000000000000000000000000000000000000000000000000000000000* +L041430 000000000000000000000000000000000000000000000000000000000000000000* +L041496 000000000000000000000000000000000000000000000000000000000000000000* +L041562 111101111111111111111111111111111111111111111111111111111111111111* +L041628 000000000000000000000000000000000000000000000000000000000000000000* +L041694 000000000000000000000000000000000000000000000000000000000000000000* +L041760 000000000000000000000000000000000000000000000000000000000000000000* +L041826 000000000000000000000000000000000000000000000000000000000000000000* +L041892 + 111111111111111111111111111111111111111111111111111111111111111111* +L041958 111111111111111111111111111111111111111111111110111111111111111111* +L042024 111111111111111111111111111111111111111111111111111111111111111111* +L042090 111111111111111111111111111111111111111111111111111111111111111111* +L042156 111111111111111111111111111111111111111111111111111111111111111111* +L042222 111111111111111111111111111111111111111111111111111111111111111111* +L042288 111111111111111111111111111111111111111111111111111111111111111111* +L042354 111111111111111111111111111111111111111111111111111111111111111111* +L042420 111111111111111111111111111111111111111111111111111111111111111111* +L042486 111111111111111111111111111111111111111111111111111111111111111111* +L042552 111111111111111111111111111111111111111111111111111111111111111111* +L042618 + 000000000000000000000000000000000000000000000000000000000000000000* +L042684 110111111111110111111111111111111111111111111111111111111110111111* +L042750 111011111111111011111111111111111111111111111111111111111101111111* +L042816 000000000000000000000000000000000000000000000000000000000000000000* +L042882 000000000000000000000000000000000000000000000000000000000000000000* +L042948 000000000000000000000000000000000000000000000000000000000000000000* +L043014 111111111111111111111111111111111111111111101111111111111111111111* +L043080 111111111111111111111111111101111111111101110111111111111111111111* +L043146 111111111111111110111111111111111111111110111111101111111111111111* +L043212 000000000000000000000000000000000000000000000000000000000000000000* +L043278 000000000000000000000000000000000000000000000000000000000000000000* +L043344 + 000000000000000000000000000000000000000000000000000000000000000000* +L043410 111111111011111111111111111111111111111111011111111111111111111111* +L043476 111111111111111111111111111111111111111111111111111111111111111111* +L043542 111111111111111111111111111111111111111111111111111111111111111111* +L043608 111111111111111111111111111111111111111111111111111111111111111111* +L043674 111111111111111111111111111111111111111111111111111111111111111111* +L043740 111111111111111111111111111111111111111111111111111111111111111111* +L043806 111111111111111111111111111111111111111111111111111111111111111111* +L043872 111111111111111111111111111111111111111111111111111111111111111111* +L043938 111111111111111111111111111111111111111111111111111111111111111111* +L044004 111111111111111111111111111111111111111111111111111111111111111111* +L044070 + 111111111110111111111111111111111111011110111111111111111111111111* +L044136 111111111111111111111111111111111111111111101111111111111111111111* +L044202 111111111111111111110111111101111111111101111111111111111111111111* +L044268 111111111111111101111111111111111111111110111111111111111111111111* +L044334 000000000000000000000000000000000000000000000000000000000000000000* +L044400 000000000000000000000000000000000000000000000000000000000000000000* +L044466 111111111111111111111111111110111111111101011111111111111111111111* +L044532 111111111111111111111111101111111111111101011111111111111111111111* +L044598 111111111111111110111111111111111111111110011111101111111111111111* +L044664 000000000000000000000000000000000000000000000000000000000000000000* +L044730 000000000000000000000000000000000000000000000000000000000000000000* +L044796 + 111111111110111111111111111111111111111110111111111111111111111111* +L044862 111111101111111111111111111111111111111111011111111111111111111111* +L044928 111111111111111111111111111111111111111111111111111111111111111111* +L044994 111111111111111111111111111111111111111111111111111111111111111111* +L045060 111111111111111111111111111111111111111111111111111111111111111111* +L045126 111111111111111111111111111111111111111111111111111111111111111111* +L045192 111111111111111111111111111111111111111111111111111111111111111111* +L045258 111111111111111111111111111111111111111111111111111111111111111111* +L045324 111111111111111111111111111111111111111111111111111111111111111111* +L045390 111111111111111111111111111111111111111111111111111111111111111111* +L045456 111111111111111111111111111111111111111111111111111111111111111111* +L045522 + 111111111111111111111111111111111111011110111111111111111111111111* +L045588 111111111111111111111111011111111111111111111011111111111111111111* +L045654 111111111111111111111111111111111111111111111111111111111111111111* +L045720 111111111111111111111111111111111111111111111111111111111111111111* +L045786 111111111111111111111111111111111111111111111111111111111111111111* +L045852 111111111111111111111111111111111111111111111111111111111111111111* +L045918 111111111111111111101111111111101111111111011111111111111111111111* +L045984 101111111111111111111111111111011111111111011111111111111111111111* +L046050 000000000000000000000000000000000000000000000000000000000000000000* +L046116 000000000000000000000000000000000000000000000000000000000000000000* +L046182 000000000000000000000000000000000000000000000000000000000000000000* +L046248 + 000000000000000000000000000000000000000000000000000000000000000000* +L046314 111111111111111111111111111111111111111111111111111111111111111111* +L046380 111111111111111111111111111111111111111111111111111111111111111111* +L046446 111111111111111111111111111111111111111111111111111111111111111111* +L046512 111111111111111111111111111111111111111111111111111111111111111111* +L046578 111111111111111111111111111111111111111111111111111111111111111111* +L046644 111111111111111111111111111111111111111111111111111111111111111111* +L046710 111111111111111111111111111111111111111111111111111111111111111111* +L046776 111111111111111111111111111111111111111111111111111111111111111111* +L046842 111111111111111111111111111111111111111111111111111111111111111111* +L046908 111111111111111111111111111111111111111111111111111111111111111111* +L046974 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L047106 0010* +L047110 11100110011000* +L047124 00100110011110* +L047138 00010110010100* +L047152 11100011111111* +L047166 10101111111001* +L047180 10100110010011* +L047194 01010110010000* +L047208 11100011110011* +L047222 10100110010000* +L047236 11100110010010* +L047250 01010110010100* +L047264 11101111110011* +L047278 00110011110011* +L047292 11100110010011* +L047306 11011011110000* +L047320 11111111111111* +NOTE BLOCK 7 * +L047334 + 111111111111111111111111111111111111111111111111111111111111111011 + 111111111111111111111011111111011111111111111111111011111111111111 + 111101111111111111111111111111111111111110111111101111111111111111 + 111111111111111111111111101011111111111111111011111111111111111111 + 111111111110111111111111111111111111111111111111111111111111111111 + 111111111111011011011111111111111111011111111111111111111111111111 + 111111011111111111111101111111111001111111111111111111101111111111 + 101111111011111111111111111111111111111011111111111111111111111111 + 111011111111111101111111111111111111111111101110111110111111111111* +L047928 + 000000000000000000000000000000000000000000000000000000000000000000* +L047994 111111110111111111111111111111111111111101011110111111111111111111* +L048060 111111111110111111111111111111111111111101011110111111111111111111* +L048126 111111111111111011101111111111111111111101011110111111111111111111* +L048192 111111111001111011011111111111111111111101011111111011111111111111* +L048258 000000000000000000000000000000000000000000000000000000000000000000* +L048324 111111111111101110111110010111111110011011111111011111111111111111* +L048390 000000000000000000000000000000000000000000000000000000000000000000* +L048456 000000000000000000000000000000000000000000000000000000000000000000* +L048522 000000000000000000000000000000000000000000000000000000000000000000* +L048588 000000000000000000000000000000000000000000000000000000000000000000* +L048654 + 000000000000000000000000000000000000000000000000000000000000000000* +L048720 111111110111111111111111111111111111111111111111111111111111111111* +L048786 111111111111111111111111111111111111111111111111111111111111111111* +L048852 111111111111111111111111111111111111111111111111111111111111111111* +L048918 111111111111111111111111111111111111111111111111111111111111111111* +L048984 111111111111111111111111111111111111111111111111111111111111111111* +L049050 111111111111111111111111111111111111111111111111111111111111111111* +L049116 111111111111111111111111111111111111111111111111111111111111111111* +L049182 111111111111111111111111111111111111111111111111111111111111111111* +L049248 111111111111111111111111111111111111111111111111111111111111111111* +L049314 111111111111111111111111111111111111111111111111111111111111111111* +L049380 + 111111111111111111111111111111111111111111111111111111111111111111* +L049446 111111111111111111111111111111111111111111101111111111111111111111* +L049512 011111111111111111111111111111111111011111111111111111111111111111* +L049578 111111111001111111111111111111111111011111111111111101111111111111* +L049644 000000000000000000000000000000000000000000000000000000000000000000* +L049710 000000000000000000000000000000000000000000000000000000000000000000* +L049776 111111111101111111111111111111111111111111111111111111111111111111* +L049842 111111111111111111111111111111111111111111111111111111111111111111* +L049908 111111111111111111111111111111111111111111111111111111111111111111* +L049974 111111111111111111111111111111111111111111111111111111111111111111* +L050040 111111111111111111111111111111111111111111111111111111111111111111* +L050106 + 101111011111111111111111111111101111111111111111111111111111111111* +L050172 111111111111111111111111111111111111111111111111111111111111111111* +L050238 111111111111111111111111111111111111111111111111111111111111111111* +L050304 111111111111111111111111111111111111111111111111111111111111111111* +L050370 111111111111111111111111111111111111111111111111111111111111111111* +L050436 111111111111111111111111111111111111111111111111111111111111111111* +L050502 111111111111111111111111111111111111111111111111111111111111111111* +L050568 111111111111111111111111111111111111111111111111111111111111111111* +L050634 111111111111111111111111111111111111111111111111111111111111111111* +L050700 111111111111111111111111111111111111111111111111111111111111111111* +L050766 111111111111111111111111111111111111111111111111111111111111111111* +L050832 + 111111111111111111111111111111011111111111111111111111111111111111* +L050898 111110111111111111111111111111111111111111111111111110111111111111* +L050964 111111111111111111111111111111111111111111111111111111111111111111* +L051030 111111111111111111111111111111111111111111111111111111111111111111* +L051096 111111111111111111111111111111111111111111111111111111111111111111* +L051162 111111111111111111111111111111111111111111111111111111111111111111* +L051228 111111110110111111111111111111111111111111011111111111111111110111* +L051294 110111111111111111111111111111011011111111011111111111101111111111* +L051360 000000000000000000000000000000000000000000000000000000000000000000* +L051426 000000000000000000000000000000000000000000000000000000000000000000* +L051492 000000000000000000000000000000000000000000000000000000000000000000* +L051558 + 011111011111111111111111111111111111111111111111111111111111111111* +L051624 111111111111111111111111111111111111111111111111111111111111111111* +L051690 111111111111111111111111111111111111111111111111111111111111111111* +L051756 111111111111111111111111111111111111111111111111111111111111111111* +L051822 111111111111111111111111111111111111111111111111111111111111111111* +L051888 111111111111111111111111111111111111111111111111111111111111111111* +L051954 111111111111111111111111111111111111111111111111111111111111111111* +L052020 111111111111111111111111111111111111111111111111111111111111111111* +L052086 111111111111111111111111111111111111111111111111111111111111111111* +L052152 111111111111111111111111111111111111111111111111111111111111111111* +L052218 111111111111111111111111111111111111111111111111111111111111111111* +L052284 + 101111111111111111111111111111101111111111111111111111111111111111* +L052350 111111111111111111111011111111111111111111110111111111111111111111* +L052416 111111111111111111111111111111111111111111111111111111111111111111* +L052482 111111111111111111111111111111111111111111111111111111111111111111* +L052548 111111111111111111111111111111111111111111111111111111111111111111* +L052614 111111111111111111111111111111111111111111111111111111111111111111* +L052680 111111110110111111111111111111111111111111011111111111111111110111* +L052746 110111110111110111111111111111111111111111011111111111111111111111* +L052812 110111111110110111111111111111111111111111011111111111111111111111* +L052878 000000000000000000000000000000000000000000000000000000000000000000* +L052944 000000000000000000000000000000000000000000000000000000000000000000* +L053010 + 111111111111111111111111111111111111111111111111111111111111111111* +L053076 111111111111111111111111111111111111111111111111111111111111111111* +L053142 111111111111111111111111111111111111111111111111111111111111111111* +L053208 111111111111111111111111111111111111111111111111111111111111111111* +L053274 111111111111111111111111111111111111111111111111111111111111111111* +L053340 111111111111111111111111111111111111111111111111111111111111111111* +L053406 111111111111111111111111111111111111111111111111111111111111111111* +L053472 111111111111111111111111111111111111111111111111111111111111111111* +L053538 111111111111111111111111111111111111111111111111111111111111111111* +L053604 111111111111111111111111111111111111111111111111111111111111111111* +L053670 111111111111111111111111111111111111111111111111111111111111111111* +L053736 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L053868 0010* +L053872 11100110011100* +L053886 01101011110010* +L053900 00010110010001* +L053914 11101011110011* +L053928 10100110010000* +L053942 00000110011110* +L053956 11011111110101* +L053970 11111011110011* +L053984 01110011111000* +L053998 11100110011110* +L054012 11011011110110* +L054026 11111111110011* +L054040 00110011110000* +L054054 10100110010010* +L054068 11011011111101* +L054082 11111111111111* +E1 +1 +00000000 +1 +00000000 +1 +00000000 +1 +00000000 +1 +00000000 +1 +00000000 +1 +00000000 +1 +00000000 +1 +* +CACB7* +U00000000000000000000000000000000* +9A87 diff --git a/Logic/68030_tk-50Mhz-New.jed b/Logic/68030_tk-50Mhz-New.jed new file mode 100644 index 0000000..bb4d392 --- /dev/null +++ b/Logic/68030_tk-50Mhz-New.jed @@ -0,0 +1,1109 @@ +|--------------------------------------------| +|- ispLEVER Fitter Report File -| +|- Version 2.0.00.17.20.15 -| +|- (c)Copyright, Lattice Semiconductor 2002 -| +|--------------------------------------------| + + +TITLE: +AUTHOR: +PATTERN: +COMPANY: +REVISION: +DATE: Tue Aug 30 22:39:22 2016 + +ABEL mach447a + * +QP100* +QF54096* +G0*F0* +NOTE Part Number : M4A5-128/64-10VC * +NOTE Handling of Preplacements No Change * +NOTE Use placement data from 68030_tk.vct * +NOTE Global clocks routable as PT clocks? N * +NOTE 22V10/MACH1XX/2XX S/R Compatibility? Y * +NOTE SET/RESET treated as DONT_CARE? Y * +NOTE Reduce Unforced Global Clocks? N * +NOTE Iterate between partitioning and place/route? Y * +NOTE Balanced partitioning? Y * +NOTE Reduce Routes Per Placement? N * +NOTE Spread Placement? Y * +NOTE Run Time Upper Bound in 15 minutes 0 * +NOTE Zero Hold Time For Input Registers? Y * +NOTE Table of pin names and numbers* +NOTE PINS SIZE_1_:79 AHIGH_31_:4 SIZE_0_:70 AHIGH_30_:5 A_DECODE_23_:85* +NOTE PINS AHIGH_29_:6 AHIGH_28_:15 AHIGH_27_:16 AHIGH_26_:17* +NOTE PINS AHIGH_25_:18 AHIGH_24_:19 IPL_2_:68 A_DECODE_22_:84* +NOTE PINS A_DECODE_21_:94 FC_1_:58 A_DECODE_20_:93 AS_030:82* +NOTE PINS A_DECODE_19_:97 AS_000:42 A_DECODE_18_:95 A_DECODE_17_:59* +NOTE PINS DS_030:98 A_DECODE_16_:96 UDS_000:32 LDS_000:31* +NOTE PINS nEXP_SPACE:14 BERR:41 BG_030:21 BGACK_000:28 CLK_030:64* +NOTE PINS CLK_000:11 CLK_OSZI:61 CLK_DIV_OUT:65 FPU_CS:78* +NOTE PINS FPU_SENSE:91 DTACK:30 AVEC:92 IPL_1_:56 E:66 IPL_0_:67* +NOTE PINS VPA:36 FC_0_:57 A_1_:60 RST:86 RESET:3 AMIGA_ADDR_ENABLE:33* +NOTE PINS AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* +NOTE PINS CIIN:47 IPL_030_2_:9 RW_000:80 BG_000:29 BGACK_030:83* +NOTE PINS CLK_EXP:10 A_0_:69 DSACK1:81 IPL_030_1_:7 IPL_030_0_:8* +NOTE PINS VMA:35 RW:71 * +NOTE Table of node names and numbers* +NOTE NODES RN_SIZE_1_:287 RN_AHIGH_31_:143 RN_SIZE_0_:263 * +NOTE NODES RN_AHIGH_30_:125 RN_AHIGH_29_:137 RN_AHIGH_28_:149 * +NOTE NODES RN_AHIGH_27_:157 RN_AHIGH_26_:155 RN_AHIGH_25_:167 * +NOTE NODES RN_AHIGH_24_:161 RN_AS_030:281 RN_AS_000:203 * +NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 RN_IPL_030_2_:131 * +NOTE NODES RN_RW_000:269 RN_BG_000:175 RN_BGACK_030:275 * +NOTE NODES RN_A_0_:257 RN_DSACK1:283 RN_IPL_030_1_:139 RN_IPL_030_0_:133 * +NOTE NODES RN_VMA:173 RN_RW:245 cpu_est_0_:229 cpu_est_1_:193 * +NOTE NODES cpu_est_2_:233 cpu_est_3_:187 inst_AS_000_INT:140 * +NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_LOW:158 inst_AS_030_D0:209 * +NOTE NODES inst_AS_030_000_SYNC:163 inst_BGACK_030_INT_D:211 * +NOTE NODES inst_AS_000_DMA:113 inst_DS_000_DMA:115 CYCLE_DMA_0_:176 * +NOTE NODES CYCLE_DMA_1_:104 SIZE_DMA_0_:265 SIZE_DMA_1_:169 * +NOTE NODES inst_VPA_D:182 CLK_000_D_1_:277 inst_DTACK_D0:260 * +NOTE NODES inst_RESET_OUT:253 CLK_000_D_0_:259 inst_CLK_OUT_PRE_50:200 * +NOTE NODES inst_CLK_OUT_PRE_D:205 IPL_D0_0_:164 IPL_D0_1_:188 * +NOTE NODES IPL_D0_2_:224 CLK_000_D_2_:272 inst_AMIGA_BUS_ENABLE_DMA_HIGH:152 * +NOTE NODES inst_LDS_000_INT:103 inst_DS_000_ENABLE:134 inst_UDS_000_INT:109 * +NOTE NODES SM_AMIGA_6_:221 SM_AMIGA_4_:223 SM_AMIGA_1_:239 * +NOTE NODES SM_AMIGA_0_:289 RST_DLY_0_:145 RST_DLY_1_:254 * +NOTE NODES RST_DLY_2_:248 inst_CLK_030_H:121 SM_AMIGA_5_:119 * +NOTE NODES SM_AMIGA_3_:241 SM_AMIGA_2_:235 SM_AMIGA_i_7_:227 * +NOTE NODES CIIN_0:217 * +NOTE BLOCK 0 * +L000000 + 111111111011111111111111111111111111111111111111111111111111111111 + 111111111101111111111011111110111111111111111111111111111111111111 + 101111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111110 + 111111111111111111111111111111111111111111111111111111111111111011 + 111111111111111111111111111111011111111110111111110111111111111111 + 111101111111101111111111111111111111111111111111011111111111011111 + 111111111111111101111111111011111111011111111110111111111111111111 + 111011011111111111011111111111111011111111100111111111111111111111* +L000594 + 000000000000000000000000000000000000000000000000000000000000000000* +L000660 111110111111111111111111111111111011111111111111111111111111111111* +L000726 000000000000000000000000000000000000000000000000000000000000000000* +L000792 000000000000000000000000000000000000000000000000000000000000000000* +L000858 000000000000000000000000000000000000000000000000000000000000000000* +L000924 000000000000000000000000000000000000000000000000000000000000000000* +L000990 111111111111111111111111111111111111111111101111111111111111111111* +L001056 111111111111111111011111111111111111111111111111111111111111111011* +L001122 101111111111111111111111111101111111111110111111111111111111110111* +L001188 000000000000000000000000000000000000000000000000000000000000000000* +L001254 000000000000000000000000000000000000000000000000000000000000000000* +L001320 + 111111111111111111111111111111111111111111111111111111111111111111* +L001386 111111101111111111111111111111111011111111010110111111111111111111* +L001452 111111111111111111111111110111111011111111010110111111111111111111* +L001518 111111011111111111110111111011111011111111011010111111111111111111* +L001584 111111111111111111111011111111111011111111010110111111111111111111* +L001650 000000000000000000000000000000000000000000000000000000000000000000* +L001716 111111111111111111111111111111111111111111111111111111111111111111* +L001782 111111111111111111111111111111111111111111111111111111111111111111* +L001848 111111111111111111111111111111111111111111111111111111111111111111* +L001914 111111111111111111111111111111111111111111111111111111111111111111* +L001980 111111111111111111111111111111111111111111111111111111111111111111* +L002046 + 000000000000000000000000000000000000000000000000000000000000000000* +L002112 111111111111111111111111111111111111111111111111111111111111111111* +L002178 111111111111111111111111111111111111111111111111111111111111111111* +L002244 111111111111111111111111111111111111111111111111111111111111111111* +L002310 111111111111111111111111111111111111111111111111111111111111111111* +L002376 111111111111111111111111111111111111111111111111111111111111111111* +L002442 111111111111111111111111111111111111101111011111111111111111111011* +L002508 101111111111111111111111111111111111111111011111111111111111110111* +L002574 000000000000000000000000000000000000000000000000000000000000000000* +L002640 000000000000000000000000000000000000000000000000000000000000000000* +L002706 000000000000000000000000000000000000000000000000000000000000000000* +L002772 + 000000000000000000000000000000000000000000000000000000000000000000* +L002838 111111111111111111111111111111111111111111111111111111111111111111* +L002904 111111111111111111111111111111111111111111111111111111111111111111* +L002970 111111111111111111111111111111111111111111111111111111111111111111* +L003036 111111111111111111111111111111111111111111111111111111111111111111* +L003102 111111111111111111111111111111111111111111111111111111111111111111* +L003168 111111111111111111111111111111111111111111101111111111111111111111* +L003234 111111111111111111111111111111111111111111111101111111111111111111* +L003300 111111111011111111111111111111111111111111111111111111111111011111* +L003366 111111011111111111111111111111111111111111110111111111111111111111* +L003432 111111101111111111111111111111111111111111111011111111111111111111* +L003498 + 000000000000000000000000000000000000000000000000000000000000000000* +L003564 111111111111111111111111111111110111111111111111111111111111111111* +L003630 111111111111111101111111111111111111111111111111011111111111111111* +L003696 000000000000000000000000000000000000000000000000000000000000000000* +L003762 000000000000000000000000000000000000000000000000000000000000000000* +L003828 000000000000000000000000000000000000000000000000000000000000000000* +L003894 111111111111111111111111111111111111111111101111111111111111111111* +L003960 111111111111111111111111111111111111111111111101111111111111111111* +L004026 111111011111111111111111111111111111111111110111111111111111111111* +L004092 111111101111111111111111111111111111111111111011111111111111111111* +L004158 111111111111111111111111111111110111111111111111111111111111111111* +L004224 + 000000000000000000000000000000000000000000000000000000000000000000* +L004290 111111111111111101111111111111111111111111111111011111111111111111* +L004356 111101111011101111111111111111111111111111111111111111111111111111* +L004422 111111110111101111111111111111111111111111111111110111111111011111* +L004488 111101111111101111111111111111111111111111111111111011111111111111* +L004554 000000000000000000000000000000000000000000000000000000000000000000* +L004620 111111111111111111111111111111111111111111111111111111111111111111* +L004686 111111111111111111111111111111111111111111111111111111111111111111* +L004752 111111111111111111111111111111111111111111111111111111111111111111* +L004818 111111111111111111111111111111111111111111111111111111111111111111* +L004884 111111111111111111111111111111111111111111111111111111111111111111* +L004950 + 000000000000000000000000000000000000000000000000000000000000000000* +L005016 111111111111111111110111111011111111111111011111111111111111110111* +L005082 110111111111111111111111111011011111111111011111111111111111111111* +L005148 110111111111111111110111111111011111111111011111111111111111111111* +L005214 000000000000000000000000000000000000000000000000000000000000000000* +L005280 000000000000000000000000000000000000000000000000000000000000000000* +L005346 111111101011111110111111111111111011111111010110111111111111101111* +L005412 111111011011111110111111111111111011111111011010111111111111101111* +L005478 111111101111111110111111111111111011111111010110110111111111111111* +L005544 111111011111111110111111111111111011111111011010110111111111111111* +L005610 111111101011111111111111111111111011111111010110101111111111101111* +L005676 + 111111111110111111111111111111111111111111111110111111111111111101* +L005742 111111011011111111111111111111111011111111011010101111111111101111* +L005808 111111101111111111111111111111111011111111010110100111111111111111* +L005874 111111011111111111111111111111111011111111011010100111111111111111* +L005940 000000000000000000000000000000000000000000000000000000000000000000* +L006006 000000000000000000000000000000000000000000000000000000000000000000* +L006072 111111111111111111111111111111111111111111111111111111111111111111* +L006138 111111111111111111111111111111111111111111111111111111111111111111* +L006204 111111111111111111111111111111111111111111111111111111111111111111* +L006270 111111111111111111111111111111111111111111111111111111111111111111* +L006336 111111111111111111111111111111111111111111111111111111111111111111* +L006402 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L006534 0010* +L006538 01100011111000* +L006552 10100110010011* +L006566 10100110010101* +L006580 11101011111111* +L006594 00110011111000* +L006608 11100110010010* +L006622 11010011110001* +L006636 11011111110011* +L006650 10100110010000* +L006664 10100110010011* +L006678 11111011110001* +L006692 11111111110011* +L006706 10100110010000* +L006720 10100110010011* +L006734 11110011110100* +L006748 11111011111110* +NOTE BLOCK 1 * +L006762 + 111111111111111111110111111111111111111111111111111111111111111111 + 111101111111011110111111101111011111011111111111111111111111111111 + 111111101010111111111101111111111111111111111111111111110111111111 + 101111111111111111101111111111111111111111111011111111011111111011 + 111111111111111111111111111111111111111111111111111011111111101111 + 111111111111111111111111111111111111111101111111111111111111111111 + 111111111111111111111111111111111011111111111111111111111111111111 + 111111111111111111111111111010111111111111111110111111111111111111 + 111011111111111111111111111111111111111111101111111111111111111111* +L007356 + 111111111111111111111111111111111111111111111111111111111111111111* +L007422 000000000000000000000000000000000000000000000000000000000000000000* +L007488 000000000000000000000000000000000000000000000000000000000000000000* +L007554 000000000000000000000000000000000000000000000000000000000000000000* +L007620 000000000000000000000000000000000000000000000000000000000000000000* +L007686 000000000000000000000000000000000000000000000000000000000000000000* +L007752 111111111111111111111111111101111111111111111111111111111111111111* +L007818 000000000000000000000000000000000000000000000000000000000000000000* +L007884 000000000000000000000000000000000000000000000000000000000000000000* +L007950 000000000000000000000000000000000000000000000000000000000000000000* +L008016 000000000000000000000000000000000000000000000000000000000000000000* +L008082 + 111111111111111111111111111111111111111111111111111111111111111111* +L008148 000000000000000000000000000000000000000000000000000000000000000000* +L008214 111111111111111111111111111111111111111111111111111111111111111111* +L008280 111111111111111111111111111111111111111111111111111111111111111111* +L008346 111111111111111111111111111111111111111111111111111111111111111111* +L008412 111111111111111111111111111111111111111111111111111111111111111111* +L008478 011111011011111111101111111111111111011101011111111111111111111111* +L008544 101111011011111111101111111111111111101101011111111111111111111111* +L008610 011111101011111111101111111111111111011110011111111111111111111111* +L008676 101111101011111111101111111111111111101110011111111111111111111111* +L008742 111111111011111111111111111111111111111111011111111111101111111111* +L008808 + 111111111111111111111111111111111111111111111111111111111111111111* +L008874 101111111111111111111111111111111111011111011111111111101111111111* +L008940 011111111111111111111111111111111111101111011111111111101111111111* +L009006 111111101111111111111111111111111111111101011111111111101111111111* +L009072 111111011111111111111111111111111111111110011111111111101111111111* +L009138 111111111111111111101111111111111111111111011111111111101111111111* +L009204 101111011011111111101111111111111111101101011111111111111111111111* +L009270 101111101011111111101111111111111111101110011111111111111111111111* +L009336 101111100111111111011111111111111111101110011111111111111111111111* +L009402 101111010111111111011111111111111111101101011111111111111111111111* +L009468 101111111111111111111111111111111111111111011111111111111011111111* +L009534 + 111111111111111111111111111111111111111111111111111111111111111111* +L009600 111111111111111111111111111111111111101111011111111111111011111111* +L009666 111111101111111111111111111111111111111101011111111111111011111111* +L009732 111111011111111111111111111111111111111110011111111111111011111111* +L009798 111111111011111111011111111111111111111111011111111111111011111111* +L009864 111111110111111111101111111111111111111111011111111111111011111111* +L009930 111111111111111111111111111111111111111111111111111111111111111111* +L009996 111111111111111111111111111111111111111111111111111111111111111111* +L010062 111111111111111111111111111111111111111111111111111111111111111111* +L010128 111111111111111111111111111111111111111111111111111111111111111111* +L010194 111111111111111111111111111111111111111111111111111111111111111111* +L010260 + 111111111111111111111111111111101111111111110110111111111111111111* +L010326 000000000000000000000000000000000000000000000000000000000000000000* +L010392 111111111111111111111111011011111111111111011111111111111111011111* +L010458 110111111111111111111101111111111011111111011111111111111111111111* +L010524 111111111111111101111111011011111111111111011111110111111111111111* +L010590 000000000000000000000000000000000000000000000000000000000000000000* +L010656 011111101011111111101111111111111111011110011111111111111111111111* +L010722 101111101011111111101111111111111111101110011111111111111111111111* +L010788 011111100111111111011111111111111111011110011111111111111111111111* +L010854 101111100111111111011111111111111111101110011111111111111111111111* +L010920 111111101111101111111111111111111111111111011111111111111111111111* +L010986 + 111111111111111111111111111111101111111111110110111111111111111111* +L011052 111111111111111111111111011011111111111111011111110111111111111111* +L011118 110110111111111111111111111111111011111111011111111111111111111111* +L011184 000000000000000000000000000000000000000000000000000000000000000000* +L011250 000000000000000000000000000000000000000000000000000000000000000000* +L011316 000000000000000000000000000000000000000000000000000000000000000000* +L011382 101111111111101111111111111111111111011111011111111111111111111111* +L011448 011111111111101111111111111111111111101111011111111111111111111111* +L011514 111111111111101111111111111111111111111110011111111111111111111111* +L011580 111111111011101111011111111111111111111111011111111111111111111111* +L011646 111111110111101111101111111111111111111111011111111111111111111111* +L011712 + 111111111111111111111111111111101111111111110110111111111111111111* +L011778 000000000000000000000000000000000000000000000000000000000000000000* +L011844 111111111111111111111111111111111111111111111111111111111111111111* +L011910 111111111111111111111111111111111111111111111111111111111111111111* +L011976 111111111111111111111111111111111111111111111111111111111111111111* +L012042 111111111111111111111111111111111111111111111111111111111111111111* +L012108 111111111111111111110111111011111111111111011111111111111111111111* +L012174 111111111111111111110111011111111111111111011111111111111111111111* +L012240 111111111111111111111011100111111111111111011111111111111111111111* +L012306 111111111101111111110111111111111111111111011111111111111111110111* +L012372 000000000000000000000000000000000000000000000000000000000000000000* +L012438 + 111111111111111111111111111111111111111111111011111111111111111111* +L012504 111111111111111111111111111111111111111111111111111111111111111111* +L012570 111111111111111111111111111111111111111111111111111111111111111111* +L012636 111111111111111111111111111111111111111111111111111111111111111111* +L012702 111111111111111111111111111111111111111111111111111111111111111111* +L012768 111111111111111111111111111111111111111111111111111111111111111111* +L012834 111111111111111111111111111111111111111111111111111111111111111111* +L012900 111111111111111111111111111111111111111111111111111111111111111111* +L012966 111111111111111111111111111111111111111111111111111111111111111111* +L013032 111111111111111111111111111111111111111111111111111111111111111111* +L013098 111111111111111111111111111111111111111111111111111111111111111111* +L013164 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L013296 0010* +L013300 00100011111000* +L013314 00100110011111* +L013328 00010011110101* +L013342 11011111111111* +L013356 11100110011000* +L013370 11100110011111* +L013384 10110110011100* +L013398 11101011111110* +L013412 00000011110000* +L013426 11100110010011* +L013440 11100110010111* +L013454 11001011110011* +L013468 00111111110000* +L013482 10100110010010* +L013496 11010011110011* +L013510 11111011111111* +NOTE BLOCK 2 * +L013524 + 111111111111111111111111111111111111111111111111111111111111011111 + 111111011101111111111111111111111111111111111111111111111111111111 + 111111111111111111011111111111111110111111111111111111111111111111 + 101111111111111111111011101011111111111111110111111111111111111110 + 111111111111111111111110111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111011111111111 + 111110110111011111111111111111111011111111111111011111111111111111 + 111111111111111101111111111111111111111110111111111111111111111111 + 111011111111111111111111111111111111111111101111111111111111111111* +L014118 + 111111111110111111111111111111111111111110111111111111111111111101* +L014184 000000000000000000000000000000000000000000000000000000000000000000* +L014250 000000000000000000000000000000000000000000000000000000000000000000* +L014316 000000000000000000000000000000000000000000000000000000000000000000* +L014382 000000000000000000000000000000000000000000000000000000000000000000* +L014448 000000000000000000000000000000000000000000000000000000000000000000* +L014514 111111111111111111101111111111111111111110111111111111111111111111* +L014580 000000000000000000000000000000000000000000000000000000000000000000* +L014646 000000000000000000000000000000000000000000000000000000000000000000* +L014712 000000000000000000000000000000000000000000000000000000000000000000* +L014778 000000000000000000000000000000000000000000000000000000000000000000* +L014844 + 111111111110111111111111111111111111111110111111111111111111111101* +L014910 111111111111111111111110111111111111111110011111111111111111111111* +L014976 111101111111111111111111111111111111111101011011111111111111111111* +L015042 000000000000000000000000000000000000000000000000000000000000000000* +L015108 000000000000000000000000000000000000000000000000000000000000000000* +L015174 000000000000000000000000000000000000000000000000000000000000000000* +L015240 111111111111111111111111111111111111111111111111111111111111111111* +L015306 111111111111111111111111111111111111111111111111111111111111111111* +L015372 111111111111111111111111111111111111111111111111111111111111111111* +L015438 111111111111111111111111111111111111111111111111111111111111111111* +L015504 111111111111111111111111111111111111111111111111111111111111111111* +L015570 + 111111111110111111111111111111111111111110111111111111111111111101* +L015636 000000000000000000000000000000000000000000000000000000000000000000* +L015702 111111111111111111111111111111111111111111111111111111111111111111* +L015768 111111111111111111111111111111111111111111111111111111111111111111* +L015834 111111111111111111111111111111111111111111111111111111111111111111* +L015900 111111111111111111111111111111111111111111111111111111111111111111* +L015966 000000000000000000000000000000000000000000000000000000000000000000* +L016032 111111111111111111111111111111111111111111111111111111111111111111* +L016098 111111111111111111111111111111111111111111111111111111111111111111* +L016164 111111111111111111111111111111111111111111111111111111111111111111* +L016230 111111111111111111111111111111111111111111111111111111111111111111* +L016296 + 111111111110111111111111111111111111111110111111111111111111111101* +L016362 111111111111111111111101111111111111111110011111111111111111111111* +L016428 111101111111111111101111111111111111111101011111111111111111111111* +L016494 000000000000000000000000000000000000000000000000000000000000000000* +L016560 000000000000000000000000000000000000000000000000000000000000000000* +L016626 000000000000000000000000000000000000000000000000000000000000000000* +L016692 111111111111111111111111111111111111111111111111111111111111111111* +L016758 111111111111111111111111111111111111111111111111111111111111111111* +L016824 111111111111111111111111111111111111111111111111111111111111111111* +L016890 111111111111111111111111111111111111111111111111111111111111111111* +L016956 111111111111111111111111111111111111111111111111111111111111111111* +L017022 + 111111111110111111111111111111111111111110111111111111111111111101* +L017088 000000000000000000000000000000000000000000000000000000000000000000* +L017154 111111111111111111111111111111111111111111111111111111111111111111* +L017220 111111111111111111111111111111111111111111111111111111111111111111* +L017286 111111111111111111111111111111111111111111111111111111111111111111* +L017352 111111111111111111111111111111111111111111111111111111111111111111* +L017418 110111101111111111111111111111111011111111011111111111111111111111* +L017484 110101111101111111111011101111111011111101011111111111111111111111* +L017550 110101111101111111111011111111111011111101011111111111011111111111* +L017616 110101110101111111111011111111111011111101011111111111111111111111* +L017682 110101111101111111111011111011111011111101011111111111111111111111* +L017748 + 111111111111111111111111111111111111111111111111111111111111111111* +L017814 101111111111111111111111111111111111111111011111111111111111111111* +L017880 110101111101011111111011111111111011111101011111111111111111111111* +L017946 110101111101111111111011111111111010111101011111111111111111111111* +L018012 000000000000000000000000000000000000000000000000000000000000000000* +L018078 000000000000000000000000000000000000000000000000000000000000000000* +L018144 111111111111111111111111111111111111111111111111111111111111111111* +L018210 111111111111111111111111111111111111111111111111111111111111111111* +L018276 111111111111111111111111111111111111111111111111111111111111111111* +L018342 111111111111111111111111111111111111111111111111111111111111111111* +L018408 111111111111111111111111111111111111111111111111111111111111111111* +L018474 + 000000000000000000000000000000000000000000000000000000000000000000* +L018540 000000000000000000000000000000000000000000000000000000000000000000* +L018606 111111111111111111111111111111111111111111111111111111111111111111* +L018672 111111111111111111111111111111111111111111111111111111111111111111* +L018738 111111111111111111111111111111111111111111111111111111111111111111* +L018804 111111111111111111111111111111111111111111111111111111111111111111* +L018870 111111111111111111111111111111111111111111101111111111111111111111* +L018936 111101111111111111111111111111111111111101111111111111111111011111* +L019002 111111111111111110111111111111111111111110111111101111111111111111* +L019068 000000000000000000000000000000000000000000000000000000000000000000* +L019134 000000000000000000000000000000000000000000000000000000000000000000* +L019200 + 000000000000000000000000000000000000000000000000000000000000000000* +L019266 111111111111111111111111111111111111111111111111111111111111111111* +L019332 111111111111111111111111111111111111111111111111111111111111111111* +L019398 111111111111111111111111111111111111111111111111111111111111111111* +L019464 111111111111111111111111111111111111111111111111111111111111111111* +L019530 111111111111111111111111111111111111111111111111111111111111111111* +L019596 111111111111111111111111111111111111111111111111111111111111111111* +L019662 111111111111111111111111111111111111111111111111111111111111111111* +L019728 111111111111111111111111111111111111111111111111111111111111111111* +L019794 111111111111111111111111111111111111111111111111111111111111111111* +L019860 111111111111111111111111111111111111111111111111111111111111111111* +L019926 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L020058 0010* +L020062 00100011110000* +L020076 01101111110011* +L020090 11100110011100* +L020104 11101111110010* +L020118 00111011110000* +L020132 00000011110011* +L020146 11100110010110* +L020160 11100011110010* +L020174 00111111110001* +L020188 11100110010011* +L020202 01110110011110* +L020216 11100011111111* +L020230 00111011111001* +L020244 10100110010011* +L020258 11010111110000* +L020272 11111111111111* +NOTE BLOCK 3 * +L020286 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111010101111111111011111111111111111111111111111111111111111111 + 111111111111111111101101111111111111111110101111111111111111111111 + 111111111111111111111111111111111111111111110111111111111111111110 + 111111111111111111111111111111111111111111111111111111111111111111 + 110111111111111111111111111111111111111111111111111111111111111111 + 111111111111110110111111111111111111111111111111111111111111111111 + 111101111111111111111111111111110111111111111110111111101111111111 + 101111111111111111111111010111111101011111111111111110110111111111* +L020880 + 111111111111111111111111111111111111111111111111111111111111111111* +L020946 101111111111111111111111111111111111101111111111111111111111111111* +L021012 111011111111111011100111111111111111101110111111111111101111111111* +L021078 010111111111111011011011111111111011011110111111111111011111111111* +L021144 000000000000000000000000000000000000000000000000000000000000000000* +L021210 000000000000000000000000000000000000000000000000000000000000000000* +L021276 011111111001111101110111111111111111111111111111111111111111111111* +L021342 011111111011111111111111111111111110111111111111111111111111111111* +L021408 000000000000000000000000000000000000000000000000000000000000000000* +L021474 000000000000000000000000000000000000000000000000000000000000000000* +L021540 000000000000000000000000000000000000000000000000000000000000000000* +L021606 + 111111111111111111111111111111111111111111111111111111111111111111* +L021672 011111111111111111111111111111111111111111111110111110010111111111* +L021738 011111111111111111110111111111111111111111111110111110101011111111* +L021804 011111111111111111111011111111111111111111111110111110110111111111* +L021870 000000000000000000000000000000000000000000000000000000000000000000* +L021936 000000000000000000000000000000000000000000000000000000000000000000* +L022002 111111111111111111111111111111111111111111111111111111111111111111* +L022068 111111111111111111111111111111111111111111111111111111111111111111* +L022134 111111111111111111111111111111111111111111111111111111111111111111* +L022200 111111111111111111111111111111111111111111111111111111111111111111* +L022266 111111111111111111111111111111111111111111111111111111111111111111* +L022332 + 111111111111111111111111111111111111111111111111111111111111111111* +L022398 111111011111111111111111111111111111111111111101111111111111111111* +L022464 111111111111111111111111111111111111111111110110111111111111111111* +L022530 000000000000000000000000000000000000000000000000000000000000000000* +L022596 000000000000000000000000000000000000000000000000000000000000000000* +L022662 000000000000000000000000000000000000000000000000000000000000000000* +L022728 000000000000000000000000000000000000000000000000000000000000000000* +L022794 111111111111111111111111111111111111111111111111111111111111111111* +L022860 111111111111111111111111111111111111111111111111111111111111111111* +L022926 111111111111111111111111111111111111111111111111111111111111111111* +L022992 111111111111111111111111111111111111111111111111111111111111111111* +L023058 + 111111111111111111111111111111111111111111111101111111111111111101* +L023124 011111111111111111111111111011111111111111111111111111111111111111* +L023190 111111111111111111111111111111111111111111111111111111111111111111* +L023256 111111111111111111111111111111111111111111111111111111111111111111* +L023322 111111111111111111111111111111111111111111111111111111111111111111* +L023388 111111111111111111111111111111111111111111111111111111111111111111* +L023454 111111111111111111111111111111111111111111111111111111111111111111* +L023520 111111111111111111111111111111111111111111111111111111111111111111* +L023586 111111111111111111111111111111111111111111111111111111111111111111* +L023652 111111111111111111111111111111111111111111111111111111111111111111* +L023718 111111111111111111111111111111111111111111111111111111111111111111* +L023784 + 111111111111111111111111111111111111111111111101111111111111111101* +L023850 111110111111111111111101111111111111111111111111111111111111111111* +L023916 111111111111111111111111111111111111111111111111111111111111111111* +L023982 111111111111111111111111111111111111111111111111111111111111111111* +L024048 111111111111111111111111111111111111111111111111111111111111111111* +L024114 111111111111111111111111111111111111111111111111111111111111111111* +L024180 111111111111110111101111111111111111111111111111111111111111111111* +L024246 111111111111110111111111111111111111111111111111111111101111111111* +L024312 111111111111110111110111111111111111111111111111111111111111111111* +L024378 110111111111111111011011111111111111111101111111111111011111111111* +L024444 000000000000000000000000000000000000000000000000000000000000000000* +L024510 + 000000000000000000000000000000000000000000000000000000000000000000* +L024576 011111111111111111111111111111111111111111101111111111111111111111* +L024642 111111111111111111111111111111111111111111111111111111111111111111* +L024708 111111111111111111111111111111111111111111111111111111111111111111* +L024774 111111111111111111111111111111111111111111111111111111111111111111* +L024840 111111111111111111111111111111111111111111111111111111111111111111* +L024906 111111111111111111111111111111111111111111111111111111111111111111* +L024972 111111111111111111111111111111111111111111111111111111111111111111* +L025038 111111111111111111111111111111111111111111111111111111111111111111* +L025104 111111111111111111111111111111111111111111111111111111111111111111* +L025170 111111111111111111111111111111111111111111111111111111111111111111* +L025236 + 111111111111111111111111111111111111111111111111111111111111111111* +L025302 111111111111111111111101101111111111111111111111111111111111111111* +L025368 111111111111111111111111111111111111111111111111111111111111111111* +L025434 111111111111111111111111111111111111111111111111111111111111111111* +L025500 111111111111111111111111111111111111111111111111111111111111111111* +L025566 111111111111111111111111111111111111111111111111111111111111111111* +L025632 110111111111111111101111111111111111111111111111111111111111111111* +L025698 110111111111111111111111111111111111111111111111111111101111111111* +L025764 110111111111111111110111111111111111111111111111111111111111111111* +L025830 111011111111111011011011111111111111111111111111111111011111111111* +L025896 000000000000000000000000000000000000000000000000000000000000000000* +L025962 + 000000000000000000000000000000000000000000000000000000000000000000* +L026028 111111111111111111111111111111111111111111111111111111111111111111* +L026094 111111111111111111111111111111111111111111111111111111111111111111* +L026160 111111111111111111111111111111111111111111111111111111111111111111* +L026226 111111111111111111111111111111111111111111111111111111111111111111* +L026292 111111111111111111111111111111111111111111111111111111111111111111* +L026358 111111111111111111111111111111111111111111111111111111111111111111* +L026424 111111111111111111111111111111111111111111111111111111111111111111* +L026490 111111111111111111111111111111111111111111111111111111111111111111* +L026556 111111111111111111111111111111111111111111111111111111111111111111* +L026622 111111111111111111111111111111111111111111111111111111111111111111* +L026688 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L026820 0010* +L026824 10100111010000* +L026838 11100110011110* +L026852 10100110010100* +L026866 11100011111111* +L026880 10101111111001* +L026894 00001011111111* +L026908 01010110010100* +L026922 11101011110011* +L026936 01110011110010* +L026950 10100110010010* +L026964 01010110010001* +L026978 11101011110011* +L026992 01111111111010* +L027006 10100110011110* +L027020 11010011110001* +L027034 11111011110011* +NOTE BLOCK 4 * +L027048 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111101111111111111011111111111110111111111110111111111111111 + 111111011111111111111111110101111111111111111111101111111111111111 + 111011111111111111111111111111111111111111011011111101101111111111 + 111111111111110111111111111111111111111111111111111111111111111111 + 111111110111011111111111111111111111111111111110111111111111111111 + 111111111111111111111101111111111001111111111111111111111011111111 + 111111111111111111111111111111111111111110111111111111111101110110 + 101110111111111101101111111111101111101111111111111111111111111111* +L027642 + 110111110111101101111110111111111110111111111111011111011111111110* +L027708 000000000000000000000000000000000000000000000000000000000000000000* +L027774 000000000000000000000000000000000000000000000000000000000000000000* +L027840 000000000000000000000000000000000000000000000000000000000000000000* +L027906 000000000000000000000000000000000000000000000000000000000000000000* +L027972 000000000000000000000000000000000000000000000000000000000000000000* +L028038 111110111110111111111111111111111111111110111111111111110111111111* +L028104 111111111111111111111111111111111111111101111111111111111011111111* +L028170 000000000000000000000000000000000000000000000000000000000000000000* +L028236 000000000000000000000000000000000000000000000000000000000000000000* +L028302 000000000000000000000000000000000000000000000000000000000000000000* +L028368 + 111111111111111111111111111111111111111101110111111111111111111111* +L028434 111111111111111111111111111111101111111111111111111111111111111111* +L028500 111111111111111111111111111111111111111111111111111111111111111111* +L028566 111111111111111111111111111111111111111111111111111111111111111111* +L028632 111111111111111111111111111111111111111111111111111111111111111111* +L028698 111111111111111111111111111111111111111111111111111111111111111111* +L028764 111111111111111111111111111111111111111111111111111111111111111111* +L028830 111111111111111111111111111111111111111111111111111111111111111111* +L028896 111111111111111111111111111111111111111111111111111111111111111111* +L028962 111111111111111111111111111111111111111111111111111111111111111111* +L029028 111111111111111111111111111111111111111111111111111111111111111111* +L029094 + 000000000000000000000000000000000000000000000000000000000000000000* +L029160 111111111111111111111111101111111111111111111111111111111111111110* +L029226 111111111111111111111111111111111111111111111111111111111111111111* +L029292 111111111111111111111111111111111111111111111111111111111111111111* +L029358 111111111111111111111111111111111111111111111111111111111111111111* +L029424 111111111111111111111111111111111111111111111111111111111111111111* +L029490 111111111111111111111111111111011111111111111111111111111111111111* +L029556 111111111111111111111111111111111111111111111111111111111111111111* +L029622 111111111111111111111111111111111111111111111111111111111111111111* +L029688 111111111111111111111111111111111111111111111111111111111111111111* +L029754 111111111111111111111111111111111111111111111111111111111111111111* +L029820 + 000000000000000000000000000000000000000000000000000000000000000000* +L029886 111111111111111111111111111111111111111111111111111111111111111111* +L029952 111111111111111111111111111111111111111111111111111111111111111111* +L030018 111111111111111111111111111111111111111111111111111111111111111111* +L030084 111111111111111111111111111111111111111111111111111111111111111111* +L030150 111111111111111111111111111111111111111111111111111111111111111111* +L030216 111111111111111111111111111111111111111111111111111111111111111111* +L030282 111111111111111111111111111111111111111111111111111111111111111111* +L030348 111111111111111111111111111111111111111111111111111111111111111111* +L030414 111111111111111111111111111111111111111111111111111111111111111111* +L030480 111111111111111111111111111111111111111111111111111111111111111111* +L030546 + 000000000000000000000000000000000000000000000000000000000000000000* +L030612 011111111111111111111111111111111111111111111111111111111111111110* +L030678 111111111111111111111111111111111111111111111111111111111111111111* +L030744 111111111111111111111111111111111111111111111111111111111111111111* +L030810 111111111111111111111111111111111111111111111111111111111111111111* +L030876 111111111111111111111111111111111111111111111111111111111111111111* +L030942 011111111111111111111111111111111111111110111111111111111111111111* +L031008 111111111111111111111111111111111111111111111111111111111111111111* +L031074 111111111111111111111111111111111111111111111111111111111111111111* +L031140 111111111111111111111111111111111111111111111111111111111111111111* +L031206 111111111111111111111111111111111111111111111111111111111111111111* +L031272 + 000000000000000000000000000000000000000000000000000000000000000000* +L031338 111111111111111111111111111111111111111111111111111111111111111111* +L031404 111111111111111111111111111111111111111111111111111111111111111111* +L031470 111111111111111111111111111111111111111111111111111111111111111111* +L031536 111111111111111111111111111111111111111111111111111111111111111111* +L031602 111111111111111111111111111111111111111111111111111111111111111111* +L031668 111111111111111111111111111111111111111111111111111111111111111111* +L031734 111111111111111111111111111111111111111111111111111111111111111111* +L031800 111111111111111111111111111111111111111111111111111111111111111111* +L031866 111111111111111111111111111111111111111111111111111111111111111111* +L031932 111111111111111111111111111111111111111111111111111111111111111111* +L031998 + 111111111111111111111111111111111111111111111101111111111111111111* +L032064 111111101111111011011111111010111011011011101111111010111101110111* +L032130 111111111111111111111111111111111111111111111111111111111111111111* +L032196 111111111111111111111111111111111111111111111111111111111111111111* +L032262 111111111111111111111111111111111111111111111111111111111111111111* +L032328 111111111111111111111111111111111111111111111111111111111111111111* +L032394 111111101111111011011111111010111011011011101111111010111101110111* +L032460 111111111101111111111111111111111111111111111111111111111111111111* +L032526 000000000000000000000000000000000000000000000000000000000000000000* +L032592 000000000000000000000000000000000000000000000000000000000000000000* +L032658 000000000000000000000000000000000000000000000000000000000000000000* +L032724 + 111111111111111111111111111111111111111111111111111111111111111111* +L032790 111111111111111111111111111111111111111111111111111111111111111111* +L032856 111111111111111111111111111111111111111111111111111111111111111111* +L032922 111111111111111111111111111111111111111111111111111111111111111111* +L032988 111111111111111111111111111111111111111111111111111111111111111111* +L033054 111111111111111111111111111111111111111111111111111111111111111111* +L033120 111111111111111111111111111111111111111111111111111111111111111111* +L033186 111111111111111111111111111111111111111111111111111111111111111111* +L033252 111111111111111111111111111111111111111111111111111111111111111111* +L033318 111111111111111111111111111111111111111111111111111111111111111111* +L033384 111111111111111111111111111111111111111111111111111111111111111111* +L033450 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L033582 0010* +L033586 00100011110000* +L033600 10101111110011* +L033614 00010110010100* +L033628 11101111110010* +L033642 01111011111000* +L033656 00000110011111* +L033670 11011011110000* +L033684 11110011111110* +L033698 01110110010001* +L033712 01000110011111* +L033726 11010111110000* +L033740 11111111111110* +L033754 00110011110001* +L033768 10101011111111* +L033782 11010111111100* +L033796 11111111111111* +NOTE BLOCK 5 * +L033810 + 111111111111101111111111111111111110111111111111111111111111111111 + 111111011101111111111111111111111111101111111011111111101111111111 + 111110111011111111101111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111110111111 + 111111111111111111111011111111111111111111111111111011111111111111 + 111111111111111011111111111111011111111111011111111111111111111111 + 111111111111111111111111011111111111111111111111111111111111111111 + 111111111111111111111111111011110111111111111111111111111111111111 + 101011111111111111111111111110111111111111111111111101111111111111* +L034404 + 000000000000000000000000000000000000000000000000000000000000000000* +L034470 011111101101111111111111111001111111111111111111111111111110111111* +L034536 010111111111111111111111110111111111111111111111110111111101111111* +L034602 010111111111111111111111111111111111111111111111110111101101111111* +L034668 000000000000000000000000000000000000000000000000000000000000000000* +L034734 000000000000000000000000000000000000000000000000000000000000000000* +L034800 011111111111111111111111110111011111111111111111111111101111111111* +L034866 010111111111111111110111110111111111111111111111111111111111111111* +L034932 010111111111111111110111111111111111111111111111111111101111111111* +L034998 000000000000000000000000000000000000000000000000000000000000000000* +L035064 000000000000000000000000000000000000000000000000000000000000000000* +L035130 + 000000000000000000000000000000000000000000000000000000000000000000* +L035196 011111111011111111111111111111111111111111111111111111111111111111* +L035262 111111111111111111111111111111111111111111111111111111111111111111* +L035328 111111111111111111111111111111111111111111111111111111111111111111* +L035394 111111111111111111111111111111111111111111111111111111111111111111* +L035460 111111111111111111111111111111111111111111111111111111111111111111* +L035526 111111111111111111111111111111111111111111111111111111111111111111* +L035592 111111111111111111111111111111111111111111111111111111111111111111* +L035658 111111111111111111111111111111111111111111111111111111111111111111* +L035724 111111111111111111111111111111111111111111111111111111111111111111* +L035790 111111111111111111111111111111111111111111111111111111111111111111* +L035856 + 000000000000000000000000000000000000000000000000000000000000000000* +L035922 010111111111111111111111111111111111111111111111111111111111111111* +L035988 011011111111111111110111111011111111111111111111111111011111111111* +L036054 010111111111100111111011111011101110101111111111111011011111111111* +L036120 010111111110101011111011111111101110101111111111111011111111111111* +L036186 010111011111101011111011111111101110101111111111111011111111111111* +L036252 010111111111101011111011110111101110101111111111111011111111111111* +L036318 010111111111101011111011111110101110101111111111111011111111111111* +L036384 011011111111111111111111111011111111111111111111110111011111111111* +L036450 011011111111111111111111110111111101111111111111111111101111111111* +L036516 011011111111111111111111110111011111111111111111111111101111111111* +L036582 + 000000000000000000000000000000000000000000000000000000000000000000* +L036648 011010111111011111101111010111111011111111101111111110101111111111* +L036714 011011111111011111111111110111110111111111111011111111101111111111* +L036780 011011111111111111111111111011111111011111111111111111011111111111* +L036846 011011101101101011111011111001101110101111111111111011111111111111* +L036912 000000000000000000000000000000000000000000000000000000000000000000* +L036978 111111111111111111011111111011111111111111111111111111111111111111* +L037044 111111111111111111011111111111111111111111111111111111011111111111* +L037110 111111111111111111101111110111111111111111111111111111101111111111* +L037176 000000000000000000000000000000000000000000000000000000000000000000* +L037242 000000000000000000000000000000000000000000000000000000000000000000* +L037308 + 000000000000000000000000000000000000000000000000000000000000000000* +L037374 111101111111111111111111111111111111111111111111111111111111111111* +L037440 111111111111111111011111110111111111111111011111111111101111111111* +L037506 000000000000000000000000000000000000000000000000000000000000000000* +L037572 000000000000000000000000000000000000000000000000000000000000000000* +L037638 000000000000000000000000000000000000000000000000000000000000000000* +L037704 011110111111011111101111010111111011111111101111111110101111111111* +L037770 011111111111011111111111110111110111111111111011111111101111111111* +L037836 010111111111111111111111110111111111011111111111111111111111111111* +L037902 010111111111111111111111111111111111011111111111111111101111111111* +L037968 000000000000000000000000000000000000000000000000000000000000000000* +L038034 + 000000000000000000000000000000000000000000000000000000000000000000* +L038100 111111111111111111111111111111111111111111111111111111111111111111* +L038166 111111111111111111111111111111111111111111111111111111111111111111* +L038232 111111111111111111111111111111111111111111111111111111111111111111* +L038298 111111111111111111111111111111111111111111111111111111111111111111* +L038364 111111111111111111111111111111111111111111111111111111111111111111* +L038430 111111111111111111111111111111111111111111111111111111111111111111* +L038496 111111111111111111111111111111111111111111111111111111111111111111* +L038562 111111111111111111111111111111111111111111111111111111111111111111* +L038628 111111111111111111111111111111111111111111111111111111111111111111* +L038694 111111111111111111111111111111111111111111111111111111111111111111* +L038760 + 000000000000000000000000000000000000000000000000000000000000000000* +L038826 011111111111111111111111111011111111011111111111111111011111111111* +L038892 010111111111111111111111111011111101111111111111111111111111111111* +L038958 010111111111111111111111111111111101111111111111111111011111111111* +L039024 000000000000000000000000000000000000000000000000000000000000000000* +L039090 000000000000000000000000000000000000000000000000000000000000000000* +L039156 010111111111011111111111111111111111111111111111111111111111111111* +L039222 011111111111101111110111111011111111111111111111111111011111111111* +L039288 010110111111011111101111010111111011111111101111111110101111111111* +L039354 010111111111011111111111110111110111111111111011111111101111111111* +L039420 011011111111111111110111111011111111111111111111111111011111111111* +L039486 + 000000000000000000000000000000000000000000000000000000000000000000* +L039552 111111111111111111111111111111111111111111111111111111111111111111* +L039618 111111111111111111111111111111111111111111111111111111111111111111* +L039684 111111111111111111111111111111111111111111111111111111111111111111* +L039750 111111111111111111111111111111111111111111111111111111111111111111* +L039816 111111111111111111111111111111111111111111111111111111111111111111* +L039882 111111111111111111111111111111111111111111111111111111111111111111* +L039948 111111111111111111111111111111111111111111111111111111111111111111* +L040014 111111111111111111111111111111111111111111111111111111111111111111* +L040080 111111111111111111111111111111111111111111111111111111111111111111* +L040146 111111111111111111111111111111111111111111111111111111111111111111* +L040212 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L040344 0010* +L040348 10100110011110* +L040362 10100110010010* +L040376 01010110011110* +L040390 11100011110011* +L040404 00100110011111* +L040418 10110110010011* +L040432 11001011111110* +L040446 11000011110011* +L040460 00100110011111* +L040474 10100110010011* +L040488 11011111111110* +L040502 11110011111110* +L040516 10100110011111* +L040530 00100110011111* +L040544 11011011111110* +L040558 11111111111111* +NOTE BLOCK 6 * +L040572 + 111111011111111111110101101111111111111111111111111111111111111111 + 111111111111111111111111111111011111111111111111111111101111111111 + 111111111110111111111111111011111111111110111111111111111111111111 + 111111111111111111111111111111111111111111111010111111111111111111 + 111111111111111111111111111111111110111111111111111111111111111111 + 110111111111111111111111111111111111111111111111111111111111111111 + 111110111111100111011111111111111111111111111111011111111111111111 + 101111111011111101111111111110111111111111111111111111111111111111 + 111111111111111111111111111111111111111111101111111111111111111111* +L041166 + 111111111111111111111111111111111111111111111111111111111111111111* +L041232 011101111111111111111111111111111110111111011111111111111111111111* +L041298 101111111111101111111111111111111111111111011111111111111111111111* +L041364 000000000000000000000000000000000000000000000000000000000000000000* +L041430 000000000000000000000000000000000000000000000000000000000000000000* +L041496 000000000000000000000000000000000000000000000000000000000000000000* +L041562 111111111111111111111111111101111111111111111111111111111111111111* +L041628 000000000000000000000000000000000000000000000000000000000000000000* +L041694 000000000000000000000000000000000000000000000000000000000000000000* +L041760 000000000000000000000000000000000000000000000000000000000000000000* +L041826 000000000000000000000000000000000000000000000000000000000000000000* +L041892 + 111111111111111111111111111111111111111111111111111111111111111111* +L041958 111111110101111111110111111111111111111111011111111111101111111111* +L042024 111111111111111111111111111111111111111111011101111111111111111111* +L042090 000000000000000000000000000000000000000000000000000000000000000000* +L042156 000000000000000000000000000000000000000000000000000000000000000000* +L042222 000000000000000000000000000000000000000000000000000000000000000000* +L042288 111111111111111111111111111111111111111111111111111111111111111111* +L042354 111111111111111111111111111111111111111111111111111111111111111111* +L042420 111111111111111111111111111111111111111111111111111111111111111111* +L042486 111111111111111111111111111111111111111111111111111111111111111111* +L042552 111111111111111111111111111111111111111111111111111111111111111111* +L042618 + 000000000000000000000000000000000000000000000000000000000000000000* +L042684 111011111111110111111111111111111111111110111111111111111111111111* +L042750 110111111111111011111111111111111111111101111111111111111111111111* +L042816 000000000000000000000000000000000000000000000000000000000000000000* +L042882 000000000000000000000000000000000000000000000000000000000000000000* +L042948 000000000000000000000000000000000000000000000000000000000000000000* +L043014 111111111111111111111111111111111111111111010111111111111111111111* +L043080 111111110101111111110111111111111111111111011101111111101111111111* +L043146 000000000000000000000000000000000000000000000000000000000000000000* +L043212 000000000000000000000000000000000000000000000000000000000000000000* +L043278 000000000000000000000000000000000000000000000000000000000000000000* +L043344 + 000000000000000000000000000000000000000000000000000000000000000000* +L043410 111111111101111111111111111111111111111111011111111111111111111111* +L043476 111111110111111111110111111111111111111111011110111111101111111111* +L043542 111111110110111111110111111111111111111111011111111111101111111111* +L043608 000000000000000000000000000000000000000000000000000000000000000000* +L043674 000000000000000000000000000000000000000000000000000000000000000000* +L043740 111111111111111111111111111111111111111111111111111111111111111111* +L043806 111111111111111111111111111111111111111111111111111111111111111111* +L043872 111111111111111111111111111111111111111111111111111111111111111111* +L043938 111111111111111111111111111111111111111111111111111111111111111111* +L044004 111111111111111111111111111111111111111111111111111111111111111111* +L044070 + 101111111111111111111111111111101111111111110111111111111111111111* +L044136 111111111111111111111111111111111111111111101111111111111111111111* +L044202 011101111111111111111111110111111111111111111111111111111111111111* +L044268 101111111111111101111111111111111111111111111111111111111111111111* +L044334 000000000000000000000000000000000000000000000000000000000000000000* +L044400 000000000000000000000000000000000000000000000000000000000000000000* +L044466 111111011111111111111111111111111111111111111111111111111111111111* +L044532 111111111111111111111111111111111111111111111111111111111111111111* +L044598 111111111111111111111111111111111111111111111111111111111111111111* +L044664 111111111111111111111111111111111111111111111111111111111111111111* +L044730 111111111111111111111111111111111111111111111111111111111111111111* +L044796 + 101111111111111111111111111111101111111111111111111111111111111111* +L044862 111111111111111111101111111111111111111111011111111111111111111111* +L044928 111111111111111111111111111111111111111111111111111111111111111111* +L044994 111111111111111111111111111111111111111111111111111111111111111111* +L045060 111111111111111111111111111111111111111111111111111111111111111111* +L045126 111111111111111111111111111111111111111111111111111111111111111111* +L045192 111111111111111111111111111111111111111111111111111111111111111111* +L045258 111111111111111111111111111111111111111111111111111111111111111111* +L045324 111111111111111111111111111111111111111111111111111111111111111111* +L045390 111111111111111111111111111111111111111111111111111111111111111111* +L045456 111111111111111111111111111111111111111111111111111111111111111111* +L045522 + 101111111111111111111111111111111111111111110111111111111111111111* +L045588 111111111111111111111110011111111111111111111111111111111111111111* +L045654 111111111111111111111111111111111111111111111111111111111111111111* +L045720 111111111111111111111111111111111111111111111111111111111111111111* +L045786 111111111111111111111111111111111111111111111111111111111111111111* +L045852 111111111111111111111111111111111111111111111111111111111111111111* +L045918 011110111111111111111111111111111111111111011111111111111111111111* +L045984 011111111111111111111111101111111111111111011111111111111111111111* +L046050 101111111111111110111111111111111111111111011111101111111111111111* +L046116 000000000000000000000000000000000000000000000000000000000000000000* +L046182 000000000000000000000000000000000000000000000000000000000000000000* +L046248 + 000000000000000000000000000000000000000000000000000000000000000000* +L046314 111111111111111111111111111111111111111111111111111111111111111111* +L046380 111111111111111111111111111111111111111111111111111111111111111111* +L046446 111111111111111111111111111111111111111111111111111111111111111111* +L046512 111111111111111111111111111111111111111111111111111111111111111111* +L046578 111111111111111111111111111111111111111111111111111111111111111111* +L046644 111111111111111111111111111111111111111111111111111111111111111111* +L046710 111111111111111111111111111111111111111111111111111111111111111111* +L046776 111111111111111111111111111111111111111111111111111111111111111111* +L046842 111111111111111111111111111111111111111111111111111111111111111111* +L046908 111111111111111111111111111111111111111111111111111111111111111111* +L046974 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L047106 0010* +L047110 11100110011000* +L047124 00100110011110* +L047138 10100110010100* +L047152 11100011111111* +L047166 10101111111001* +L047180 10100110010011* +L047194 00100110010000* +L047208 11100011110011* +L047222 10100110010000* +L047236 00000110010010* +L047250 01010110010100* +L047264 11101111110011* +L047278 00110011110011* +L047292 11100110010011* +L047306 11011011110000* +L047320 11111111111111* +NOTE BLOCK 7 * +L047334 + 111111111111111111101111111111111111111111111111111111111111011011 + 111111111111111111111011111111011111111111111111111011111111111111 + 111111111111111111111111111111111111111111111111101111111111111111 + 111111111111111111111111101010111111111111111011111111111111111111 + 111111111110111111111111111111111111111111111111111111111111111111 + 111111111111011011111111111111111111011111111111111111111111111111 + 111111011111111111111101111111111001111111111111111111101111111111 + 111111111011111111111111111111111111111010111111111111111111111111 + 101010111111111101111111111111111111111111111110111111111111111111* +L047928 + 000000000000000000000000000000000000000000000000000000000000000000* +L047994 011111110111111111111111111101111111111111111110111111111111111111* +L048060 011111111111111111111011111101111111111111111110111111111111111111* +L048126 011111111110111011111111111101111111111111111110111111111111111111* +L048192 011111111001111011110111111101111111111111111111111011111111111111* +L048258 000000000000000000000000000000000000000000000000000000000000000000* +L048324 111111111111101110111110010111111110011011111111011111111111111111* +L048390 000000000000000000000000000000000000000000000000000000000000000000* +L048456 000000000000000000000000000000000000000000000000000000000000000000* +L048522 000000000000000000000000000000000000000000000000000000000000000000* +L048588 000000000000000000000000000000000000000000000000000000000000000000* +L048654 + 000000000000000000000000000000000000000000000000000000000000000000* +L048720 111111110111111111111111111111111111111111111111111111111111111111* +L048786 111111111111111111111111111111111111111111111111111111111111111111* +L048852 111111111111111111111111111111111111111111111111111111111111111111* +L048918 111111111111111111111111111111111111111111111111111111111111111111* +L048984 111111111111111111111111111111111111111111111111111111111111111111* +L049050 111111111111111111111111111111111111111111111111111111111111111111* +L049116 111111111111111111111111111111111111111111111111111111111111111111* +L049182 111111111111111111111111111111111111111111111111111111111111111111* +L049248 111111111111111111111111111111111111111111111111111111111111111111* +L049314 111111111111111111111111111111111111111111111111111111111111111111* +L049380 + 111111111111111111111111111111111111111111111111111111111111111111* +L049446 101111111111111111111111111111111111111111111111111111111111111111* +L049512 111111111111111111111111111111111111011101111111111111111111111111* +L049578 111101111011111111110111111111111111011111111111111111111111111111* +L049644 000000000000000000000000000000000000000000000000000000000000000000* +L049710 000000000000000000000000000000000000000000000000000000000000000000* +L049776 111111111111111111110111111111111111111111111111111111111111111111* +L049842 111111111111111111111111111111111111111111111111111111111111111111* +L049908 111111111111111111111111111111111111111111111111111111111111111111* +L049974 111111111111111111111111111111111111111111111111111111111111111111* +L050040 111111111111111111111111111111111111111111111111111111111111111111* +L050106 + 111111111111111111111111111111101111111110110111111111111111111111* +L050172 111111111111111111111111111111111111111111111111111111111111111111* +L050238 111111111111111111111111111111111111111111111111111111111111111111* +L050304 111111111111111111111111111111111111111111111111111111111111111111* +L050370 111111111111111111111111111111111111111111111111111111111111111111* +L050436 111111111111111111111111111111111111111111111111111111111111111111* +L050502 111111111111111111111111111111111111111111111111111111111111111111* +L050568 111111111111111111111111111111111111111111111111111111111111111111* +L050634 111111111111111111111111111111111111111111111111111111111111111111* +L050700 111111111111111111111111111111111111111111111111111111111111111111* +L050766 111111111111111111111111111111111111111111111111111111111111111111* +L050832 + 111111111111111111111111111111011111111111111111111111111111111111* +L050898 111110101111111111111111111111111111111111111111111111111111111111* +L050964 111111111111111111111111111111111111111111111111111111111111111111* +L051030 111111111111111111111111111111111111111111111111111111111111111111* +L051096 111111111111111111111111111111111111111111111111111111111111111111* +L051162 111111111111111111111111111111111111111111111111111111111111111111* +L051228 011111110111111111111011111111111111111111111111111111111111110111* +L051294 010111111111111111111111111111111011111111111111111111101111111111* +L051360 000000000000000000000000000000000000000000000000000000000000000000* +L051426 000000000000000000000000000000000000000000000000000000000000000000* +L051492 000000000000000000000000000000000000000000000000000000000000000000* +L051558 + 111111111111111111111111111111111111111101110111111111111111111111* +L051624 111111111111111111111111111111111111111111111111111111111111111111* +L051690 111111111111111111111111111111111111111111111111111111111111111111* +L051756 111111111111111111111111111111111111111111111111111111111111111111* +L051822 111111111111111111111111111111111111111111111111111111111111111111* +L051888 111111111111111111111111111111111111111111111111111111111111111111* +L051954 111111111111111111111111111111111111111111111111111111111111111111* +L052020 111111111111111111111111111111111111111111111111111111111111111111* +L052086 111111111111111111111111111111111111111111111111111111111111111111* +L052152 111111111111111111111111111111111111111111111111111111111111111111* +L052218 111111111111111111111111111111111111111111111111111111111111111111* +L052284 + 111111111111111111111111111111101111111110111111111111111111111111* +L052350 111111111111111111101111111111111111111111111111111111111111011111* +L052416 111111111111111111111111111111111111111111111111111111111111111111* +L052482 111111111111111111111111111111111111111111111111111111111111111111* +L052548 111111111111111111111111111111111111111111111111111111111111111111* +L052614 111111111111111111111111111111111111111111111111111111111111111111* +L052680 011111110111111111111011111111111111111111111111111111111111110111* +L052746 010111110111110111111111111111111111111111111111111111111111111111* +L052812 010111111111110111111011111111111111111111111111111111111111111111* +L052878 000000000000000000000000000000000000000000000000000000000000000000* +L052944 000000000000000000000000000000000000000000000000000000000000000000* +L053010 + 111111111111111111111111111111111111111111111111111111111111111111* +L053076 111111111111111111111111111111111111111111111111111111111111111111* +L053142 111111111111111111111111111111111111111111111111111111111111111111* +L053208 111111111111111111111111111111111111111111111111111111111111111111* +L053274 111111111111111111111111111111111111111111111111111111111111111111* +L053340 111111111111111111111111111111111111111111111111111111111111111111* +L053406 111111111111111111111111111111111111111111111111111111111111111111* +L053472 111111111111111111111111111111111111111111111111111111111111111111* +L053538 111111111111111111111111111111111111111111111111111111111111111111* +L053604 111111111111111111111111111111111111111111111111111111111111111111* +L053670 111111111111111111111111111111111111111111111111111111111111111111* +L053736 + 000000000000000000000000000000000000000000000000000000000000000000 + 000000000000000000000000000000000000000000000000000000000000000000* +L053868 0010* +L053872 11100110011100* +L053886 01101011110010* +L053900 00010110010001* +L053914 11101011110011* +L053928 10100110010000* +L053942 00000110011110* +L053956 11011111110101* +L053970 11111011110011* +L053984 01110011111000* +L053998 11100110011110* +L054012 11011011110110* +L054026 11111111110011* +L054040 00110011110000* +L054054 10100110010010* +L054068 11011011111101* +L054082 11111111111111* +E1 +1 +00000000 +1 +00000000 +1 +00000000 +1 +00000000 +1 +00000000 +1 +00000000 +1 +00000000 +1 +00000000 +1 +* +CE8C5* +U00000000000000000000000000000000* +9510 diff --git a/Logic/68030_tk.bl2 b/Logic/68030_tk.bl2 index a4bf335..cc17c64 100644 --- a/Logic/68030_tk.bl2 +++ b/Logic/68030_tk.bl2 @@ -1,116 +1,112 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Aug 25 22:27:51 2016 +#$ DATE Wed Sep 14 23:54:26 2016 #$ MODULE 68030_tk -#$ PINS 75 AHIGH_30_ AHIGH_29_ SIZE_1_ AHIGH_28_ AHIGH_27_ AHIGH_31_ AHIGH_26_ \ -# AHIGH_25_ A_DECODE_23_ AHIGH_24_ A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ IPL_030_2_ \ -# A_DECODE_19_ A_DECODE_18_ IPL_2_ A_DECODE_17_ A_DECODE_16_ FC_1_ A_DECODE_15_ AS_030 \ -# A_DECODE_14_ AS_000 A_DECODE_13_ RW_000 A_DECODE_12_ DS_030 A_DECODE_11_ UDS_000 \ -# A_DECODE_10_ LDS_000 A_DECODE_9_ nEXP_SPACE A_DECODE_8_ BERR A_DECODE_7_ BG_030 \ -# A_DECODE_6_ BG_000 A_DECODE_5_ BGACK_030 A_DECODE_4_ BGACK_000 A_DECODE_3_ CLK_030 \ -# A_DECODE_2_ CLK_000 A_0_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT IPL_030_0_ CLK_EXP IPL_1_ \ -# FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 A_1_ DTACK AVEC E VPA VMA RST RESET RW \ -# AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH \ -# CIIN SIZE_0_ -#$ NODES 637 N_91_i as_000_dma_0_un0_n N_90_i N_248_i a_decode_15__n \ -# sm_amiga_i_i_7__n N_26_i AS_030_000_SYNC_i N_34_0 a_decode_14__n sm_amiga_i_3__n \ -# BG_030_c_i rst_dly_i_0__n pos_clk_un6_bg_030_i_n a_decode_13__n rst_dly_i_1__n \ -# pos_clk_un9_bg_030_0_n clk_000_d_i_1__n pos_clk_un3_as_030_d0_i_n a_decode_12__n \ -# inst_BGACK_030_INTreg N_249_i_0 un10_ciin_i vcc_n_n cpu_est_i_0__n N_127_0 \ -# a_decode_11__n inst_VMA_INTreg rst_dly_i_2__n N_369_0 gnd_n_n FPU_SENSE_i N_367_i \ -# a_decode_10__n un1_amiga_bus_enable_low N_122_i un1_SM_AMIGA_0_sqmuxa_3_i \ -# un6_as_030 a_decode_i_16__n N_278_0 a_decode_9__n un3_size a_decode_i_18__n N_218_i \ -# un4_size a_decode_i_19__n N_366_0 a_decode_8__n un1_LDS_000_INT BGACK_030_INT_i \ -# VPA_c_i un1_UDS_000_INT AMIGA_BUS_ENABLE_DMA_LOW_i N_55_0 a_decode_7__n un4_as_000 \ -# N_101_i N_7_i un10_ciin N_102_i N_47_0 a_decode_6__n un21_fpu_cs a_i_1__n \ -# LDS_000_INT_i un22_berr cpu_est_i_1__n un1_LDS_000_INT_0 a_decode_5__n un6_ds_030 \ -# cpu_est_i_2__n UDS_000_INT_i cpu_est_0_ VPA_D_i un1_UDS_000_INT_0 a_decode_4__n \ -# cpu_est_1_ DTACK_D0_i N_25_i cpu_est_2_ cpu_est_i_3__n N_35_0 a_decode_3__n \ -# cpu_est_3_ nEXP_SPACE_i N_24_i inst_AS_000_INT AS_000_i N_36_0 a_decode_2__n \ -# inst_AMIGA_BUS_ENABLE_DMA_LOW clk_000_d_i_0__n N_23_i inst_AS_030_D0 RESET_OUT_i \ -# N_37_0 inst_AS_030_000_SYNC AS_000_DMA_i N_22_i inst_BGACK_030_INT_D RW_000_i N_38_0 \ -# inst_AS_000_DMA CLK_030_H_i N_19_i inst_DS_000_DMA cycle_dma_i_0__n N_41_0 \ -# CYCLE_DMA_0_ AS_030_D0_i N_17_i CYCLE_DMA_1_ size_dma_i_0__n N_43_0 SIZE_DMA_0_ \ -# size_dma_i_1__n N_10_i SIZE_DMA_1_ ahigh_i_30__n N_44_0 inst_VPA_D ahigh_i_31__n \ -# a_c_i_0__n inst_DTACK_D0 ahigh_i_28__n size_c_i_1__n inst_RESET_OUT ahigh_i_29__n \ -# pos_clk_un10_sm_amiga_i_n CLK_000_D_1_ ahigh_i_26__n N_259_i CLK_000_D_0_ \ -# ahigh_i_27__n pos_clk_un6_bgack_000_0_n inst_CLK_OUT_PRE_50 ahigh_i_24__n N_282_0 \ -# inst_CLK_OUT_PRE_25 ahigh_i_25__n N_21_i inst_CLK_OUT_PRE_D N_244_i N_39_0 IPL_D0_0_ \ -# N_245_i N_188_i IPL_D0_1_ N_246_i N_187_i IPL_D0_2_ N_58_0 pos_clk_un6_bg_030_n \ -# un6_ds_030_i N_209_i inst_AMIGA_BUS_ENABLE_DMA_HIGH DS_000_DMA_i N_208_i \ -# inst_DSACK1_INTreg un4_as_000_i pos_clk_un9_clk_000_pe_0_n pos_clk_ipl_n \ -# un6_as_030_i N_210_i inst_LDS_000_INT AS_030_c N_211_i inst_DS_000_ENABLE \ -# cpu_est_2_0_1__n inst_UDS_000_INT AS_000_c N_258_i SM_AMIGA_6_ N_212_i SM_AMIGA_4_ \ -# RW_000_c cpu_est_2_0_2__n SM_AMIGA_1_ N_216_i SM_AMIGA_0_ N_215_i inst_RW_000_INT \ -# UDS_000_c N_40_i inst_RW_000_DMA N_138_0 RST_DLY_0_ LDS_000_c N_142_i RST_DLY_1_ \ -# N_143_i RST_DLY_2_ size_c_0__n VMA_INT_i inst_A0_DMA N_392_i inst_CLK_030_H \ -# size_c_1__n N_393_i pos_clk_rw_000_int_5_n N_152_i SM_AMIGA_5_ ahigh_c_24__n N_161_0 \ -# SM_AMIGA_3_ SM_AMIGA_2_ ahigh_c_25__n N_106_i pos_clk_ds_000_dma_4_n N_186_i N_3 \ -# ahigh_c_26__n CLK_030_c_i N_8 N_164_0 ahigh_c_27__n N_67_i LDS_000_c_i ahigh_c_28__n \ -# UDS_000_c_i N_156_i ahigh_c_29__n pos_clk_un21_bgack_030_int_i_0_0_n N_27 N_237_i \ -# N_28 ahigh_c_30__n N_131_i N_29 CLK_OUT_PRE_25_0 ahigh_c_31__n N_368_i N_275_0 N_227_i \ -# N_276_0 N_226_i RW_c_i pos_clk_rw_000_int_5_0_n N_225_i pos_clk_ds_000_dma_4_0_n \ -# N_224_i pos_clk_size_dma_6_0_1__n N_223_i pos_clk_size_dma_6_0_0__n N_222_i N_201_i \ -# N_202_i AMIGA_BUS_DATA_DIR_c_0 N_199_i N_200_i sm_amiga_nss_0_2__n N_189_i N_190_i \ -# N_29_i N_33_0 N_28_i SM_AMIGA_i_7_ N_32_0 N_27_i N_31_0 a_decode_c_16__n ipl_c_i_2__n \ -# N_54_0 a_decode_c_17__n ipl_c_i_1__n N_53_0 pos_clk_size_dma_6_0__n \ -# a_decode_c_18__n ipl_c_i_0__n pos_clk_size_dma_6_1__n N_52_0 N_106 a_decode_c_19__n \ -# DTACK_c_i G_119 N_56_0 G_120 a_decode_c_20__n N_3_i G_121 N_50_0 \ -# pos_clk_un21_bgack_030_int_i_0_n a_decode_c_21__n N_8_i N_275 N_46_0 N_276 \ -# a_decode_c_22__n pos_clk_un10_sm_amiga_i_1_n sm_amiga_nss_i_0_1_0__n N_108 \ -# a_decode_c_23__n sm_amiga_nss_i_0_2_0__n N_110 sm_amiga_nss_i_0_3_0__n a_c_0__n \ -# sm_amiga_nss_i_0_4_0__n sm_amiga_nss_i_0_5_0__n N_127 a_c_1__n un10_ciin_1 N_130 \ -# un10_ciin_2 N_131 nEXP_SPACE_c un10_ciin_3 N_139 un10_ciin_4 N_152 BERR_c un10_ciin_5 \ -# N_156 un10_ciin_6 N_164 BG_030_c un10_ciin_7 N_370 un10_ciin_8 N_177 BG_000DFFreg \ -# un10_ciin_9 N_179 un10_ciin_10 N_185 un10_ciin_11 N_186 BGACK_000_c \ -# pos_clk_un21_bgack_030_int_i_0_0_1_n N_189 pos_clk_un21_bgack_030_int_i_0_0_2_n \ -# N_190 CLK_030_c N_307_i_1 N_199 N_307_i_2 N_200 N_202_1 N_201 N_202_2 N_202 CLK_OSZI_c \ -# N_208_1 N_203 N_208_2 N_211 N_209_1 N_217 CLK_OUT_INTreg N_209_2 N_222 N_392_1 N_223 \ -# N_392_2 N_224 FPU_SENSE_c N_122_1 N_225 N_122_2 N_226 IPL_030DFF_0_reg N_122_3 N_227 \ -# N_122_4 N_236 IPL_030DFF_1_reg N_218_1 N_237 N_218_2 N_243 IPL_030DFF_2_reg \ -# un21_fpu_cs_1 N_391 un22_berr_1_0 N_250 ipl_c_0__n N_305_i_1 \ -# pos_clk_un21_bgack_030_int_i_0_o2_2_x2 N_305_i_2 pos_clk_CYCLE_DMA_5_1_i_x2 \ -# ipl_c_1__n N_304_i_1 N_208 N_304_i_2 N_209 ipl_c_2__n N_178_1 N_258 N_178_2 N_161 \ -# N_178_3 N_392 DTACK_c N_204_1_0 N_393 N_125_i_1 N_138 N_276_0_1 N_143 \ -# pos_clk_rw_000_int_5_0_1_n N_215 VPA_c N_277_i_1 N_216 N_306_i_1 N_214 \ -# pos_clk_un6_bg_030_1_n cpu_est_2_2__n RST_c N_211_1 N_212 N_203_1 cpu_est_2_1__n \ -# N_199_1 N_210 RW_c N_185_1 pos_clk_un9_clk_000_pe_n N_179_1 N_187 fc_c_0__n N_177_1 \ -# N_188 pos_clk_ipl_1_n N_21 fc_c_1__n dsack1_int_0_un3_n N_247 dsack1_int_0_un1_n \ -# N_282 dsack1_int_0_un0_n pos_clk_un6_bgack_000_n AMIGA_BUS_DATA_DIR_c \ -# rw_000_int_0_un3_n N_259 rw_000_int_0_un1_n pos_clk_a0_dma_3_n rw_000_int_0_un0_n \ -# N_101 as_000_int_0_un3_n N_102 as_000_int_0_un1_n N_10 N_18_i as_000_int_0_un0_n N_17 \ -# N_42_0 bg_000_0_un3_n N_19 N_5_i bg_000_0_un1_n N_22 N_48_0 bg_000_0_un0_n N_23 N_4_i \ -# cpu_est_0_3__un3_n N_24 N_49_0 cpu_est_0_3__un1_n N_25 N_191_i cpu_est_0_3__un0_n N_6 \ -# un1_SM_AMIGA_0_sqmuxa_2_0 un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n \ -# un1_SM_AMIGA_0_sqmuxa_3 N_193_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n \ -# N_278 N_192_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n N_7 \ -# sm_amiga_nss_0_6__n amiga_bus_enable_dma_low_0_un3_n pos_clk_un3_as_030_d0_n \ -# N_177_i amiga_bus_enable_dma_low_0_un1_n N_366 N_194_i \ -# amiga_bus_enable_dma_low_0_un0_n N_122 sm_amiga_nss_0_5__n uds_000_int_0_un3_n \ -# N_218 N_195_i uds_000_int_0_un1_n un22_berr_1 N_196_i uds_000_int_0_un0_n \ -# pos_clk_un9_bg_030_n sm_amiga_nss_0_4__n a0_dma_0_un3_n N_26 N_198_i a0_dma_0_un1_n \ -# cpu_est_2_3__n N_197_i a0_dma_0_un0_n N_180 sm_amiga_nss_0_3__n rw_000_dma_0_un3_n \ -# N_136 N_204_i rw_000_dma_0_un1_n N_249 N_203_i rw_000_dma_0_un0_n N_181 N_303_0 \ -# lds_000_int_0_un3_n N_183 N_280_0 lds_000_int_0_un1_n N_184 N_279_0 \ -# lds_000_int_0_un0_n N_257 N_236_i bgack_030_int_0_un3_n N_205 N_391_i \ -# bgack_030_int_0_un1_n N_206 N_137_0 bgack_030_int_0_un0_n N_213 N_241_i \ -# ds_000_enable_0_un3_n N_238 N_240_i ds_000_enable_0_un1_n N_162 sm_amiga_nss_0_7__n \ -# ds_000_enable_0_un0_n N_178 sm_amiga_i_4__n as_030_000_sync_0_un3_n N_204_1 N_242_i \ -# as_030_000_sync_0_un1_n N_155 N_144_0 as_030_000_sync_0_un0_n N_204 sm_amiga_i_2__n \ -# amiga_bus_enable_dma_high_0_un3_n N_239 N_154_i amiga_bus_enable_dma_high_0_un1_n \ -# N_252 sm_amiga_i_6__n amiga_bus_enable_dma_high_0_un0_n N_175 sm_amiga_i_0__n \ -# cpu_est_0_2__un3_n N_176 N_155_i cpu_est_0_2__un1_n N_163 N_160_0 cpu_est_0_2__un0_n \ -# N_160 N_243_i cpu_est_0_1__un3_n N_144 N_163_0 cpu_est_0_1__un1_n N_242 N_176_i \ -# cpu_est_0_1__un0_n N_240 N_175_i vma_int_0_un3_n N_241 N_252_i vma_int_0_un1_n N_137 \ -# N_239_i vma_int_0_un0_n N_279 N_178_i size_dma_0_0__un3_n N_91 sm_amiga_nss_i_0_0__n \ -# size_dma_0_0__un1_n N_280 size_dma_0_0__un0_n N_90 N_181_i size_dma_0_1__un3_n N_197 \ -# N_180_i size_dma_0_1__un1_n N_198 N_179_i size_dma_0_1__un0_n N_195 \ -# ipl_030_0_0__un3_n N_196 N_185_i ipl_030_0_0__un1_n N_194 N_183_i ipl_030_0_0__un0_n \ -# N_192 N_184_i ipl_030_0_1__un3_n N_193 N_162_0 ipl_030_0_1__un1_n \ -# un1_SM_AMIGA_0_sqmuxa_2 N_139_i ipl_030_0_1__un0_n N_191 N_238_i ipl_030_0_2__un3_n \ -# N_4 N_136_0 ipl_030_0_2__un1_n N_5 N_130_i ipl_030_0_2__un0_n N_18 N_213_i \ -# ds_000_dma_0_un3_n un1_amiga_bus_enable_low_i N_214_i ds_000_dma_0_un1_n \ -# un21_fpu_cs_i cpu_est_2_0_3__n ds_000_dma_0_un0_n AS_030_i N_206_i \ -# as_000_dma_0_un3_n AS_000_INT_i N_205_i as_000_dma_0_un1_n +#$ PINS 75 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 \ +# DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 \ +# SIZE_0_ CLK_OSZI AHIGH_30_ CLK_DIV_OUT AHIGH_29_ CLK_EXP AHIGH_28_ FPU_CS AHIGH_27_ \ +# FPU_SENSE AHIGH_26_ DSACK1 AHIGH_25_ DTACK AHIGH_24_ AVEC A_DECODE_22_ E A_DECODE_21_ \ +# VPA A_DECODE_20_ VMA A_DECODE_19_ RST A_DECODE_18_ RESET A_DECODE_17_ RW A_DECODE_16_ \ +# AMIGA_ADDR_ENABLE A_DECODE_15_ AMIGA_BUS_DATA_DIR A_DECODE_14_ \ +# AMIGA_BUS_ENABLE_LOW A_DECODE_13_ AMIGA_BUS_ENABLE_HIGH A_DECODE_12_ CIIN \ +# A_DECODE_11_ A_DECODE_10_ A_DECODE_9_ A_DECODE_8_ A_DECODE_7_ A_DECODE_6_ \ +# A_DECODE_5_ A_DECODE_4_ A_DECODE_3_ A_DECODE_2_ A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ \ +# IPL_0_ FC_0_ A_1_ +#$ NODES 602 N_130_i pos_clk_un6_bgack_000_0_n N_131_i DTACK_c_i CLK_030_H_i N_56_0 \ +# RW_000_i VPA_c_i a_i_1__n N_55_0 RESET_OUT_i N_6_i AS_030_i N_47_0 FPU_SENSE_i N_26_i \ +# inst_BGACK_030_INTreg sm_amiga_i_i_7__n N_34_0 vcc_n_n a_decode_i_16__n BG_030_c_i \ +# inst_VMA_INTreg AS_030_D0_i pos_clk_un6_bg_030_i_n gnd_n_n size_dma_i_0__n \ +# pos_clk_un9_bg_030_0_n un1_amiga_bus_enable_low size_dma_i_1__n N_25_i un6_as_030 \ +# a_decode_i_18__n N_35_0 un3_size a_decode_i_19__n N_24_i un4_size ahigh_i_30__n \ +# N_36_0 un1_LDS_000_INT ahigh_i_31__n N_17_i un1_UDS_000_INT ahigh_i_28__n N_43_0 \ +# un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_29__n N_4_i un1_DS_000_ENABLE_0_sqmuxa \ +# ahigh_i_26__n N_49_0 un4_as_000 ahigh_i_27__n N_3_i un10_ciin ahigh_i_24__n N_50_0 \ +# un21_fpu_cs ahigh_i_25__n N_215_i un21_berr N_210_i N_216_i un6_ds_030 N_211_i N_301_0 \ +# cpu_est_2_ N_212_i N_243_0 cpu_est_3_ N_266_i cpu_est_0_ un6_ds_030_i N_249_i \ +# cpu_est_1_ un4_as_000_i AMIGA_BUS_DATA_DIR_c_0 inst_AS_000_INT AS_000_INT_i N_268_i \ +# inst_AMIGA_BUS_ENABLE_DMA_LOW un6_as_030_i pos_clk_ds_000_dma_4_0_n \ +# inst_AS_030_D0 AS_030_c CLK_030_c_i inst_AS_030_000_SYNC N_236_0 \ +# inst_BGACK_030_INT_D AS_000_c un1_as_000_i inst_AS_000_DMA N_297_i inst_DS_000_DMA \ +# RW_000_c N_160_i CYCLE_DMA_0_ pos_clk_un21_bgack_030_int_i_0_i_n CYCLE_DMA_1_ \ +# N_100_i SIZE_DMA_0_ UDS_000_c N_186_0 SIZE_DMA_1_ N_183_0 inst_VPA_D LDS_000_c N_182_0 \ +# CLK_000_D_1_ N_181_0 inst_DTACK_D0 size_c_0__n N_228_i inst_RESET_OUT N_176_0 \ +# CLK_000_D_0_ size_c_1__n LDS_000_c_i inst_CLK_OUT_PRE_50 UDS_000_c_i \ +# inst_CLK_OUT_PRE_25 ahigh_c_24__n N_173_i inst_CLK_OUT_PRE_D N_304_i IPL_D0_0_ \ +# ahigh_c_25__n AS_030_000_SYNC_i IPL_D0_1_ N_157_i IPL_D0_2_ ahigh_c_26__n N_110_0 \ +# CLK_000_D_2_ RW_c_i pos_clk_un6_bg_030_n ahigh_c_27__n N_106_0 \ +# inst_AMIGA_BUS_ENABLE_DMA_HIGH N_284_i inst_DSACK1_INTreg ahigh_c_28__n \ +# pos_clk_ipl_n N_334_i inst_LDS_000_INT ahigh_c_29__n inst_DS_000_ENABLE N_278_i \ +# inst_UDS_000_INT ahigh_c_30__n N_279_i SM_AMIGA_6_ SM_AMIGA_4_ ahigh_c_31__n N_332_i \ +# SM_AMIGA_1_ N_237_0 SM_AMIGA_0_ un1_SM_AMIGA_0_sqmuxa_1_0 inst_RW_000_INT N_247_i \ +# inst_RW_000_DMA N_248_i RST_DLY_0_ RST_DLY_1_ N_246_i RST_DLY_2_ inst_A0_DMA \ +# pos_clk_a0_dma_3_n un10_ciin_i inst_CLK_030_H N_241_0 SM_AMIGA_5_ \ +# un1_DS_000_ENABLE_0_sqmuxa_i SM_AMIGA_3_ N_242_0 SM_AMIGA_2_ N_48_i N_227_i N_9 \ +# N_225_i N_224_i N_15 N_223_i N_16 N_22 N_218_i CLK_OUT_PRE_25_0 \ +# pos_clk_size_dma_6_0_1__n N_217_i pos_clk_size_dma_6_0_0__n N_213_i N_319_i N_300_0 \ +# N_15_i a_decode_c_16__n N_45_0 N_16_i a_decode_c_17__n N_44_0 N_22_i a_decode_c_18__n \ +# N_38_0 pos_clk_un21_bgack_030_int_i_0_i_1_n a_decode_c_19__n \ +# pos_clk_un21_bgack_030_int_i_0_i_2_n N_238_i_1 a_decode_c_20__n N_238_i_2 \ +# N_239_i_1 a_decode_c_21__n N_239_i_2 pos_clk_un10_sm_amiga_i_1_n a_decode_c_22__n \ +# un10_ciin_1 un10_ciin_2 a_decode_c_23__n un10_ciin_3 un10_ciin_4 a_c_0__n \ +# un10_ciin_5 un10_ciin_6 SM_AMIGA_i_7_ a_c_1__n un10_ciin_7 pos_clk_size_dma_6_0__n \ +# un10_ciin_8 pos_clk_size_dma_6_1__n nEXP_SPACE_c un10_ciin_9 G_107 un10_ciin_10 \ +# G_108 BERR_c un10_ciin_11 G_109 N_357_1 pos_clk_un21_bgack_030_int_i_0_n BG_030_c \ +# N_357_2 N_237 N_357_3 N_241 BG_000DFFreg N_357_4 N_242 N_304_i_1 un21_fpu_cs_1 N_283 \ +# BGACK_000_c un21_berr_1_0 N_294 N_266_1 N_300 CLK_030_c N_266_2 N_67_i_1 N_106 N_67_i_2 \ +# N_314_1 N_134 CLK_OSZI_c N_314_2 N_138 N_318_1 N_156 N_318_2 N_160 CLK_OUT_INTreg \ +# N_341_1 N_167 N_341_2 N_172 N_151_i_1 N_173 FPU_SENSE_c N_143_i_1 N_181 N_141_i_1 N_182 \ +# IPL_030DFF_0_reg N_237_0_1 N_183 N_240_i_1 N_191 IPL_030DFF_1_reg N_60_i_1 N_199 \ +# N_64_i_1 N_205 IPL_030DFF_2_reg N_155_i_1 N_209 N_147_i_1 N_319 ipl_c_0__n N_145_i_1 \ +# N_213 N_139_i_1 N_216 ipl_c_1__n pos_clk_un6_bg_030_1_n N_217 N_220_1 N_218 ipl_c_2__n \ +# N_216_1 N_220 N_205_1 N_223 N_199_1 N_224 DTACK_c pos_clk_ipl_1_n N_225 \ +# uds_000_int_0_un3_n N_227 uds_000_int_0_un1_n N_228 uds_000_int_0_un0_n N_246 VPA_c \ +# as_000_int_0_un3_n N_247 as_000_int_0_un1_n N_248 as_000_int_0_un0_n N_332 RST_c \ +# dsack1_int_0_un3_n N_278 dsack1_int_0_un1_n N_279 dsack1_int_0_un0_n N_334 RW_c \ +# vma_int_0_un3_n N_284 vma_int_0_un1_n N_343 fc_c_0__n vma_int_0_un0_n \ +# pos_clk_CYCLE_DMA_5_1_i_0_x2 lds_000_int_0_un3_n un21_berr_1 fc_c_1__n \ +# lds_000_int_0_un1_n N_357 lds_000_int_0_un0_n N_266 ipl_030_0_1__un3_n N_186 \ +# AMIGA_BUS_DATA_DIR_c ipl_030_0_1__un1_n pos_clk_un21_bgack_030_int_i_0_o2_2_x2 \ +# ipl_030_0_1__un0_n N_297 ipl_030_0_0__un3_n N_236 ipl_030_0_0__un1_n \ +# pos_clk_ds_000_dma_4_n ipl_030_0_0__un0_n N_268 UDS_000_INT_i cpu_est_0_3__un3_n \ +# N_249 un1_UDS_000_INT_0 cpu_est_0_3__un1_n N_243 LDS_000_INT_i cpu_est_0_3__un0_n \ +# N_215 un1_LDS_000_INT_0 cpu_est_0_2__un3_n N_130 N_23_i cpu_est_0_2__un1_n N_131 \ +# N_37_0 cpu_est_0_2__un0_n N_3 N_21_i cpu_est_0_1__un3_n N_4 N_39_0 cpu_est_0_1__un1_n \ +# N_17 N_20_i cpu_est_0_1__un0_n N_24 N_40_0 ipl_030_0_2__un3_n N_25 N_19_i \ +# ipl_030_0_2__un1_n pos_clk_un9_bg_030_n N_41_0 ipl_030_0_2__un0_n N_6 N_14_i \ +# amiga_bus_enable_dma_low_0_un3_n pos_clk_un6_bgack_000_n N_46_0 \ +# amiga_bus_enable_dma_low_0_un1_n N_26 ipl_c_i_0__n \ +# amiga_bus_enable_dma_low_0_un0_n N_208 N_52_0 rw_000_dma_0_un3_n N_207 ipl_c_i_1__n \ +# rw_000_dma_0_un1_n N_349 N_53_0 rw_000_dma_0_un0_n N_314 ipl_c_i_2__n \ +# as_000_dma_0_un3_n N_318 N_54_0 as_000_dma_0_un1_n N_348 N_27_i as_000_dma_0_un0_n \ +# N_201 N_31_0 ds_000_dma_0_un3_n N_200 N_28_i ds_000_dma_0_un1_n N_203 N_32_0 \ +# ds_000_dma_0_un0_n N_204 N_29_i bgack_030_int_0_un3_n N_185 N_33_0 \ +# bgack_030_int_0_un1_n N_184 a_c_i_0__n bgack_030_int_0_un0_n N_180 size_c_i_1__n \ +# bg_000_0_un3_n N_179 pos_clk_un10_sm_amiga_i_n bg_000_0_un1_n N_178 N_256_0 \ +# bg_000_0_un0_n N_171 N_318_i amiga_bus_enable_dma_high_0_un3_n N_341 N_314_i \ +# amiga_bus_enable_dma_high_0_un1_n N_342 pos_clk_un9_clk_000_pe_0_n \ +# amiga_bus_enable_dma_high_0_un0_n N_169 N_219_i \ +# un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n N_154 N_220_i \ +# un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n N_165 cpu_est_2_0_1__n \ +# un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n N_162 N_221_i \ +# size_dma_0_0__un3_n N_299 N_348_i size_dma_0_0__un1_n N_153 cpu_est_2_0_2__n \ +# size_dma_0_0__un0_n N_142 N_222_i size_dma_0_1__un3_n N_298 N_196_i \ +# size_dma_0_1__un1_n N_80 N_226_i size_dma_0_1__un0_n N_232 ds_000_enable_0_un3_n \ +# N_233 N_231_i ds_000_enable_0_un1_n N_229 N_229_i ds_000_enable_0_un0_n N_231 N_302_i \ +# as_030_000_sync_0_un3_n N_226 N_233_i as_030_000_sync_0_un1_n N_221 N_232_i \ +# as_030_000_sync_0_un0_n N_222 rw_000_int_0_un3_n cpu_est_2_2__n N_80_0 \ +# rw_000_int_0_un1_n cpu_est_2_1__n N_343_i rw_000_int_0_un0_n N_219 N_214_0 \ +# a0_dma_0_un3_n pos_clk_un9_clk_000_pe_n N_166_i a0_dma_0_un1_n N_256 N_134_i \ +# a0_dma_0_un0_n N_29 N_298_i a_decode_15__n N_28 N_142_0 N_27 N_153_i a_decode_14__n \ +# N_14 N_154_0 N_19 N_156_i a_decode_13__n N_20 N_305_i N_21 N_299_i a_decode_12__n N_23 \ +# N_162_0 un1_amiga_bus_enable_low_i N_165_0 a_decode_11__n un21_fpu_cs_i N_169_i \ +# cpu_est_i_1__n VMA_INT_i a_decode_10__n rst_dly_i_2__n N_341_i rst_dly_i_1__n \ +# N_342_i a_decode_9__n cpu_est_i_0__n N_171_i cpu_est_i_2__n N_172_i a_decode_8__n \ +# sm_amiga_i_0__n N_178_0 sm_amiga_i_3__n N_179_0 a_decode_7__n sm_amiga_i_4__n \ +# N_180_0 sm_amiga_i_5__n N_184_0 a_decode_6__n rst_dly_i_0__n N_185_0 sm_amiga_i_2__n \ +# N_203_i a_decode_5__n sm_amiga_i_1__n N_204_i VPA_D_i N_205_i a_decode_4__n \ +# clk_000_d_i_1__n cpu_est_i_3__n N_200_i a_decode_3__n sm_amiga_i_6__n N_199_i \ +# clk_000_d_i_0__n N_201_i a_decode_2__n BGACK_030_INT_i AS_000_i AS_000_DMA_i N_208_i \ +# nEXP_SPACE_i N_207_i cycle_dma_i_0__n N_167_i DS_000_DMA_i N_138_i \ +# AMIGA_BUS_ENABLE_DMA_LOW_i N_349_i .model bus68030 .inputs A_DECODE_23_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ @@ -123,307 +119,295 @@ A_DECODE_4_.BLIF A_DECODE_3_.BLIF A_DECODE_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF \ FC_0_.BLIF A_1_.BLIF SIZE_1_.BLIF AHIGH_31_.BLIF AS_030.BLIF AS_000.BLIF \ RW_000.BLIF UDS_000.BLIF LDS_000.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF \ AHIGH_30_.BLIF AHIGH_29_.BLIF AHIGH_28_.BLIF AHIGH_27_.BLIF AHIGH_26_.BLIF \ -AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF N_91_i.BLIF as_000_dma_0_un0_n.BLIF \ -N_90_i.BLIF N_248_i.BLIF a_decode_15__n.BLIF sm_amiga_i_i_7__n.BLIF \ -N_26_i.BLIF AS_030_000_SYNC_i.BLIF N_34_0.BLIF a_decode_14__n.BLIF \ -sm_amiga_i_3__n.BLIF BG_030_c_i.BLIF rst_dly_i_0__n.BLIF \ -pos_clk_un6_bg_030_i_n.BLIF a_decode_13__n.BLIF rst_dly_i_1__n.BLIF \ -pos_clk_un9_bg_030_0_n.BLIF clk_000_d_i_1__n.BLIF \ -pos_clk_un3_as_030_d0_i_n.BLIF a_decode_12__n.BLIF inst_BGACK_030_INTreg.BLIF \ -N_249_i_0.BLIF un10_ciin_i.BLIF vcc_n_n.BLIF cpu_est_i_0__n.BLIF N_127_0.BLIF \ -a_decode_11__n.BLIF inst_VMA_INTreg.BLIF rst_dly_i_2__n.BLIF N_369_0.BLIF \ -gnd_n_n.BLIF FPU_SENSE_i.BLIF N_367_i.BLIF a_decode_10__n.BLIF \ -un1_amiga_bus_enable_low.BLIF N_122_i.BLIF un1_SM_AMIGA_0_sqmuxa_3_i.BLIF \ -un6_as_030.BLIF a_decode_i_16__n.BLIF N_278_0.BLIF a_decode_9__n.BLIF \ -un3_size.BLIF a_decode_i_18__n.BLIF N_218_i.BLIF un4_size.BLIF \ -a_decode_i_19__n.BLIF N_366_0.BLIF a_decode_8__n.BLIF un1_LDS_000_INT.BLIF \ -BGACK_030_INT_i.BLIF VPA_c_i.BLIF un1_UDS_000_INT.BLIF \ -AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_55_0.BLIF a_decode_7__n.BLIF un4_as_000.BLIF \ -N_101_i.BLIF N_7_i.BLIF un10_ciin.BLIF N_102_i.BLIF N_47_0.BLIF \ -a_decode_6__n.BLIF un21_fpu_cs.BLIF a_i_1__n.BLIF LDS_000_INT_i.BLIF \ -un22_berr.BLIF cpu_est_i_1__n.BLIF un1_LDS_000_INT_0.BLIF a_decode_5__n.BLIF \ -un6_ds_030.BLIF cpu_est_i_2__n.BLIF UDS_000_INT_i.BLIF cpu_est_0_.BLIF \ -VPA_D_i.BLIF un1_UDS_000_INT_0.BLIF a_decode_4__n.BLIF cpu_est_1_.BLIF \ -DTACK_D0_i.BLIF N_25_i.BLIF cpu_est_2_.BLIF cpu_est_i_3__n.BLIF N_35_0.BLIF \ -a_decode_3__n.BLIF cpu_est_3_.BLIF nEXP_SPACE_i.BLIF N_24_i.BLIF \ -inst_AS_000_INT.BLIF AS_000_i.BLIF N_36_0.BLIF a_decode_2__n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF clk_000_d_i_0__n.BLIF N_23_i.BLIF \ -inst_AS_030_D0.BLIF RESET_OUT_i.BLIF N_37_0.BLIF inst_AS_030_000_SYNC.BLIF \ -AS_000_DMA_i.BLIF N_22_i.BLIF inst_BGACK_030_INT_D.BLIF RW_000_i.BLIF \ -N_38_0.BLIF inst_AS_000_DMA.BLIF CLK_030_H_i.BLIF N_19_i.BLIF \ -inst_DS_000_DMA.BLIF cycle_dma_i_0__n.BLIF N_41_0.BLIF CYCLE_DMA_0_.BLIF \ -AS_030_D0_i.BLIF N_17_i.BLIF CYCLE_DMA_1_.BLIF size_dma_i_0__n.BLIF \ -N_43_0.BLIF SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF N_10_i.BLIF SIZE_DMA_1_.BLIF \ -ahigh_i_30__n.BLIF N_44_0.BLIF inst_VPA_D.BLIF ahigh_i_31__n.BLIF \ -a_c_i_0__n.BLIF inst_DTACK_D0.BLIF ahigh_i_28__n.BLIF size_c_i_1__n.BLIF \ -inst_RESET_OUT.BLIF ahigh_i_29__n.BLIF pos_clk_un10_sm_amiga_i_n.BLIF \ -CLK_000_D_1_.BLIF ahigh_i_26__n.BLIF N_259_i.BLIF CLK_000_D_0_.BLIF \ -ahigh_i_27__n.BLIF pos_clk_un6_bgack_000_0_n.BLIF inst_CLK_OUT_PRE_50.BLIF \ -ahigh_i_24__n.BLIF N_282_0.BLIF inst_CLK_OUT_PRE_25.BLIF ahigh_i_25__n.BLIF \ -N_21_i.BLIF inst_CLK_OUT_PRE_D.BLIF N_244_i.BLIF N_39_0.BLIF IPL_D0_0_.BLIF \ -N_245_i.BLIF N_188_i.BLIF IPL_D0_1_.BLIF N_246_i.BLIF N_187_i.BLIF \ -IPL_D0_2_.BLIF N_58_0.BLIF pos_clk_un6_bg_030_n.BLIF un6_ds_030_i.BLIF \ -N_209_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF DS_000_DMA_i.BLIF \ -N_208_i.BLIF inst_DSACK1_INTreg.BLIF un4_as_000_i.BLIF \ -pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_ipl_n.BLIF un6_as_030_i.BLIF \ -N_210_i.BLIF inst_LDS_000_INT.BLIF AS_030_c.BLIF N_211_i.BLIF \ -inst_DS_000_ENABLE.BLIF cpu_est_2_0_1__n.BLIF inst_UDS_000_INT.BLIF \ -AS_000_c.BLIF N_258_i.BLIF SM_AMIGA_6_.BLIF N_212_i.BLIF SM_AMIGA_4_.BLIF \ -RW_000_c.BLIF cpu_est_2_0_2__n.BLIF SM_AMIGA_1_.BLIF N_216_i.BLIF \ -SM_AMIGA_0_.BLIF N_215_i.BLIF inst_RW_000_INT.BLIF UDS_000_c.BLIF N_40_i.BLIF \ -inst_RW_000_DMA.BLIF N_138_0.BLIF RST_DLY_0_.BLIF LDS_000_c.BLIF N_142_i.BLIF \ -RST_DLY_1_.BLIF N_143_i.BLIF RST_DLY_2_.BLIF size_c_0__n.BLIF VMA_INT_i.BLIF \ -inst_A0_DMA.BLIF N_392_i.BLIF inst_CLK_030_H.BLIF size_c_1__n.BLIF \ -N_393_i.BLIF pos_clk_rw_000_int_5_n.BLIF N_152_i.BLIF SM_AMIGA_5_.BLIF \ -ahigh_c_24__n.BLIF N_161_0.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF \ -ahigh_c_25__n.BLIF N_106_i.BLIF pos_clk_ds_000_dma_4_n.BLIF N_186_i.BLIF \ -N_3.BLIF ahigh_c_26__n.BLIF CLK_030_c_i.BLIF N_8.BLIF N_164_0.BLIF \ -ahigh_c_27__n.BLIF N_67_i.BLIF LDS_000_c_i.BLIF ahigh_c_28__n.BLIF \ -UDS_000_c_i.BLIF N_156_i.BLIF ahigh_c_29__n.BLIF \ -pos_clk_un21_bgack_030_int_i_0_0_n.BLIF N_27.BLIF N_237_i.BLIF N_28.BLIF \ -ahigh_c_30__n.BLIF N_131_i.BLIF N_29.BLIF CLK_OUT_PRE_25_0.BLIF \ -ahigh_c_31__n.BLIF N_368_i.BLIF N_275_0.BLIF N_227_i.BLIF N_276_0.BLIF \ -N_226_i.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n.BLIF N_225_i.BLIF \ -pos_clk_ds_000_dma_4_0_n.BLIF N_224_i.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ -N_223_i.BLIF pos_clk_size_dma_6_0_0__n.BLIF N_222_i.BLIF N_201_i.BLIF \ -N_202_i.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_199_i.BLIF N_200_i.BLIF \ -sm_amiga_nss_0_2__n.BLIF N_189_i.BLIF N_190_i.BLIF N_29_i.BLIF N_33_0.BLIF \ -N_28_i.BLIF SM_AMIGA_i_7_.BLIF N_32_0.BLIF N_27_i.BLIF N_31_0.BLIF \ -a_decode_c_16__n.BLIF ipl_c_i_2__n.BLIF N_54_0.BLIF a_decode_c_17__n.BLIF \ -ipl_c_i_1__n.BLIF N_53_0.BLIF pos_clk_size_dma_6_0__n.BLIF \ -a_decode_c_18__n.BLIF ipl_c_i_0__n.BLIF pos_clk_size_dma_6_1__n.BLIF \ -N_52_0.BLIF N_106.BLIF a_decode_c_19__n.BLIF DTACK_c_i.BLIF G_119.BLIF \ -N_56_0.BLIF G_120.BLIF a_decode_c_20__n.BLIF N_3_i.BLIF G_121.BLIF N_50_0.BLIF \ -pos_clk_un21_bgack_030_int_i_0_n.BLIF a_decode_c_21__n.BLIF N_8_i.BLIF \ -N_275.BLIF N_46_0.BLIF N_276.BLIF a_decode_c_22__n.BLIF \ -pos_clk_un10_sm_amiga_i_1_n.BLIF sm_amiga_nss_i_0_1_0__n.BLIF N_108.BLIF \ -a_decode_c_23__n.BLIF sm_amiga_nss_i_0_2_0__n.BLIF N_110.BLIF \ -sm_amiga_nss_i_0_3_0__n.BLIF a_c_0__n.BLIF sm_amiga_nss_i_0_4_0__n.BLIF \ -sm_amiga_nss_i_0_5_0__n.BLIF N_127.BLIF a_c_1__n.BLIF un10_ciin_1.BLIF \ -N_130.BLIF un10_ciin_2.BLIF N_131.BLIF nEXP_SPACE_c.BLIF un10_ciin_3.BLIF \ -N_139.BLIF un10_ciin_4.BLIF N_152.BLIF BERR_c.BLIF un10_ciin_5.BLIF N_156.BLIF \ -un10_ciin_6.BLIF N_164.BLIF BG_030_c.BLIF un10_ciin_7.BLIF N_370.BLIF \ -un10_ciin_8.BLIF N_177.BLIF BG_000DFFreg.BLIF un10_ciin_9.BLIF N_179.BLIF \ -un10_ciin_10.BLIF N_185.BLIF un10_ciin_11.BLIF N_186.BLIF BGACK_000_c.BLIF \ -pos_clk_un21_bgack_030_int_i_0_0_1_n.BLIF N_189.BLIF \ -pos_clk_un21_bgack_030_int_i_0_0_2_n.BLIF N_190.BLIF CLK_030_c.BLIF \ -N_307_i_1.BLIF N_199.BLIF N_307_i_2.BLIF N_200.BLIF N_202_1.BLIF N_201.BLIF \ -N_202_2.BLIF N_202.BLIF CLK_OSZI_c.BLIF N_208_1.BLIF N_203.BLIF N_208_2.BLIF \ -N_211.BLIF N_209_1.BLIF N_217.BLIF CLK_OUT_INTreg.BLIF N_209_2.BLIF N_222.BLIF \ -N_392_1.BLIF N_223.BLIF N_392_2.BLIF N_224.BLIF FPU_SENSE_c.BLIF N_122_1.BLIF \ -N_225.BLIF N_122_2.BLIF N_226.BLIF IPL_030DFF_0_reg.BLIF N_122_3.BLIF \ -N_227.BLIF N_122_4.BLIF N_236.BLIF IPL_030DFF_1_reg.BLIF N_218_1.BLIF \ -N_237.BLIF N_218_2.BLIF N_243.BLIF IPL_030DFF_2_reg.BLIF un21_fpu_cs_1.BLIF \ -N_391.BLIF un22_berr_1_0.BLIF N_250.BLIF ipl_c_0__n.BLIF N_305_i_1.BLIF \ -pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF N_305_i_2.BLIF \ -pos_clk_CYCLE_DMA_5_1_i_x2.BLIF ipl_c_1__n.BLIF N_304_i_1.BLIF N_208.BLIF \ -N_304_i_2.BLIF N_209.BLIF ipl_c_2__n.BLIF N_178_1.BLIF N_258.BLIF N_178_2.BLIF \ -N_161.BLIF N_178_3.BLIF N_392.BLIF DTACK_c.BLIF N_204_1_0.BLIF N_393.BLIF \ -N_125_i_1.BLIF N_138.BLIF N_276_0_1.BLIF N_143.BLIF \ -pos_clk_rw_000_int_5_0_1_n.BLIF N_215.BLIF VPA_c.BLIF N_277_i_1.BLIF \ -N_216.BLIF N_306_i_1.BLIF N_214.BLIF pos_clk_un6_bg_030_1_n.BLIF \ -cpu_est_2_2__n.BLIF RST_c.BLIF N_211_1.BLIF N_212.BLIF N_203_1.BLIF \ -cpu_est_2_1__n.BLIF N_199_1.BLIF N_210.BLIF RW_c.BLIF N_185_1.BLIF \ -pos_clk_un9_clk_000_pe_n.BLIF N_179_1.BLIF N_187.BLIF fc_c_0__n.BLIF \ -N_177_1.BLIF N_188.BLIF pos_clk_ipl_1_n.BLIF N_21.BLIF fc_c_1__n.BLIF \ -dsack1_int_0_un3_n.BLIF N_247.BLIF dsack1_int_0_un1_n.BLIF N_282.BLIF \ -dsack1_int_0_un0_n.BLIF pos_clk_un6_bgack_000_n.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ -rw_000_int_0_un3_n.BLIF N_259.BLIF rw_000_int_0_un1_n.BLIF \ -pos_clk_a0_dma_3_n.BLIF rw_000_int_0_un0_n.BLIF N_101.BLIF \ -as_000_int_0_un3_n.BLIF N_102.BLIF as_000_int_0_un1_n.BLIF N_10.BLIF \ -N_18_i.BLIF as_000_int_0_un0_n.BLIF N_17.BLIF N_42_0.BLIF bg_000_0_un3_n.BLIF \ -N_19.BLIF N_5_i.BLIF bg_000_0_un1_n.BLIF N_22.BLIF N_48_0.BLIF \ -bg_000_0_un0_n.BLIF N_23.BLIF N_4_i.BLIF cpu_est_0_3__un3_n.BLIF N_24.BLIF \ -N_49_0.BLIF cpu_est_0_3__un1_n.BLIF N_25.BLIF N_191_i.BLIF \ -cpu_est_0_3__un0_n.BLIF N_6.BLIF un1_SM_AMIGA_0_sqmuxa_2_0.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n.BLIF \ -un1_SM_AMIGA_0_sqmuxa_3.BLIF N_193_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF N_278.BLIF N_192_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_7.BLIF \ -sm_amiga_nss_0_6__n.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF \ -pos_clk_un3_as_030_d0_n.BLIF N_177_i.BLIF \ -amiga_bus_enable_dma_low_0_un1_n.BLIF N_366.BLIF N_194_i.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_122.BLIF sm_amiga_nss_0_5__n.BLIF \ -uds_000_int_0_un3_n.BLIF N_218.BLIF N_195_i.BLIF uds_000_int_0_un1_n.BLIF \ -un22_berr_1.BLIF N_196_i.BLIF uds_000_int_0_un0_n.BLIF \ -pos_clk_un9_bg_030_n.BLIF sm_amiga_nss_0_4__n.BLIF a0_dma_0_un3_n.BLIF \ -N_26.BLIF N_198_i.BLIF a0_dma_0_un1_n.BLIF cpu_est_2_3__n.BLIF N_197_i.BLIF \ -a0_dma_0_un0_n.BLIF N_180.BLIF sm_amiga_nss_0_3__n.BLIF \ -rw_000_dma_0_un3_n.BLIF N_136.BLIF N_204_i.BLIF rw_000_dma_0_un1_n.BLIF \ -N_249.BLIF N_203_i.BLIF rw_000_dma_0_un0_n.BLIF N_181.BLIF N_303_0.BLIF \ -lds_000_int_0_un3_n.BLIF N_183.BLIF N_280_0.BLIF lds_000_int_0_un1_n.BLIF \ -N_184.BLIF N_279_0.BLIF lds_000_int_0_un0_n.BLIF N_257.BLIF N_236_i.BLIF \ -bgack_030_int_0_un3_n.BLIF N_205.BLIF N_391_i.BLIF bgack_030_int_0_un1_n.BLIF \ -N_206.BLIF N_137_0.BLIF bgack_030_int_0_un0_n.BLIF N_213.BLIF N_241_i.BLIF \ -ds_000_enable_0_un3_n.BLIF N_238.BLIF N_240_i.BLIF ds_000_enable_0_un1_n.BLIF \ -N_162.BLIF sm_amiga_nss_0_7__n.BLIF ds_000_enable_0_un0_n.BLIF N_178.BLIF \ -sm_amiga_i_4__n.BLIF as_030_000_sync_0_un3_n.BLIF N_204_1.BLIF N_242_i.BLIF \ -as_030_000_sync_0_un1_n.BLIF N_155.BLIF N_144_0.BLIF \ -as_030_000_sync_0_un0_n.BLIF N_204.BLIF sm_amiga_i_2__n.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF N_239.BLIF N_154_i.BLIF \ -amiga_bus_enable_dma_high_0_un1_n.BLIF N_252.BLIF sm_amiga_i_6__n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF N_175.BLIF sm_amiga_i_0__n.BLIF \ -cpu_est_0_2__un3_n.BLIF N_176.BLIF N_155_i.BLIF cpu_est_0_2__un1_n.BLIF \ -N_163.BLIF N_160_0.BLIF cpu_est_0_2__un0_n.BLIF N_160.BLIF N_243_i.BLIF \ -cpu_est_0_1__un3_n.BLIF N_144.BLIF N_163_0.BLIF cpu_est_0_1__un1_n.BLIF \ -N_242.BLIF N_176_i.BLIF cpu_est_0_1__un0_n.BLIF N_240.BLIF N_175_i.BLIF \ -vma_int_0_un3_n.BLIF N_241.BLIF N_252_i.BLIF vma_int_0_un1_n.BLIF N_137.BLIF \ -N_239_i.BLIF vma_int_0_un0_n.BLIF N_279.BLIF N_178_i.BLIF \ -size_dma_0_0__un3_n.BLIF N_91.BLIF sm_amiga_nss_i_0_0__n.BLIF \ -size_dma_0_0__un1_n.BLIF N_280.BLIF size_dma_0_0__un0_n.BLIF N_90.BLIF \ -N_181_i.BLIF size_dma_0_1__un3_n.BLIF N_197.BLIF N_180_i.BLIF \ -size_dma_0_1__un1_n.BLIF N_198.BLIF N_179_i.BLIF size_dma_0_1__un0_n.BLIF \ -N_195.BLIF ipl_030_0_0__un3_n.BLIF N_196.BLIF N_185_i.BLIF \ -ipl_030_0_0__un1_n.BLIF N_194.BLIF N_183_i.BLIF ipl_030_0_0__un0_n.BLIF \ -N_192.BLIF N_184_i.BLIF ipl_030_0_1__un3_n.BLIF N_193.BLIF N_162_0.BLIF \ -ipl_030_0_1__un1_n.BLIF un1_SM_AMIGA_0_sqmuxa_2.BLIF N_139_i.BLIF \ -ipl_030_0_1__un0_n.BLIF N_191.BLIF N_238_i.BLIF ipl_030_0_2__un3_n.BLIF \ -N_4.BLIF N_136_0.BLIF ipl_030_0_2__un1_n.BLIF N_5.BLIF N_130_i.BLIF \ -ipl_030_0_2__un0_n.BLIF N_18.BLIF N_213_i.BLIF ds_000_dma_0_un3_n.BLIF \ -un1_amiga_bus_enable_low_i.BLIF N_214_i.BLIF ds_000_dma_0_un1_n.BLIF \ -un21_fpu_cs_i.BLIF cpu_est_2_0_3__n.BLIF ds_000_dma_0_un0_n.BLIF AS_030_i.BLIF \ -N_206_i.BLIF as_000_dma_0_un3_n.BLIF AS_000_INT_i.BLIF N_205_i.BLIF \ -as_000_dma_0_un1_n.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ -UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ -AHIGH_24_.PIN.BLIF AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF AHIGH_27_.PIN.BLIF \ -AHIGH_28_.PIN.BLIF AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF AHIGH_31_.PIN.BLIF \ -A_0_.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF +AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF N_130_i.BLIF \ +pos_clk_un6_bgack_000_0_n.BLIF N_131_i.BLIF DTACK_c_i.BLIF CLK_030_H_i.BLIF \ +N_56_0.BLIF RW_000_i.BLIF VPA_c_i.BLIF a_i_1__n.BLIF N_55_0.BLIF \ +RESET_OUT_i.BLIF N_6_i.BLIF AS_030_i.BLIF N_47_0.BLIF FPU_SENSE_i.BLIF \ +N_26_i.BLIF inst_BGACK_030_INTreg.BLIF sm_amiga_i_i_7__n.BLIF N_34_0.BLIF \ +vcc_n_n.BLIF a_decode_i_16__n.BLIF BG_030_c_i.BLIF inst_VMA_INTreg.BLIF \ +AS_030_D0_i.BLIF pos_clk_un6_bg_030_i_n.BLIF gnd_n_n.BLIF size_dma_i_0__n.BLIF \ +pos_clk_un9_bg_030_0_n.BLIF un1_amiga_bus_enable_low.BLIF size_dma_i_1__n.BLIF \ +N_25_i.BLIF un6_as_030.BLIF a_decode_i_18__n.BLIF N_35_0.BLIF un3_size.BLIF \ +a_decode_i_19__n.BLIF N_24_i.BLIF un4_size.BLIF ahigh_i_30__n.BLIF N_36_0.BLIF \ +un1_LDS_000_INT.BLIF ahigh_i_31__n.BLIF N_17_i.BLIF un1_UDS_000_INT.BLIF \ +ahigh_i_28__n.BLIF N_43_0.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF ahigh_i_29__n.BLIF \ +N_4_i.BLIF un1_DS_000_ENABLE_0_sqmuxa.BLIF ahigh_i_26__n.BLIF N_49_0.BLIF \ +un4_as_000.BLIF ahigh_i_27__n.BLIF N_3_i.BLIF un10_ciin.BLIF \ +ahigh_i_24__n.BLIF N_50_0.BLIF un21_fpu_cs.BLIF ahigh_i_25__n.BLIF \ +N_215_i.BLIF un21_berr.BLIF N_210_i.BLIF N_216_i.BLIF un6_ds_030.BLIF \ +N_211_i.BLIF N_301_0.BLIF cpu_est_2_.BLIF N_212_i.BLIF N_243_0.BLIF \ +cpu_est_3_.BLIF N_266_i.BLIF cpu_est_0_.BLIF un6_ds_030_i.BLIF N_249_i.BLIF \ +cpu_est_1_.BLIF un4_as_000_i.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ +inst_AS_000_INT.BLIF AS_000_INT_i.BLIF N_268_i.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF un6_as_030_i.BLIF \ +pos_clk_ds_000_dma_4_0_n.BLIF inst_AS_030_D0.BLIF AS_030_c.BLIF \ +CLK_030_c_i.BLIF inst_AS_030_000_SYNC.BLIF N_236_0.BLIF \ +inst_BGACK_030_INT_D.BLIF AS_000_c.BLIF un1_as_000_i.BLIF inst_AS_000_DMA.BLIF \ +N_297_i.BLIF inst_DS_000_DMA.BLIF RW_000_c.BLIF N_160_i.BLIF CYCLE_DMA_0_.BLIF \ +pos_clk_un21_bgack_030_int_i_0_i_n.BLIF CYCLE_DMA_1_.BLIF N_100_i.BLIF \ +SIZE_DMA_0_.BLIF UDS_000_c.BLIF N_186_0.BLIF SIZE_DMA_1_.BLIF N_183_0.BLIF \ +inst_VPA_D.BLIF LDS_000_c.BLIF N_182_0.BLIF CLK_000_D_1_.BLIF N_181_0.BLIF \ +inst_DTACK_D0.BLIF size_c_0__n.BLIF N_228_i.BLIF inst_RESET_OUT.BLIF \ +N_176_0.BLIF CLK_000_D_0_.BLIF size_c_1__n.BLIF LDS_000_c_i.BLIF \ +inst_CLK_OUT_PRE_50.BLIF UDS_000_c_i.BLIF inst_CLK_OUT_PRE_25.BLIF \ +ahigh_c_24__n.BLIF N_173_i.BLIF inst_CLK_OUT_PRE_D.BLIF N_304_i.BLIF \ +IPL_D0_0_.BLIF ahigh_c_25__n.BLIF AS_030_000_SYNC_i.BLIF IPL_D0_1_.BLIF \ +N_157_i.BLIF IPL_D0_2_.BLIF ahigh_c_26__n.BLIF N_110_0.BLIF CLK_000_D_2_.BLIF \ +RW_c_i.BLIF pos_clk_un6_bg_030_n.BLIF ahigh_c_27__n.BLIF N_106_0.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_284_i.BLIF inst_DSACK1_INTreg.BLIF \ +ahigh_c_28__n.BLIF pos_clk_ipl_n.BLIF N_334_i.BLIF inst_LDS_000_INT.BLIF \ +ahigh_c_29__n.BLIF inst_DS_000_ENABLE.BLIF N_278_i.BLIF inst_UDS_000_INT.BLIF \ +ahigh_c_30__n.BLIF N_279_i.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF \ +ahigh_c_31__n.BLIF N_332_i.BLIF SM_AMIGA_1_.BLIF N_237_0.BLIF SM_AMIGA_0_.BLIF \ +un1_SM_AMIGA_0_sqmuxa_1_0.BLIF inst_RW_000_INT.BLIF N_247_i.BLIF \ +inst_RW_000_DMA.BLIF N_248_i.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_246_i.BLIF \ +RST_DLY_2_.BLIF inst_A0_DMA.BLIF pos_clk_a0_dma_3_n.BLIF un10_ciin_i.BLIF \ +inst_CLK_030_H.BLIF N_241_0.BLIF SM_AMIGA_5_.BLIF \ +un1_DS_000_ENABLE_0_sqmuxa_i.BLIF SM_AMIGA_3_.BLIF N_242_0.BLIF \ +SM_AMIGA_2_.BLIF N_48_i.BLIF N_227_i.BLIF N_9.BLIF N_225_i.BLIF N_224_i.BLIF \ +N_15.BLIF N_223_i.BLIF N_16.BLIF N_22.BLIF N_218_i.BLIF CLK_OUT_PRE_25_0.BLIF \ +pos_clk_size_dma_6_0_1__n.BLIF N_217_i.BLIF pos_clk_size_dma_6_0_0__n.BLIF \ +N_213_i.BLIF N_319_i.BLIF N_300_0.BLIF N_15_i.BLIF a_decode_c_16__n.BLIF \ +N_45_0.BLIF N_16_i.BLIF a_decode_c_17__n.BLIF N_44_0.BLIF N_22_i.BLIF \ +a_decode_c_18__n.BLIF N_38_0.BLIF pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF \ +a_decode_c_19__n.BLIF pos_clk_un21_bgack_030_int_i_0_i_2_n.BLIF N_238_i_1.BLIF \ +a_decode_c_20__n.BLIF N_238_i_2.BLIF N_239_i_1.BLIF a_decode_c_21__n.BLIF \ +N_239_i_2.BLIF pos_clk_un10_sm_amiga_i_1_n.BLIF a_decode_c_22__n.BLIF \ +un10_ciin_1.BLIF un10_ciin_2.BLIF a_decode_c_23__n.BLIF un10_ciin_3.BLIF \ +un10_ciin_4.BLIF a_c_0__n.BLIF un10_ciin_5.BLIF un10_ciin_6.BLIF \ +SM_AMIGA_i_7_.BLIF a_c_1__n.BLIF un10_ciin_7.BLIF pos_clk_size_dma_6_0__n.BLIF \ +un10_ciin_8.BLIF pos_clk_size_dma_6_1__n.BLIF nEXP_SPACE_c.BLIF \ +un10_ciin_9.BLIF G_107.BLIF un10_ciin_10.BLIF G_108.BLIF BERR_c.BLIF \ +un10_ciin_11.BLIF G_109.BLIF N_357_1.BLIF \ +pos_clk_un21_bgack_030_int_i_0_n.BLIF BG_030_c.BLIF N_357_2.BLIF N_237.BLIF \ +N_357_3.BLIF N_241.BLIF BG_000DFFreg.BLIF N_357_4.BLIF N_242.BLIF \ +N_304_i_1.BLIF un21_fpu_cs_1.BLIF N_283.BLIF BGACK_000_c.BLIF \ +un21_berr_1_0.BLIF N_294.BLIF N_266_1.BLIF N_300.BLIF CLK_030_c.BLIF \ +N_266_2.BLIF N_67_i_1.BLIF N_106.BLIF N_67_i_2.BLIF N_314_1.BLIF N_134.BLIF \ +CLK_OSZI_c.BLIF N_314_2.BLIF N_138.BLIF N_318_1.BLIF N_156.BLIF N_318_2.BLIF \ +N_160.BLIF CLK_OUT_INTreg.BLIF N_341_1.BLIF N_167.BLIF N_341_2.BLIF N_172.BLIF \ +N_151_i_1.BLIF N_173.BLIF FPU_SENSE_c.BLIF N_143_i_1.BLIF N_181.BLIF \ +N_141_i_1.BLIF N_182.BLIF IPL_030DFF_0_reg.BLIF N_237_0_1.BLIF N_183.BLIF \ +N_240_i_1.BLIF N_191.BLIF IPL_030DFF_1_reg.BLIF N_60_i_1.BLIF N_199.BLIF \ +N_64_i_1.BLIF N_205.BLIF IPL_030DFF_2_reg.BLIF N_155_i_1.BLIF N_209.BLIF \ +N_147_i_1.BLIF N_319.BLIF ipl_c_0__n.BLIF N_145_i_1.BLIF N_213.BLIF \ +N_139_i_1.BLIF N_216.BLIF ipl_c_1__n.BLIF pos_clk_un6_bg_030_1_n.BLIF \ +N_217.BLIF N_220_1.BLIF N_218.BLIF ipl_c_2__n.BLIF N_216_1.BLIF N_220.BLIF \ +N_205_1.BLIF N_223.BLIF N_199_1.BLIF N_224.BLIF DTACK_c.BLIF \ +pos_clk_ipl_1_n.BLIF N_225.BLIF uds_000_int_0_un3_n.BLIF N_227.BLIF \ +uds_000_int_0_un1_n.BLIF N_228.BLIF uds_000_int_0_un0_n.BLIF N_246.BLIF \ +VPA_c.BLIF as_000_int_0_un3_n.BLIF N_247.BLIF as_000_int_0_un1_n.BLIF \ +N_248.BLIF as_000_int_0_un0_n.BLIF N_332.BLIF RST_c.BLIF \ +dsack1_int_0_un3_n.BLIF N_278.BLIF dsack1_int_0_un1_n.BLIF N_279.BLIF \ +dsack1_int_0_un0_n.BLIF N_334.BLIF RW_c.BLIF vma_int_0_un3_n.BLIF N_284.BLIF \ +vma_int_0_un1_n.BLIF N_343.BLIF fc_c_0__n.BLIF vma_int_0_un0_n.BLIF \ +pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF lds_000_int_0_un3_n.BLIF un21_berr_1.BLIF \ +fc_c_1__n.BLIF lds_000_int_0_un1_n.BLIF N_357.BLIF lds_000_int_0_un0_n.BLIF \ +N_266.BLIF ipl_030_0_1__un3_n.BLIF N_186.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ +ipl_030_0_1__un1_n.BLIF pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF \ +ipl_030_0_1__un0_n.BLIF N_297.BLIF ipl_030_0_0__un3_n.BLIF N_236.BLIF \ +ipl_030_0_0__un1_n.BLIF pos_clk_ds_000_dma_4_n.BLIF ipl_030_0_0__un0_n.BLIF \ +N_268.BLIF UDS_000_INT_i.BLIF cpu_est_0_3__un3_n.BLIF N_249.BLIF \ +un1_UDS_000_INT_0.BLIF cpu_est_0_3__un1_n.BLIF N_243.BLIF LDS_000_INT_i.BLIF \ +cpu_est_0_3__un0_n.BLIF N_215.BLIF un1_LDS_000_INT_0.BLIF \ +cpu_est_0_2__un3_n.BLIF N_130.BLIF N_23_i.BLIF cpu_est_0_2__un1_n.BLIF \ +N_131.BLIF N_37_0.BLIF cpu_est_0_2__un0_n.BLIF N_3.BLIF N_21_i.BLIF \ +cpu_est_0_1__un3_n.BLIF N_4.BLIF N_39_0.BLIF cpu_est_0_1__un1_n.BLIF N_17.BLIF \ +N_20_i.BLIF cpu_est_0_1__un0_n.BLIF N_24.BLIF N_40_0.BLIF \ +ipl_030_0_2__un3_n.BLIF N_25.BLIF N_19_i.BLIF ipl_030_0_2__un1_n.BLIF \ +pos_clk_un9_bg_030_n.BLIF N_41_0.BLIF ipl_030_0_2__un0_n.BLIF N_6.BLIF \ +N_14_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF pos_clk_un6_bgack_000_n.BLIF \ +N_46_0.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF N_26.BLIF ipl_c_i_0__n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_208.BLIF N_52_0.BLIF \ +rw_000_dma_0_un3_n.BLIF N_207.BLIF ipl_c_i_1__n.BLIF rw_000_dma_0_un1_n.BLIF \ +N_349.BLIF N_53_0.BLIF rw_000_dma_0_un0_n.BLIF N_314.BLIF ipl_c_i_2__n.BLIF \ +as_000_dma_0_un3_n.BLIF N_318.BLIF N_54_0.BLIF as_000_dma_0_un1_n.BLIF \ +N_348.BLIF N_27_i.BLIF as_000_dma_0_un0_n.BLIF N_201.BLIF N_31_0.BLIF \ +ds_000_dma_0_un3_n.BLIF N_200.BLIF N_28_i.BLIF ds_000_dma_0_un1_n.BLIF \ +N_203.BLIF N_32_0.BLIF ds_000_dma_0_un0_n.BLIF N_204.BLIF N_29_i.BLIF \ +bgack_030_int_0_un3_n.BLIF N_185.BLIF N_33_0.BLIF bgack_030_int_0_un1_n.BLIF \ +N_184.BLIF a_c_i_0__n.BLIF bgack_030_int_0_un0_n.BLIF N_180.BLIF \ +size_c_i_1__n.BLIF bg_000_0_un3_n.BLIF N_179.BLIF \ +pos_clk_un10_sm_amiga_i_n.BLIF bg_000_0_un1_n.BLIF N_178.BLIF N_256_0.BLIF \ +bg_000_0_un0_n.BLIF N_171.BLIF N_318_i.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF N_341.BLIF N_314_i.BLIF \ +amiga_bus_enable_dma_high_0_un1_n.BLIF N_342.BLIF \ +pos_clk_un9_clk_000_pe_0_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF \ +N_169.BLIF N_219_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n.BLIF \ +N_154.BLIF N_220_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF \ +N_165.BLIF cpu_est_2_0_1__n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_162.BLIF N_221_i.BLIF \ +size_dma_0_0__un3_n.BLIF N_299.BLIF N_348_i.BLIF size_dma_0_0__un1_n.BLIF \ +N_153.BLIF cpu_est_2_0_2__n.BLIF size_dma_0_0__un0_n.BLIF N_142.BLIF \ +N_222_i.BLIF size_dma_0_1__un3_n.BLIF N_298.BLIF N_196_i.BLIF \ +size_dma_0_1__un1_n.BLIF N_80.BLIF N_226_i.BLIF size_dma_0_1__un0_n.BLIF \ +N_232.BLIF ds_000_enable_0_un3_n.BLIF N_233.BLIF N_231_i.BLIF \ +ds_000_enable_0_un1_n.BLIF N_229.BLIF N_229_i.BLIF ds_000_enable_0_un0_n.BLIF \ +N_231.BLIF N_302_i.BLIF as_030_000_sync_0_un3_n.BLIF N_226.BLIF N_233_i.BLIF \ +as_030_000_sync_0_un1_n.BLIF N_221.BLIF N_232_i.BLIF \ +as_030_000_sync_0_un0_n.BLIF N_222.BLIF rw_000_int_0_un3_n.BLIF \ +cpu_est_2_2__n.BLIF N_80_0.BLIF rw_000_int_0_un1_n.BLIF cpu_est_2_1__n.BLIF \ +N_343_i.BLIF rw_000_int_0_un0_n.BLIF N_219.BLIF N_214_0.BLIF \ +a0_dma_0_un3_n.BLIF pos_clk_un9_clk_000_pe_n.BLIF N_166_i.BLIF \ +a0_dma_0_un1_n.BLIF N_256.BLIF N_134_i.BLIF a0_dma_0_un0_n.BLIF N_29.BLIF \ +N_298_i.BLIF a_decode_15__n.BLIF N_28.BLIF N_142_0.BLIF N_27.BLIF N_153_i.BLIF \ +a_decode_14__n.BLIF N_14.BLIF N_154_0.BLIF N_19.BLIF N_156_i.BLIF \ +a_decode_13__n.BLIF N_20.BLIF N_305_i.BLIF N_21.BLIF N_299_i.BLIF \ +a_decode_12__n.BLIF N_23.BLIF N_162_0.BLIF un1_amiga_bus_enable_low_i.BLIF \ +N_165_0.BLIF a_decode_11__n.BLIF un21_fpu_cs_i.BLIF N_169_i.BLIF \ +cpu_est_i_1__n.BLIF VMA_INT_i.BLIF a_decode_10__n.BLIF rst_dly_i_2__n.BLIF \ +N_341_i.BLIF rst_dly_i_1__n.BLIF N_342_i.BLIF a_decode_9__n.BLIF \ +cpu_est_i_0__n.BLIF N_171_i.BLIF cpu_est_i_2__n.BLIF N_172_i.BLIF \ +a_decode_8__n.BLIF sm_amiga_i_0__n.BLIF N_178_0.BLIF sm_amiga_i_3__n.BLIF \ +N_179_0.BLIF a_decode_7__n.BLIF sm_amiga_i_4__n.BLIF N_180_0.BLIF \ +sm_amiga_i_5__n.BLIF N_184_0.BLIF a_decode_6__n.BLIF rst_dly_i_0__n.BLIF \ +N_185_0.BLIF sm_amiga_i_2__n.BLIF N_203_i.BLIF a_decode_5__n.BLIF \ +sm_amiga_i_1__n.BLIF N_204_i.BLIF VPA_D_i.BLIF N_205_i.BLIF a_decode_4__n.BLIF \ +clk_000_d_i_1__n.BLIF cpu_est_i_3__n.BLIF N_200_i.BLIF a_decode_3__n.BLIF \ +sm_amiga_i_6__n.BLIF N_199_i.BLIF clk_000_d_i_0__n.BLIF N_201_i.BLIF \ +a_decode_2__n.BLIF BGACK_030_INT_i.BLIF AS_000_i.BLIF AS_000_DMA_i.BLIF \ +N_208_i.BLIF nEXP_SPACE_i.BLIF N_207_i.BLIF cycle_dma_i_0__n.BLIF N_167_i.BLIF \ +DS_000_DMA_i.BLIF N_138_i.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_349_i.BLIF \ +AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF \ +LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF AHIGH_24_.PIN.BLIF \ +AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF AHIGH_27_.PIN.BLIF AHIGH_28_.PIN.BLIF \ +AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF AHIGH_31_.PIN.BLIF A_0_.PIN.BLIF \ +BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 \ AVEC E VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_1_.D SM_AMIGA_1_.C \ -SM_AMIGA_0_.D SM_AMIGA_0_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C \ -IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C \ -IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C \ -SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ -SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C \ -SM_AMIGA_2_.D SM_AMIGA_2_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D \ -SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C \ -cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C \ -RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ -CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C CYCLE_DMA_0_.D \ -CYCLE_DMA_0_.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_030_000_SYNC.D \ -inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C \ -inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_DS_000_DMA.D inst_DS_000_DMA.C \ -inst_AS_030_D0.D inst_AS_030_D0.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D \ -inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUT.D \ -inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_3_.D SM_AMIGA_3_.C \ +SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D \ +SM_AMIGA_0_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D \ +IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D \ +IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D \ +SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C \ +SM_AMIGA_4_.D SM_AMIGA_4_.C CLK_000_D_2_.D CLK_000_D_2_.C CYCLE_DMA_0_.D \ +CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C \ +SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D \ +cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C RST_DLY_0_.D \ +RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ +CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C inst_RW_000_INT.D \ +inst_RW_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ +inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D \ +inst_BGACK_030_INTreg.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D \ +inst_DS_000_DMA.C inst_AS_030_D0.D inst_AS_030_D0.C inst_VPA_D.D inst_VPA_D.C \ +inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C \ +inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C BG_000DFFreg.D BG_000DFFreg.C \ inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D inst_A0_DMA.C \ +inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ inst_VMA_INTreg.D inst_VMA_INTreg.C inst_RW_000_DMA.D inst_RW_000_DMA.C \ -inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INT_D.D \ -inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ -inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C \ -SIZE_1_ AHIGH_31_ AS_030 AS_000 RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ \ -AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ \ -N_91_i as_000_dma_0_un0_n N_90_i N_248_i a_decode_15__n sm_amiga_i_i_7__n \ -N_26_i AS_030_000_SYNC_i N_34_0 a_decode_14__n sm_amiga_i_3__n BG_030_c_i \ -rst_dly_i_0__n pos_clk_un6_bg_030_i_n a_decode_13__n rst_dly_i_1__n \ -pos_clk_un9_bg_030_0_n clk_000_d_i_1__n pos_clk_un3_as_030_d0_i_n \ -a_decode_12__n N_249_i_0 un10_ciin_i vcc_n_n cpu_est_i_0__n N_127_0 \ -a_decode_11__n rst_dly_i_2__n N_369_0 gnd_n_n FPU_SENSE_i N_367_i \ -a_decode_10__n un1_amiga_bus_enable_low N_122_i un1_SM_AMIGA_0_sqmuxa_3_i \ -un6_as_030 a_decode_i_16__n N_278_0 a_decode_9__n un3_size a_decode_i_18__n \ -N_218_i un4_size a_decode_i_19__n N_366_0 a_decode_8__n un1_LDS_000_INT \ -BGACK_030_INT_i VPA_c_i un1_UDS_000_INT AMIGA_BUS_ENABLE_DMA_LOW_i N_55_0 \ -a_decode_7__n un4_as_000 N_101_i N_7_i un10_ciin N_102_i N_47_0 a_decode_6__n \ -un21_fpu_cs a_i_1__n LDS_000_INT_i un22_berr cpu_est_i_1__n un1_LDS_000_INT_0 \ -a_decode_5__n un6_ds_030 cpu_est_i_2__n UDS_000_INT_i VPA_D_i \ -un1_UDS_000_INT_0 a_decode_4__n DTACK_D0_i N_25_i cpu_est_i_3__n N_35_0 \ -a_decode_3__n nEXP_SPACE_i N_24_i AS_000_i N_36_0 a_decode_2__n \ -clk_000_d_i_0__n N_23_i RESET_OUT_i N_37_0 AS_000_DMA_i N_22_i RW_000_i N_38_0 \ -CLK_030_H_i N_19_i cycle_dma_i_0__n N_41_0 AS_030_D0_i N_17_i size_dma_i_0__n \ -N_43_0 size_dma_i_1__n N_10_i ahigh_i_30__n N_44_0 ahigh_i_31__n a_c_i_0__n \ -ahigh_i_28__n size_c_i_1__n ahigh_i_29__n pos_clk_un10_sm_amiga_i_n \ -ahigh_i_26__n N_259_i ahigh_i_27__n pos_clk_un6_bgack_000_0_n ahigh_i_24__n \ -N_282_0 ahigh_i_25__n N_21_i N_244_i N_39_0 N_245_i N_188_i N_246_i N_187_i \ -N_58_0 pos_clk_un6_bg_030_n un6_ds_030_i N_209_i DS_000_DMA_i N_208_i \ -un4_as_000_i pos_clk_un9_clk_000_pe_0_n pos_clk_ipl_n un6_as_030_i N_210_i \ -AS_030_c N_211_i cpu_est_2_0_1__n AS_000_c N_258_i N_212_i RW_000_c \ -cpu_est_2_0_2__n N_216_i N_215_i UDS_000_c N_40_i N_138_0 LDS_000_c N_142_i \ -N_143_i size_c_0__n VMA_INT_i N_392_i size_c_1__n N_393_i \ -pos_clk_rw_000_int_5_n N_152_i ahigh_c_24__n N_161_0 ahigh_c_25__n N_106_i \ -pos_clk_ds_000_dma_4_n N_186_i N_3 ahigh_c_26__n CLK_030_c_i N_8 N_164_0 \ -ahigh_c_27__n N_67_i LDS_000_c_i ahigh_c_28__n UDS_000_c_i N_156_i \ -ahigh_c_29__n pos_clk_un21_bgack_030_int_i_0_0_n N_27 N_237_i N_28 \ -ahigh_c_30__n N_131_i N_29 ahigh_c_31__n N_368_i N_275_0 N_227_i N_276_0 \ -N_226_i RW_c_i pos_clk_rw_000_int_5_0_n N_225_i pos_clk_ds_000_dma_4_0_n \ -N_224_i pos_clk_size_dma_6_0_1__n N_223_i pos_clk_size_dma_6_0_0__n N_222_i \ -N_201_i N_202_i AMIGA_BUS_DATA_DIR_c_0 N_199_i N_200_i sm_amiga_nss_0_2__n \ -N_189_i N_190_i N_29_i N_33_0 N_28_i N_32_0 N_27_i N_31_0 a_decode_c_16__n \ -ipl_c_i_2__n N_54_0 a_decode_c_17__n ipl_c_i_1__n N_53_0 \ -pos_clk_size_dma_6_0__n a_decode_c_18__n ipl_c_i_0__n pos_clk_size_dma_6_1__n \ -N_52_0 N_106 a_decode_c_19__n DTACK_c_i N_56_0 a_decode_c_20__n N_3_i N_50_0 \ -pos_clk_un21_bgack_030_int_i_0_n a_decode_c_21__n N_8_i N_275 N_46_0 N_276 \ -a_decode_c_22__n pos_clk_un10_sm_amiga_i_1_n sm_amiga_nss_i_0_1_0__n N_108 \ -a_decode_c_23__n sm_amiga_nss_i_0_2_0__n N_110 sm_amiga_nss_i_0_3_0__n \ -a_c_0__n sm_amiga_nss_i_0_4_0__n sm_amiga_nss_i_0_5_0__n N_127 a_c_1__n \ -un10_ciin_1 N_130 un10_ciin_2 N_131 nEXP_SPACE_c un10_ciin_3 N_139 un10_ciin_4 \ -N_152 BERR_c un10_ciin_5 N_156 un10_ciin_6 N_164 BG_030_c un10_ciin_7 N_370 \ -un10_ciin_8 N_177 un10_ciin_9 N_179 un10_ciin_10 N_185 un10_ciin_11 N_186 \ -BGACK_000_c pos_clk_un21_bgack_030_int_i_0_0_1_n N_189 \ -pos_clk_un21_bgack_030_int_i_0_0_2_n N_190 CLK_030_c N_307_i_1 N_199 N_307_i_2 \ -N_200 N_202_1 N_201 N_202_2 N_202 CLK_OSZI_c N_208_1 N_203 N_208_2 N_211 \ -N_209_1 N_217 N_209_2 N_222 N_392_1 N_223 N_392_2 N_224 FPU_SENSE_c N_122_1 \ -N_225 N_122_2 N_226 N_122_3 N_227 N_122_4 N_236 N_218_1 N_237 N_218_2 N_243 \ -un21_fpu_cs_1 N_391 un22_berr_1_0 N_250 ipl_c_0__n N_305_i_1 N_305_i_2 \ -ipl_c_1__n N_304_i_1 N_208 N_304_i_2 N_209 ipl_c_2__n N_178_1 N_258 N_178_2 \ -N_161 N_178_3 N_392 DTACK_c N_204_1_0 N_393 N_125_i_1 N_138 N_276_0_1 N_143 \ -pos_clk_rw_000_int_5_0_1_n N_215 VPA_c N_277_i_1 N_216 N_306_i_1 N_214 \ -pos_clk_un6_bg_030_1_n cpu_est_2_2__n RST_c N_211_1 N_212 N_203_1 \ -cpu_est_2_1__n N_199_1 N_210 RW_c N_185_1 pos_clk_un9_clk_000_pe_n N_179_1 \ -N_187 fc_c_0__n N_177_1 N_188 pos_clk_ipl_1_n N_21 fc_c_1__n \ -dsack1_int_0_un3_n N_247 dsack1_int_0_un1_n N_282 dsack1_int_0_un0_n \ -pos_clk_un6_bgack_000_n AMIGA_BUS_DATA_DIR_c rw_000_int_0_un3_n N_259 \ -rw_000_int_0_un1_n pos_clk_a0_dma_3_n rw_000_int_0_un0_n N_101 \ -as_000_int_0_un3_n N_102 as_000_int_0_un1_n N_10 N_18_i as_000_int_0_un0_n \ -N_17 N_42_0 bg_000_0_un3_n N_19 N_5_i bg_000_0_un1_n N_22 N_48_0 \ -bg_000_0_un0_n N_23 N_4_i cpu_est_0_3__un3_n N_24 N_49_0 cpu_est_0_3__un1_n \ -N_25 N_191_i cpu_est_0_3__un0_n N_6 un1_SM_AMIGA_0_sqmuxa_2_0 \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n un1_SM_AMIGA_0_sqmuxa_3 \ -N_193_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n N_278 N_192_i \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n N_7 sm_amiga_nss_0_6__n \ -amiga_bus_enable_dma_low_0_un3_n pos_clk_un3_as_030_d0_n N_177_i \ -amiga_bus_enable_dma_low_0_un1_n N_366 N_194_i \ -amiga_bus_enable_dma_low_0_un0_n N_122 sm_amiga_nss_0_5__n uds_000_int_0_un3_n \ -N_218 N_195_i uds_000_int_0_un1_n un22_berr_1 N_196_i uds_000_int_0_un0_n \ -pos_clk_un9_bg_030_n sm_amiga_nss_0_4__n a0_dma_0_un3_n N_26 N_198_i \ -a0_dma_0_un1_n cpu_est_2_3__n N_197_i a0_dma_0_un0_n N_180 sm_amiga_nss_0_3__n \ -rw_000_dma_0_un3_n N_136 N_204_i rw_000_dma_0_un1_n N_249 N_203_i \ -rw_000_dma_0_un0_n N_181 N_303_0 lds_000_int_0_un3_n N_183 N_280_0 \ -lds_000_int_0_un1_n N_184 N_279_0 lds_000_int_0_un0_n N_257 N_236_i \ -bgack_030_int_0_un3_n N_205 N_391_i bgack_030_int_0_un1_n N_206 N_137_0 \ -bgack_030_int_0_un0_n N_213 N_241_i ds_000_enable_0_un3_n N_238 N_240_i \ -ds_000_enable_0_un1_n N_162 sm_amiga_nss_0_7__n ds_000_enable_0_un0_n N_178 \ -sm_amiga_i_4__n as_030_000_sync_0_un3_n N_204_1 N_242_i \ -as_030_000_sync_0_un1_n N_155 N_144_0 as_030_000_sync_0_un0_n N_204 \ -sm_amiga_i_2__n amiga_bus_enable_dma_high_0_un3_n N_239 N_154_i \ -amiga_bus_enable_dma_high_0_un1_n N_252 sm_amiga_i_6__n \ -amiga_bus_enable_dma_high_0_un0_n N_175 sm_amiga_i_0__n cpu_est_0_2__un3_n \ -N_176 N_155_i cpu_est_0_2__un1_n N_163 N_160_0 cpu_est_0_2__un0_n N_160 \ -N_243_i cpu_est_0_1__un3_n N_144 N_163_0 cpu_est_0_1__un1_n N_242 N_176_i \ -cpu_est_0_1__un0_n N_240 N_175_i vma_int_0_un3_n N_241 N_252_i vma_int_0_un1_n \ -N_137 N_239_i vma_int_0_un0_n N_279 N_178_i size_dma_0_0__un3_n N_91 \ -sm_amiga_nss_i_0_0__n size_dma_0_0__un1_n N_280 size_dma_0_0__un0_n N_90 \ -N_181_i size_dma_0_1__un3_n N_197 N_180_i size_dma_0_1__un1_n N_198 N_179_i \ -size_dma_0_1__un0_n N_195 ipl_030_0_0__un3_n N_196 N_185_i ipl_030_0_0__un1_n \ -N_194 N_183_i ipl_030_0_0__un0_n N_192 N_184_i ipl_030_0_1__un3_n N_193 \ -N_162_0 ipl_030_0_1__un1_n un1_SM_AMIGA_0_sqmuxa_2 N_139_i ipl_030_0_1__un0_n \ -N_191 N_238_i ipl_030_0_2__un3_n N_4 N_136_0 ipl_030_0_2__un1_n N_5 N_130_i \ -ipl_030_0_2__un0_n N_18 N_213_i ds_000_dma_0_un3_n un1_amiga_bus_enable_low_i \ -N_214_i ds_000_dma_0_un1_n un21_fpu_cs_i cpu_est_2_0_3__n ds_000_dma_0_un0_n \ -AS_030_i N_206_i as_000_dma_0_un3_n AS_000_INT_i N_205_i as_000_dma_0_un1_n \ -AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE \ -AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE \ -AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE \ -CIIN.OE CLK_OUT_PRE_25_0 G_119 G_120 G_121 \ -pos_clk_un21_bgack_030_int_i_0_o2_2_x2 pos_clk_CYCLE_DMA_5_1_i_x2 -.names sm_amiga_nss_0_6__n.BLIF SM_AMIGA_1_.D -0 1 -.names sm_amiga_nss_0_7__n.BLIF SM_AMIGA_0_.D -0 1 +inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_D.D \ +inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ +CLK_OUT_INTreg.D CLK_OUT_INTreg.C SIZE_1_ AHIGH_31_ AS_030 AS_000 RW_000 \ +UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ \ +AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ N_130_i pos_clk_un6_bgack_000_0_n N_131_i \ +DTACK_c_i CLK_030_H_i N_56_0 RW_000_i VPA_c_i a_i_1__n N_55_0 RESET_OUT_i \ +N_6_i AS_030_i N_47_0 FPU_SENSE_i N_26_i sm_amiga_i_i_7__n N_34_0 vcc_n_n \ +a_decode_i_16__n BG_030_c_i AS_030_D0_i pos_clk_un6_bg_030_i_n gnd_n_n \ +size_dma_i_0__n pos_clk_un9_bg_030_0_n un1_amiga_bus_enable_low \ +size_dma_i_1__n N_25_i un6_as_030 a_decode_i_18__n N_35_0 un3_size \ +a_decode_i_19__n N_24_i un4_size ahigh_i_30__n N_36_0 un1_LDS_000_INT \ +ahigh_i_31__n N_17_i un1_UDS_000_INT ahigh_i_28__n N_43_0 \ +un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_29__n N_4_i un1_DS_000_ENABLE_0_sqmuxa \ +ahigh_i_26__n N_49_0 un4_as_000 ahigh_i_27__n N_3_i un10_ciin ahigh_i_24__n \ +N_50_0 un21_fpu_cs ahigh_i_25__n N_215_i un21_berr N_210_i N_216_i un6_ds_030 \ +N_211_i N_301_0 N_212_i N_243_0 N_266_i un6_ds_030_i N_249_i un4_as_000_i \ +AMIGA_BUS_DATA_DIR_c_0 AS_000_INT_i N_268_i un6_as_030_i \ +pos_clk_ds_000_dma_4_0_n AS_030_c CLK_030_c_i N_236_0 AS_000_c un1_as_000_i \ +N_297_i RW_000_c N_160_i pos_clk_un21_bgack_030_int_i_0_i_n N_100_i UDS_000_c \ +N_186_0 N_183_0 LDS_000_c N_182_0 N_181_0 size_c_0__n N_228_i N_176_0 \ +size_c_1__n LDS_000_c_i UDS_000_c_i ahigh_c_24__n N_173_i N_304_i \ +ahigh_c_25__n AS_030_000_SYNC_i N_157_i ahigh_c_26__n N_110_0 RW_c_i \ +pos_clk_un6_bg_030_n ahigh_c_27__n N_106_0 N_284_i ahigh_c_28__n pos_clk_ipl_n \ +N_334_i ahigh_c_29__n N_278_i ahigh_c_30__n N_279_i ahigh_c_31__n N_332_i \ +N_237_0 un1_SM_AMIGA_0_sqmuxa_1_0 N_247_i N_248_i N_246_i pos_clk_a0_dma_3_n \ +un10_ciin_i N_241_0 un1_DS_000_ENABLE_0_sqmuxa_i N_242_0 N_48_i N_227_i N_9 \ +N_225_i N_224_i N_15 N_223_i N_16 N_22 N_218_i pos_clk_size_dma_6_0_1__n \ +N_217_i pos_clk_size_dma_6_0_0__n N_213_i N_319_i N_300_0 N_15_i \ +a_decode_c_16__n N_45_0 N_16_i a_decode_c_17__n N_44_0 N_22_i a_decode_c_18__n \ +N_38_0 pos_clk_un21_bgack_030_int_i_0_i_1_n a_decode_c_19__n \ +pos_clk_un21_bgack_030_int_i_0_i_2_n N_238_i_1 a_decode_c_20__n N_238_i_2 \ +N_239_i_1 a_decode_c_21__n N_239_i_2 pos_clk_un10_sm_amiga_i_1_n \ +a_decode_c_22__n un10_ciin_1 un10_ciin_2 a_decode_c_23__n un10_ciin_3 \ +un10_ciin_4 a_c_0__n un10_ciin_5 un10_ciin_6 a_c_1__n un10_ciin_7 \ +pos_clk_size_dma_6_0__n un10_ciin_8 pos_clk_size_dma_6_1__n nEXP_SPACE_c \ +un10_ciin_9 un10_ciin_10 BERR_c un10_ciin_11 N_357_1 \ +pos_clk_un21_bgack_030_int_i_0_n BG_030_c N_357_2 N_237 N_357_3 N_241 N_357_4 \ +N_242 N_304_i_1 un21_fpu_cs_1 N_283 BGACK_000_c un21_berr_1_0 N_294 N_266_1 \ +N_300 CLK_030_c N_266_2 N_67_i_1 N_106 N_67_i_2 N_314_1 N_134 CLK_OSZI_c \ +N_314_2 N_138 N_318_1 N_156 N_318_2 N_160 N_341_1 N_167 N_341_2 N_172 \ +N_151_i_1 N_173 FPU_SENSE_c N_143_i_1 N_181 N_141_i_1 N_182 N_237_0_1 N_183 \ +N_240_i_1 N_191 N_60_i_1 N_199 N_64_i_1 N_205 N_155_i_1 N_209 N_147_i_1 N_319 \ +ipl_c_0__n N_145_i_1 N_213 N_139_i_1 N_216 ipl_c_1__n pos_clk_un6_bg_030_1_n \ +N_217 N_220_1 N_218 ipl_c_2__n N_216_1 N_220 N_205_1 N_223 N_199_1 N_224 \ +DTACK_c pos_clk_ipl_1_n N_225 uds_000_int_0_un3_n N_227 uds_000_int_0_un1_n \ +N_228 uds_000_int_0_un0_n N_246 VPA_c as_000_int_0_un3_n N_247 \ +as_000_int_0_un1_n N_248 as_000_int_0_un0_n N_332 RST_c dsack1_int_0_un3_n \ +N_278 dsack1_int_0_un1_n N_279 dsack1_int_0_un0_n N_334 RW_c vma_int_0_un3_n \ +N_284 vma_int_0_un1_n N_343 fc_c_0__n vma_int_0_un0_n lds_000_int_0_un3_n \ +un21_berr_1 fc_c_1__n lds_000_int_0_un1_n N_357 lds_000_int_0_un0_n N_266 \ +ipl_030_0_1__un3_n N_186 AMIGA_BUS_DATA_DIR_c ipl_030_0_1__un1_n \ +ipl_030_0_1__un0_n N_297 ipl_030_0_0__un3_n N_236 ipl_030_0_0__un1_n \ +pos_clk_ds_000_dma_4_n ipl_030_0_0__un0_n N_268 UDS_000_INT_i \ +cpu_est_0_3__un3_n N_249 un1_UDS_000_INT_0 cpu_est_0_3__un1_n N_243 \ +LDS_000_INT_i cpu_est_0_3__un0_n N_215 un1_LDS_000_INT_0 cpu_est_0_2__un3_n \ +N_130 N_23_i cpu_est_0_2__un1_n N_131 N_37_0 cpu_est_0_2__un0_n N_3 N_21_i \ +cpu_est_0_1__un3_n N_4 N_39_0 cpu_est_0_1__un1_n N_17 N_20_i \ +cpu_est_0_1__un0_n N_24 N_40_0 ipl_030_0_2__un3_n N_25 N_19_i \ +ipl_030_0_2__un1_n pos_clk_un9_bg_030_n N_41_0 ipl_030_0_2__un0_n N_6 N_14_i \ +amiga_bus_enable_dma_low_0_un3_n pos_clk_un6_bgack_000_n N_46_0 \ +amiga_bus_enable_dma_low_0_un1_n N_26 ipl_c_i_0__n \ +amiga_bus_enable_dma_low_0_un0_n N_208 N_52_0 rw_000_dma_0_un3_n N_207 \ +ipl_c_i_1__n rw_000_dma_0_un1_n N_349 N_53_0 rw_000_dma_0_un0_n N_314 \ +ipl_c_i_2__n as_000_dma_0_un3_n N_318 N_54_0 as_000_dma_0_un1_n N_348 N_27_i \ +as_000_dma_0_un0_n N_201 N_31_0 ds_000_dma_0_un3_n N_200 N_28_i \ +ds_000_dma_0_un1_n N_203 N_32_0 ds_000_dma_0_un0_n N_204 N_29_i \ +bgack_030_int_0_un3_n N_185 N_33_0 bgack_030_int_0_un1_n N_184 a_c_i_0__n \ +bgack_030_int_0_un0_n N_180 size_c_i_1__n bg_000_0_un3_n N_179 \ +pos_clk_un10_sm_amiga_i_n bg_000_0_un1_n N_178 N_256_0 bg_000_0_un0_n N_171 \ +N_318_i amiga_bus_enable_dma_high_0_un3_n N_341 N_314_i \ +amiga_bus_enable_dma_high_0_un1_n N_342 pos_clk_un9_clk_000_pe_0_n \ +amiga_bus_enable_dma_high_0_un0_n N_169 N_219_i \ +un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n N_154 N_220_i \ +un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n N_165 cpu_est_2_0_1__n \ +un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n N_162 N_221_i \ +size_dma_0_0__un3_n N_299 N_348_i size_dma_0_0__un1_n N_153 cpu_est_2_0_2__n \ +size_dma_0_0__un0_n N_142 N_222_i size_dma_0_1__un3_n N_298 N_196_i \ +size_dma_0_1__un1_n N_80 N_226_i size_dma_0_1__un0_n N_232 \ +ds_000_enable_0_un3_n N_233 N_231_i ds_000_enable_0_un1_n N_229 N_229_i \ +ds_000_enable_0_un0_n N_231 N_302_i as_030_000_sync_0_un3_n N_226 N_233_i \ +as_030_000_sync_0_un1_n N_221 N_232_i as_030_000_sync_0_un0_n N_222 \ +rw_000_int_0_un3_n cpu_est_2_2__n N_80_0 rw_000_int_0_un1_n cpu_est_2_1__n \ +N_343_i rw_000_int_0_un0_n N_219 N_214_0 a0_dma_0_un3_n \ +pos_clk_un9_clk_000_pe_n N_166_i a0_dma_0_un1_n N_256 N_134_i a0_dma_0_un0_n \ +N_29 N_298_i a_decode_15__n N_28 N_142_0 N_27 N_153_i a_decode_14__n N_14 \ +N_154_0 N_19 N_156_i a_decode_13__n N_20 N_305_i N_21 N_299_i a_decode_12__n \ +N_23 N_162_0 un1_amiga_bus_enable_low_i N_165_0 a_decode_11__n un21_fpu_cs_i \ +N_169_i cpu_est_i_1__n VMA_INT_i a_decode_10__n rst_dly_i_2__n N_341_i \ +rst_dly_i_1__n N_342_i a_decode_9__n cpu_est_i_0__n N_171_i cpu_est_i_2__n \ +N_172_i a_decode_8__n sm_amiga_i_0__n N_178_0 sm_amiga_i_3__n N_179_0 \ +a_decode_7__n sm_amiga_i_4__n N_180_0 sm_amiga_i_5__n N_184_0 a_decode_6__n \ +rst_dly_i_0__n N_185_0 sm_amiga_i_2__n N_203_i a_decode_5__n sm_amiga_i_1__n \ +N_204_i VPA_D_i N_205_i a_decode_4__n clk_000_d_i_1__n cpu_est_i_3__n N_200_i \ +a_decode_3__n sm_amiga_i_6__n N_199_i clk_000_d_i_0__n N_201_i a_decode_2__n \ +BGACK_030_INT_i AS_000_i AS_000_DMA_i N_208_i nEXP_SPACE_i N_207_i \ +cycle_dma_i_0__n N_167_i DS_000_DMA_i N_138_i AMIGA_BUS_ENABLE_DMA_LOW_i \ +N_349_i AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \ +SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE \ +AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE \ +DSACK1.OE RESET.OE CIIN.OE CLK_OUT_PRE_25_0 G_107 G_108 G_109 \ +pos_clk_CYCLE_DMA_5_1_i_0_x2 pos_clk_un21_bgack_030_int_i_0_o2_2_x2 +.names N_145_i_1.BLIF RST_c.BLIF SM_AMIGA_3_.D +11 1 +.names N_143_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D +11 1 +.names N_141_i_1.BLIF N_279_i.BLIF SM_AMIGA_1_.D +11 1 +.names N_139_i_1.BLIF RST_c.BLIF SM_AMIGA_0_.D +11 1 .names N_31_0.BLIF IPL_030DFF_0_reg.D 0 1 .names N_32_0.BLIF IPL_030DFF_1_reg.D @@ -436,19 +420,17 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 pos_clk_CYCLE_DMA_5_1_i_x2 0 1 .names N_54_0.BLIF IPL_D0_2_.D 0 1 -.names sm_amiga_nss_i_0_0__n.BLIF SM_AMIGA_i_7_.D -0 1 -.names N_303_0.BLIF SM_AMIGA_6_.D -0 1 -.names sm_amiga_nss_0_2__n.BLIF SM_AMIGA_5_.D -0 1 -.names sm_amiga_nss_0_3__n.BLIF SM_AMIGA_4_.D -0 1 -.names sm_amiga_nss_0_4__n.BLIF SM_AMIGA_3_.D -0 1 -.names sm_amiga_nss_0_5__n.BLIF SM_AMIGA_2_.D -0 1 -.names N_125_i_1.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF CYCLE_DMA_1_.D +.names N_155_i_1.BLIF RST_c.BLIF SM_AMIGA_i_7_.D +11 1 +.names N_151_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D +11 1 +.names N_166_i.BLIF N_226_i.BLIF SM_AMIGA_5_.D +11 1 +.names N_147_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D +11 1 +.names N_67_i_1.BLIF N_67_i_2.BLIF CYCLE_DMA_0_.D +11 1 +.names N_64_i_1.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF CYCLE_DMA_1_.D 11 1 .names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 @@ -456,7 +438,7 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 pos_clk_CYCLE_DMA_5_1_i_x2 .names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D 1- 1 -1 1 -.names N_205_i.BLIF N_206_i.BLIF cpu_est_0_.D +.names N_232_i.BLIF N_233_i.BLIF cpu_est_0_.D 11 1 .names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D 1- 1 @@ -467,35 +449,35 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 pos_clk_CYCLE_DMA_5_1_i_x2 .names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D 1- 1 -1 1 -.names N_306_i_1.BLIF RST_c.BLIF RST_DLY_0_.D +.names N_240_i_1.BLIF RST_c.BLIF RST_DLY_0_.D 11 1 -.names N_305_i_1.BLIF N_305_i_2.BLIF RST_DLY_1_.D +.names N_239_i_1.BLIF N_239_i_2.BLIF RST_DLY_1_.D 11 1 -.names N_304_i_1.BLIF N_304_i_2.BLIF RST_DLY_2_.D +.names N_238_i_1.BLIF N_238_i_2.BLIF RST_DLY_2_.D 11 1 -.names N_307_i_1.BLIF N_307_i_2.BLIF CYCLE_DMA_0_.D -11 1 -.names N_46_0.BLIF inst_AS_000_DMA.D +.names N_44_0.BLIF inst_RW_000_INT.D 0 1 -.names N_47_0.BLIF inst_AS_030_000_SYNC.D +.names N_45_0.BLIF inst_AS_030_000_SYNC.D 0 1 -.names N_48_0.BLIF inst_AS_000_INT.D +.names N_46_0.BLIF inst_LDS_000_INT.D 0 1 -.names N_49_0.BLIF inst_DSACK1_INTreg.D +.names N_47_0.BLIF inst_BGACK_030_INTreg.D +0 1 +.names N_49_0.BLIF inst_AS_000_DMA.D 0 1 .names N_50_0.BLIF inst_DS_000_DMA.D 0 1 -.names N_369_0.BLIF inst_AS_030_D0.D +.names N_110_0.BLIF inst_AS_030_D0.D 0 1 .names N_55_0.BLIF inst_VPA_D.D 0 1 .names N_56_0.BLIF inst_DTACK_D0.D 0 1 -.names N_277_i_1.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF inst_CLK_030_H.D +.names N_60_i_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF inst_CLK_030_H.D 11 1 -.names N_58_0.BLIF inst_RESET_OUT.D +.names N_301_0.BLIF inst_RESET_OUT.D 0 1 -.names N_6.BLIF RST_c.BLIF inst_DS_000_ENABLE.D +.names N_9.BLIF RST_c.BLIF inst_DS_000_ENABLE.D 11 1 .names N_34_0.BLIF BG_000DFFreg.D 0 1 @@ -507,1126 +489,1052 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 pos_clk_CYCLE_DMA_5_1_i_x2 0 1 .names N_38_0.BLIF inst_A0_DMA.D 0 1 -.names N_39_0.BLIF inst_VMA_INTreg.D +.names N_39_0.BLIF inst_AS_000_INT.D 0 1 -.names N_41_0.BLIF inst_RW_000_DMA.D +.names N_40_0.BLIF inst_DSACK1_INTreg.D 0 1 -.names N_42_0.BLIF inst_RW_000_INT.D +.names N_41_0.BLIF inst_VMA_INTreg.D 0 1 -.names N_43_0.BLIF inst_LDS_000_INT.D +.names N_43_0.BLIF inst_RW_000_DMA.D 0 1 -.names N_44_0.BLIF inst_BGACK_030_INTreg.D -0 1 -.names N_67_i.BLIF inst_BGACK_030_INT_D.D +.names N_100_i.BLIF inst_BGACK_030_INT_D.D 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names N_91.BLIF N_91_i +.names N_130.BLIF N_130_i 0 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +.names BGACK_000_c.BLIF N_349_i.BLIF pos_clk_un6_bgack_000_0_n 11 1 -.names N_90.BLIF N_90_i +.names N_131.BLIF N_131_i 0 1 -.names N_249_i_0.BLIF RST_c.BLIF N_248_i +.names DTACK_c.BLIF DTACK_c_i +0 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names DTACK_c_i.BLIF RST_c.BLIF N_56_0 11 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +.names RW_000_c.BLIF RW_000_i +0 1 +.names VPA_c.BLIF VPA_c_i +0 1 +.names a_c_1__n.BLIF a_i_1__n +0 1 +.names RST_c.BLIF VPA_c_i.BLIF N_55_0 +11 1 +.names inst_RESET_OUT.BLIF RESET_OUT_i +0 1 +.names N_6.BLIF N_6_i +0 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names N_6_i.BLIF RST_c.BLIF N_47_0 +11 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i 0 1 .names N_26.BLIF N_26_i 0 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n 0 1 .names N_26_i.BLIF RST_c.BLIF N_34_0 11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +.names vcc_n_n + 1 +.names a_decode_c_16__n.BLIF a_decode_i_16__n 0 1 .names BG_030_c.BLIF BG_030_c_i 0 1 -.names RST_DLY_0_.BLIF rst_dly_i_0__n +.names inst_AS_030_D0.BLIF AS_030_D0_i 0 1 .names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n 0 1 -.names RST_DLY_1_.BLIF rst_dly_i_1__n +.names gnd_n_n +.names SIZE_DMA_0_.BLIF size_dma_i_0__n 0 1 .names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un9_bg_030_0_n 11 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_1__n -0 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n -11 1 -.names N_249.BLIF N_249_i_0 -0 1 -.names un10_ciin.BLIF un10_ciin_i -0 1 -.names vcc_n_n - 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_127_0 -11 1 -.names RST_DLY_2_.BLIF rst_dly_i_2__n -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_369_0 -11 1 -.names gnd_n_n -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_367_i -11 1 .names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ un1_amiga_bus_enable_low 11 1 -.names N_122.BLIF N_122_i -0 1 -.names un1_SM_AMIGA_0_sqmuxa_3.BLIF un1_SM_AMIGA_0_sqmuxa_3_i -0 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 -11 1 -.names a_decode_c_16__n.BLIF a_decode_i_16__n -0 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF un1_SM_AMIGA_0_sqmuxa_3_i.BLIF N_278_0 -11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size -11 1 -.names a_decode_c_18__n.BLIF a_decode_i_18__n -0 1 -.names N_218.BLIF N_218_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size -11 1 -.names a_decode_c_19__n.BLIF a_decode_i_19__n -0 1 -.names N_218_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_366_0 -11 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names RST_c.BLIF VPA_c_i.BLIF N_55_0 -11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names N_101.BLIF N_101_i -0 1 -.names N_7.BLIF N_7_i -0 1 -.names un10_ciin_10.BLIF un10_ciin_11.BLIF un10_ciin -11 1 -.names N_102.BLIF N_102_i -0 1 -.names N_7_i.BLIF RST_c.BLIF N_47_0 -11 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs -11 1 -.names a_c_1__n.BLIF a_i_1__n -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names un22_berr_1_0.BLIF FPU_SENSE_c.BLIF un22_berr -11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 -11 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i +.names SIZE_DMA_1_.BLIF size_dma_i_1__n 0 1 .names N_25.BLIF N_25_i 0 1 -.names cpu_est_3_.BLIF cpu_est_i_3__n +.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 +11 1 +.names a_decode_c_18__n.BLIF a_decode_i_18__n 0 1 .names N_25_i.BLIF RST_c.BLIF N_35_0 11 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_i +.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size +11 1 +.names a_decode_c_19__n.BLIF a_decode_i_19__n 0 1 .names N_24.BLIF N_24_i 0 1 -.names AS_000_c.BLIF AS_000_i +.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size +11 1 +.names ahigh_c_30__n.BLIF ahigh_i_30__n 0 1 .names N_24_i.BLIF RST_c.BLIF N_36_0 11 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_0__n +.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT 0 1 -.names N_23.BLIF N_23_i -0 1 -.names inst_RESET_OUT.BLIF RESET_OUT_i -0 1 -.names N_23_i.BLIF RST_c.BLIF N_37_0 -11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names N_22.BLIF N_22_i -0 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_22_i.BLIF RST_c.BLIF N_38_0 -11 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names N_19.BLIF N_19_i -0 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n -0 1 -.names N_19_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i +.names ahigh_c_31__n.BLIF ahigh_i_31__n 0 1 .names N_17.BLIF N_17_i 0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names N_17_i.BLIF RST_c.BLIF N_43_0 -11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names N_10.BLIF N_10_i -0 1 -.names ahigh_c_30__n.BLIF ahigh_i_30__n -0 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names ahigh_c_31__n.BLIF ahigh_i_31__n -0 1 -.names a_c_0__n.BLIF a_c_i_0__n +.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT 0 1 .names ahigh_c_28__n.BLIF ahigh_i_28__n 0 1 -.names size_c_1__n.BLIF size_c_i_1__n +.names N_17_i.BLIF RST_c.BLIF N_43_0 +11 1 +.names un1_SM_AMIGA_0_sqmuxa_1_0.BLIF un1_SM_AMIGA_0_sqmuxa_1 0 1 .names ahigh_c_29__n.BLIF ahigh_i_29__n 0 1 -.names pos_clk_un10_sm_amiga_i_1_n.BLIF size_c_i_1__n.BLIF \ -pos_clk_un10_sm_amiga_i_n +.names N_4.BLIF N_4_i +0 1 +.names N_138_i.BLIF N_162.BLIF un1_DS_000_ENABLE_0_sqmuxa 11 1 .names ahigh_c_26__n.BLIF ahigh_i_26__n 0 1 -.names N_259.BLIF N_259_i -0 1 +.names N_4_i.BLIF RST_c.BLIF N_49_0 +11 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +11 1 .names ahigh_c_27__n.BLIF ahigh_i_27__n 0 1 -.names BGACK_000_c.BLIF N_259_i.BLIF pos_clk_un6_bgack_000_0_n +.names N_3.BLIF N_3_i +0 1 +.names un10_ciin_10.BLIF un10_ciin_11.BLIF un10_ciin 11 1 .names ahigh_c_24__n.BLIF ahigh_i_24__n 0 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_282_0 +.names N_3_i.BLIF RST_c.BLIF N_50_0 +11 1 +.names un21_fpu_cs_1.BLIF N_357.BLIF un21_fpu_cs 11 1 .names ahigh_c_25__n.BLIF ahigh_i_25__n 0 1 -.names N_21.BLIF N_21_i +.names N_215.BLIF N_215_i 0 1 -.names G_119.BLIF N_244_i -0 1 -.names N_21_i.BLIF RST_c.BLIF N_39_0 +.names un21_berr_1_0.BLIF N_357.BLIF un21_berr 11 1 -.names G_120.BLIF N_245_i +.names G_107.BLIF N_210_i 0 1 -.names N_188.BLIF N_188_i +.names N_216.BLIF N_216_i 0 1 -.names G_121.BLIF N_246_i -0 1 -.names N_187.BLIF N_187_i -0 1 -.names N_187_i.BLIF N_188_i.BLIF N_58_0 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 11 1 -.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n +.names G_108.BLIF N_211_i +0 1 +.names N_215_i.BLIF N_216_i.BLIF N_301_0 11 1 +.names G_109.BLIF N_212_i +0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_243_0 +11 1 +.names N_266.BLIF N_266_i +0 1 .names un6_ds_030.BLIF un6_ds_030_i 0 1 -.names N_209.BLIF N_209_i -0 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names N_208.BLIF N_208_i +.names N_249.BLIF N_249_i 0 1 .names un4_as_000.BLIF un4_as_000_i 0 1 -.names N_208_i.BLIF N_209_i.BLIF pos_clk_un9_clk_000_pe_0_n -11 1 -.names pos_clk_ipl_1_n.BLIF N_245_i.BLIF pos_clk_ipl_n +.names N_249_i.BLIF N_266_i.BLIF AMIGA_BUS_DATA_DIR_c_0 11 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names N_268.BLIF N_268_i +0 1 .names un6_as_030.BLIF un6_as_030_i 0 1 -.names N_210.BLIF N_210_i -0 1 -.names N_211.BLIF N_211_i -0 1 -.names N_210_i.BLIF N_211_i.BLIF cpu_est_2_0_1__n +.names N_268_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF \ +pos_clk_ds_000_dma_4_0_n 11 1 -.names N_258.BLIF N_258_i -0 1 -.names N_212.BLIF N_212_i -0 1 -.names N_212_i.BLIF N_258_i.BLIF cpu_est_2_0_2__n -11 1 -.names N_216.BLIF N_216_i -0 1 -.names N_215.BLIF N_215_i -0 1 -.names N_215_i.BLIF N_216_i.BLIF N_40_i -11 1 -.names cpu_est_3_.BLIF cpu_est_i_1__n.BLIF N_138_0 -11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_2__n.BLIF N_142_i -11 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_143_i -11 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names N_392.BLIF N_392_i -0 1 -.names N_393.BLIF N_393_i -0 1 -.names pos_clk_rw_000_int_5_0_n.BLIF pos_clk_rw_000_int_5_n -0 1 -.names N_392_i.BLIF N_393_i.BLIF N_152_i -11 1 -.names cpu_est_1_.BLIF cpu_est_i_3__n.BLIF N_161_0 -11 1 -.names N_106.BLIF N_106_i -0 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n -0 1 -.names N_186.BLIF N_186_i -0 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 .names CLK_030_c.BLIF CLK_030_c_i 0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_164_0 +.names CLK_030_c_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_236_0 11 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF N_67_i +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF un1_as_000_i +11 1 +.names N_297.BLIF N_297_i +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_160_i +11 1 +.names pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF \ +pos_clk_un21_bgack_030_int_i_0_i_2_n.BLIF pos_clk_un21_bgack_030_int_i_0_i_n +11 1 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_100_i +11 1 +.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_186_0 +11 1 +.names N_157_i.BLIF N_304_i.BLIF N_183_0 +11 1 +.names N_304_i.BLIF nEXP_SPACE_c.BLIF N_182_0 +11 1 +.names N_157_i.BLIF N_160_i.BLIF N_181_0 +11 1 +.names N_228.BLIF N_228_i +0 1 +.names N_228_i.BLIF SM_AMIGA_i_7_.BLIF N_176_0 11 1 .names LDS_000_c.BLIF LDS_000_c_i 0 1 .names UDS_000_c.BLIF UDS_000_c_i 0 1 -.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_156_i +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_173_i 11 1 -.names pos_clk_un21_bgack_030_int_i_0_0_1_n.BLIF \ -pos_clk_un21_bgack_030_int_i_0_0_2_n.BLIF pos_clk_un21_bgack_030_int_i_0_0_n +.names N_304_i_1.BLIF CLK_000_D_2_.BLIF N_304_i 11 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_27 -1- 1 --1 1 -.names N_237.BLIF N_237_i +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i 0 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_28 -1- 1 --1 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_131_i +.names sm_amiga_i_i_7__n.BLIF nEXP_SPACE_c.BLIF N_157_i 11 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_29 -1- 1 --1 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF N_368_i +.names AS_030_i.BLIF RST_c.BLIF N_110_0 11 1 -.names CLK_030_c_i.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF N_275_0 +.names RW_c.BLIF RW_c_i +0 1 +.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n +11 1 +.names N_176_0.BLIF RW_c_i.BLIF N_106_0 +11 1 +.names N_284.BLIF N_284_i +0 1 +.names pos_clk_ipl_1_n.BLIF N_211_i.BLIF pos_clk_ipl_n +11 1 +.names N_334.BLIF N_334_i +0 1 +.names N_278.BLIF N_278_i +0 1 +.names N_279.BLIF N_279_i +0 1 +.names N_332.BLIF N_332_i +0 1 +.names N_237_0_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_237_0 +11 1 +.names N_167.BLIF N_176_0.BLIF un1_SM_AMIGA_0_sqmuxa_1_0 +11 1 +.names N_247.BLIF N_247_i +0 1 +.names N_248.BLIF N_248_i +0 1 +.names N_246.BLIF N_246_i +0 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 +.names un10_ciin.BLIF un10_ciin_i +0 1 +.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_241_0 +11 1 +.names un1_DS_000_ENABLE_0_sqmuxa.BLIF un1_DS_000_ENABLE_0_sqmuxa_i +0 1 +.names AS_030_D0_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF N_242_0 +11 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_48_i 11 1 .names N_227.BLIF N_227_i 0 1 -.names N_276_0_1.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF N_276_0 -11 1 -.names N_226.BLIF N_226_i -0 1 -.names RW_c.BLIF RW_c_i -0 1 -.names pos_clk_rw_000_int_5_0_1_n.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n -11 1 +.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_9 +1- 1 +-1 1 .names N_225.BLIF N_225_i 0 1 -.names N_225_i.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF \ -pos_clk_ds_000_dma_4_0_n -11 1 .names N_224.BLIF N_224_i 0 1 -.names N_224_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_15 +1- 1 +-1 1 .names N_223.BLIF N_223_i 0 1 -.names N_223_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names N_222.BLIF N_222_i -0 1 -.names N_201.BLIF N_201_i -0 1 -.names N_202.BLIF N_202_i -0 1 -.names N_201_i.BLIF N_202_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names N_199.BLIF N_199_i -0 1 -.names N_200.BLIF N_200_i -0 1 -.names N_199_i.BLIF N_200_i.BLIF sm_amiga_nss_0_2__n -11 1 -.names N_189.BLIF N_189_i -0 1 -.names N_190.BLIF N_190_i -0 1 -.names N_29.BLIF N_29_i -0 1 -.names N_29_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names N_28.BLIF N_28_i -0 1 -.names N_28_i.BLIF RST_c.BLIF N_32_0 -11 1 -.names N_27.BLIF N_27_i -0 1 -.names N_27_i.BLIF RST_c.BLIF N_31_0 -11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n -0 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 -11 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 -11 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n -0 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 -11 1 -.names CYCLE_DMA_0_.BLIF N_131_i.BLIF N_106 -11 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_56_0 -11 1 -.names N_3.BLIF N_3_i -0 1 -.names N_3_i.BLIF RST_c.BLIF N_50_0 -11 1 -.names pos_clk_un21_bgack_030_int_i_0_0_n.BLIF \ -pos_clk_un21_bgack_030_int_i_0_n -0 1 -.names N_8.BLIF N_8_i -0 1 -.names N_275_0.BLIF N_275 -0 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 -11 1 -.names N_276_0.BLIF N_276 -0 1 -.names size_c_0__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n -11 1 -.names N_175_i.BLIF N_176_i.BLIF sm_amiga_nss_i_0_1_0__n -11 1 -.names N_110.BLIF nEXP_SPACE_i.BLIF N_108 -11 1 -.names N_177_i.BLIF sm_amiga_nss_0_7__n.BLIF sm_amiga_nss_i_0_2_0__n -11 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_110 -11 1 -.names N_178_i.BLIF N_239_i.BLIF sm_amiga_nss_i_0_3_0__n -11 1 -.names sm_amiga_nss_i_0_1_0__n.BLIF sm_amiga_nss_i_0_2_0__n.BLIF \ -sm_amiga_nss_i_0_4_0__n -11 1 -.names sm_amiga_nss_i_0_3_0__n.BLIF N_252_i.BLIF sm_amiga_nss_i_0_5_0__n -11 1 -.names N_127_0.BLIF N_127 -0 1 -.names ahigh_i_24__n.BLIF ahigh_i_25__n.BLIF un10_ciin_1 -11 1 -.names N_130_i.BLIF N_130 -0 1 -.names ahigh_i_26__n.BLIF ahigh_i_27__n.BLIF un10_ciin_2 -11 1 -.names N_131_i.BLIF N_131 -0 1 -.names ahigh_i_28__n.BLIF ahigh_i_29__n.BLIF un10_ciin_3 -11 1 -.names N_139_i.BLIF N_139 -0 1 -.names ahigh_i_30__n.BLIF ahigh_i_31__n.BLIF un10_ciin_4 -11 1 -.names N_152_i.BLIF N_152 -0 1 -.names a_decode_c_23__n.BLIF AS_030_D0_i.BLIF un10_ciin_5 -11 1 -.names N_156_i.BLIF N_156 -0 1 -.names a_decode_c_20__n.BLIF a_decode_c_21__n.BLIF un10_ciin_6 -11 1 -.names N_164_0.BLIF N_164 -0 1 -.names un10_ciin_1.BLIF un10_ciin_2.BLIF un10_ciin_7 -11 1 -.names un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_370 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_16 1- 1 -1 1 -.names un10_ciin_3.BLIF un10_ciin_4.BLIF un10_ciin_8 -11 1 -.names N_177_1.BLIF SM_AMIGA_3_.BLIF N_177 -11 1 -.names un10_ciin_5.BLIF un10_ciin_6.BLIF un10_ciin_9 -11 1 -.names N_179_1.BLIF rst_dly_i_2__n.BLIF N_179 -11 1 -.names un10_ciin_7.BLIF un10_ciin_8.BLIF un10_ciin_10 -11 1 -.names N_185_1.BLIF rst_dly_i_1__n.BLIF N_185 -11 1 -.names un10_ciin_9.BLIF a_decode_c_22__n.BLIF un10_ciin_11 -11 1 -.names cycle_dma_i_0__n.BLIF N_131.BLIF N_186 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF pos_clk_un21_bgack_030_int_i_0_0_1_n -11 1 -.names N_136.BLIF RST_DLY_0_.BLIF N_189 -11 1 -.names pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF N_237_i.BLIF \ -pos_clk_un21_bgack_030_int_i_0_0_2_n -11 1 -.names N_257.BLIF rst_dly_i_0__n.BLIF N_190 -11 1 -.names AS_000_i.BLIF N_67_i.BLIF N_307_i_1 -11 1 -.names N_199_1.BLIF SM_AMIGA_5_.BLIF N_199 -11 1 -.names N_106_i.BLIF N_186_i.BLIF N_307_i_2 -11 1 -.names N_391.BLIF SM_AMIGA_6_.BLIF N_200 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_202_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_201 -11 1 -.names RW_000_c.BLIF nEXP_SPACE_i.BLIF N_202_2 -11 1 -.names N_202_1.BLIF N_202_2.BLIF N_202 -11 1 -.names N_131_i.BLIF N_142_i.BLIF N_208_1 -11 1 -.names N_203_1.BLIF SM_AMIGA_i_7_.BLIF N_203 -11 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_208_2 -11 1 -.names N_211_1.BLIF cpu_est_i_3__n.BLIF N_211 -11 1 -.names N_130_i.BLIF N_258.BLIF N_209_1 -11 1 -.names N_247.BLIF RST_c.BLIF N_217 -11 1 -.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_209_2 -11 1 -.names CLK_030_H_i.BLIF N_164.BLIF N_222 -11 1 -.names N_138_0.BLIF N_142_i.BLIF N_392_1 -11 1 -.names BGACK_030_INT_i.BLIF N_156.BLIF N_223 -11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_392_2 -11 1 -.names BGACK_030_INT_i.BLIF N_156_i.BLIF N_224 -11 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_122_1 -11 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_225 -11 1 -.names a_decode_c_17__n.BLIF a_decode_i_16__n.BLIF N_122_2 -11 1 -.names N_131_i.BLIF SM_AMIGA_0_.BLIF N_226 -11 1 -.names a_decode_i_18__n.BLIF a_decode_i_19__n.BLIF N_122_3 -11 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_227 -11 1 -.names N_122_1.BLIF N_122_2.BLIF N_122_4 -11 1 -.names BERR_c.BLIF RST_c.BLIF N_236 -11 1 -.names N_122_i.BLIF N_247.BLIF N_218_1 -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF N_237 -11 1 -.names sm_amiga_i_i_7__n.BLIF nEXP_SPACE_c.BLIF N_218_2 -11 1 -.names N_130_i.BLIF RST_c.BLIF N_243 -11 1 -.names AS_030_i.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 -11 1 -.names N_131_i.BLIF RST_c.BLIF N_391 -11 1 -.names un22_berr_1.BLIF AS_030_i.BLIF un22_berr_1_0 -11 1 -.names N_131.BLIF N_236.BLIF N_250 -11 1 -.names N_183_i.BLIF N_184_i.BLIF N_305_i_1 -11 1 -.names N_185_i.BLIF RST_c.BLIF N_305_i_2 -11 1 -.names N_179_i.BLIF N_180_i.BLIF N_304_i_1 -11 1 -.names N_208_1.BLIF N_208_2.BLIF N_208 -11 1 -.names N_181_i.BLIF RST_c.BLIF N_304_i_2 -11 1 -.names N_209_1.BLIF N_209_2.BLIF N_209 -11 1 -.names N_154_i.BLIF N_155_i.BLIF N_178_1 -11 1 -.names N_143_i.BLIF cpu_est_i_2__n.BLIF N_258 -11 1 -.names N_204_1.BLIF N_243.BLIF N_178_2 -11 1 -.names N_161_0.BLIF N_161 -0 1 -.names N_178_1.BLIF N_178_2.BLIF N_178_3 -11 1 -.names N_392_1.BLIF N_392_2.BLIF N_392 -11 1 -.names N_204_1.BLIF N_243.BLIF N_204_1_0 -11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_393 -11 1 -.names AS_000_i.BLIF N_67_i.BLIF N_125_i_1 -11 1 -.names N_138_0.BLIF N_138 -0 1 -.names N_227_i.BLIF RW_000_i.BLIF N_276_0_1 -11 1 -.names N_143_i.BLIF N_143 -0 1 -.names SM_AMIGA_i_7_.BLIF N_226_i.BLIF pos_clk_rw_000_int_5_0_1_n -11 1 -.names N_161.BLIF cpu_est_2_.BLIF N_215 -11 1 -.names N_222_i.BLIF RST_c.BLIF N_277_i_1 -11 1 -.names N_138.BLIF cpu_est_i_2__n.BLIF N_216 -11 1 -.names N_189_i.BLIF N_190_i.BLIF N_306_i_1 -11 1 -.names N_143_i.BLIF cpu_est_2_.BLIF N_214 -11 1 -.names nEXP_SPACE_c.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n -11 1 -.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n -0 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_211_1 -11 1 -.names N_143.BLIF cpu_est_2_.BLIF N_212 -11 1 -.names N_250.BLIF SM_AMIGA_6_.BLIF N_203_1 -11 1 -.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n -0 1 -.names N_130.BLIF N_236.BLIF N_199_1 -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_210 -11 1 -.names N_248_i.BLIF rst_dly_i_0__n.BLIF N_185_1 -11 1 -.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n -0 1 -.names N_139.BLIF N_248_i.BLIF N_179_1 -11 1 -.names inst_RESET_OUT.BLIF RST_c.BLIF N_187 -11 1 -.names N_152.BLIF N_243.BLIF N_177_1 -11 1 -.names N_243.BLIF N_249.BLIF N_188 -11 1 -.names N_246_i.BLIF N_244_i.BLIF pos_clk_ipl_1_n -11 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_21 -1- 1 --1 1 -.names N_279.BLIF dsack1_int_0_un3_n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_247 -11 1 -.names N_91_i.BLIF N_279.BLIF dsack1_int_0_un1_n -11 1 -.names N_282_0.BLIF N_282 -0 1 -.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n -0 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names un1_SM_AMIGA_0_sqmuxa_2.BLIF rw_000_int_0_un3_n -0 1 -.names AS_000_c.BLIF N_131_i.BLIF N_259 -11 1 -.names pos_clk_rw_000_int_5_n.BLIF un1_SM_AMIGA_0_sqmuxa_2.BLIF \ -rw_000_int_0_un1_n -11 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_101 -11 1 -.names N_280.BLIF as_000_int_0_un3_n -0 1 -.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_102 -11 1 -.names N_90_i.BLIF N_280.BLIF as_000_int_0_un1_n -11 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names N_18.BLIF N_18_i -0 1 -.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_17 -1- 1 --1 1 -.names N_18_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names pos_clk_un9_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names N_5.BLIF N_5_i -0 1 -.names BG_030_c.BLIF pos_clk_un9_bg_030_n.BLIF bg_000_0_un1_n -11 1 .names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_22 1- 1 -1 1 -.names N_5_i.BLIF RST_c.BLIF N_48_0 +.names N_218.BLIF N_218_i +0 1 +.names N_218_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n 11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +.names N_217.BLIF N_217_i +0 1 +.names N_217_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n 11 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_23 +.names N_213.BLIF N_213_i +0 1 +.names N_319.BLIF N_319_i +0 1 +.names N_213_i.BLIF N_319_i.BLIF N_300_0 +11 1 +.names N_15.BLIF N_15_i +0 1 +.names N_15_i.BLIF RST_c.BLIF N_45_0 +11 1 +.names N_16.BLIF N_16_i +0 1 +.names N_16_i.BLIF RST_c.BLIF N_44_0 +11 1 +.names N_22.BLIF N_22_i +0 1 +.names N_22_i.BLIF RST_c.BLIF N_38_0 +11 1 +.names AS_000_i.BLIF pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF \ +pos_clk_un21_bgack_030_int_i_0_i_1_n +11 1 +.names BGACK_030_INT_i.BLIF N_297_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_2_n +11 1 +.names N_199_i.BLIF N_200_i.BLIF N_238_i_1 +11 1 +.names N_201_i.BLIF RST_c.BLIF N_238_i_2 +11 1 +.names N_203_i.BLIF N_204_i.BLIF N_239_i_1 +11 1 +.names N_205_i.BLIF RST_c.BLIF N_239_i_2 +11 1 +.names size_c_0__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n +11 1 +.names ahigh_i_24__n.BLIF ahigh_i_25__n.BLIF un10_ciin_1 +11 1 +.names ahigh_i_26__n.BLIF ahigh_i_27__n.BLIF un10_ciin_2 +11 1 +.names ahigh_i_28__n.BLIF ahigh_i_29__n.BLIF un10_ciin_3 +11 1 +.names ahigh_i_30__n.BLIF ahigh_i_31__n.BLIF un10_ciin_4 +11 1 +.names a_decode_c_23__n.BLIF AS_030_D0_i.BLIF un10_ciin_5 +11 1 +.names a_decode_c_20__n.BLIF a_decode_c_21__n.BLIF un10_ciin_6 +11 1 +.names un10_ciin_1.BLIF un10_ciin_2.BLIF un10_ciin_7 +11 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n +0 1 +.names un10_ciin_3.BLIF un10_ciin_4.BLIF un10_ciin_8 +11 1 +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n +0 1 +.names un10_ciin_5.BLIF un10_ciin_6.BLIF un10_ciin_9 +11 1 +.names un10_ciin_7.BLIF un10_ciin_8.BLIF un10_ciin_10 +11 1 +.names un10_ciin_9.BLIF a_decode_c_22__n.BLIF un10_ciin_11 +11 1 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_357_1 +11 1 +.names pos_clk_un21_bgack_030_int_i_0_i_n.BLIF \ +pos_clk_un21_bgack_030_int_i_0_n +0 1 +.names a_decode_c_17__n.BLIF a_decode_i_16__n.BLIF N_357_2 +11 1 +.names N_237_0.BLIF N_237 +0 1 +.names a_decode_i_18__n.BLIF a_decode_i_19__n.BLIF N_357_3 +11 1 +.names N_241_0.BLIF N_241 +0 1 +.names N_357_1.BLIF N_357_2.BLIF N_357_4 +11 1 +.names N_242_0.BLIF N_242 +0 1 +.names clk_000_d_i_1__n.BLIF AS_030_000_SYNC_i.BLIF N_304_i_1 +11 1 +.names un21_berr_1.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 +11 1 +.names N_294.BLIF nEXP_SPACE_i.BLIF N_283 +11 1 +.names un21_berr_1.BLIF FPU_SENSE_c.BLIF un21_berr_1_0 +11 1 +.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_294 +11 1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_266_1 +11 1 +.names N_300_0.BLIF N_300 +0 1 +.names RW_000_c.BLIF nEXP_SPACE_i.BLIF N_266_2 +11 1 +.names AS_000_i.BLIF N_100_i.BLIF N_67_i_1 +11 1 +.names N_106_0.BLIF N_106 +0 1 +.names N_207_i.BLIF N_208_i.BLIF N_67_i_2 +11 1 +.names N_138_i.BLIF N_305_i.BLIF N_314_1 +11 1 +.names N_134_i.BLIF N_134 +0 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_314_2 +11 1 +.names N_138_i.BLIF N_138 +0 1 +.names N_134_i.BLIF N_348.BLIF N_318_1 +11 1 +.names N_156_i.BLIF N_156 +0 1 +.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_318_2 +11 1 +.names N_160_i.BLIF N_160 +0 1 +.names N_154_0.BLIF N_305_i.BLIF N_341_1 +11 1 +.names N_167_i.BLIF N_167 +0 1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF N_341_2 +11 1 +.names N_172_i.BLIF N_172 +0 1 +.names N_167.BLIF N_284_i.BLIF N_151_i_1 +11 1 +.names N_173_i.BLIF N_173 +0 1 +.names N_138.BLIF N_334_i.BLIF N_143_i_1 +11 1 +.names N_181_0.BLIF N_181 +0 1 +.names N_166_i.BLIF N_278_i.BLIF N_141_i_1 +11 1 +.names N_182_0.BLIF N_182 +0 1 +.names N_332_i.BLIF RW_000_i.BLIF N_237_0_1 +11 1 +.names N_183_0.BLIF N_183 +0 1 +.names N_247_i.BLIF N_248_i.BLIF N_240_i_1 +11 1 +.names un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_191 1- 1 -1 1 -.names N_4.BLIF N_4_i +.names N_246_i.BLIF RST_c.BLIF N_60_i_1 +11 1 +.names N_199_1.BLIF rst_dly_i_2__n.BLIF N_199 +11 1 +.names AS_000_i.BLIF N_100_i.BLIF N_64_i_1 +11 1 +.names N_205_1.BLIF rst_dly_i_1__n.BLIF N_205 +11 1 +.names N_227_i.BLIF N_228_i.BLIF N_155_i_1 +11 1 +.names N_160_i.BLIF RST_c.BLIF N_209 +11 1 +.names N_138.BLIF N_225_i.BLIF N_147_i_1 +11 1 +.names AS_030_D0_i.BLIF N_181.BLIF N_319 +11 1 +.names N_172.BLIF N_224_i.BLIF N_145_i_1 +11 1 +.names AS_030_D0_i.BLIF N_357.BLIF N_213 +11 1 +.names N_138.BLIF N_223_i.BLIF N_139_i_1 +11 1 +.names N_216_1.BLIF RST_c.BLIF N_216 +11 1 +.names nEXP_SPACE_c.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n +11 1 +.names BGACK_030_INT_i.BLIF N_173.BLIF N_217 +11 1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_220_1 +11 1 +.names BGACK_030_INT_i.BLIF N_173_i.BLIF N_218 +11 1 +.names N_134_i.BLIF N_343.BLIF N_216_1 +11 1 +.names N_220_1.BLIF cpu_est_i_3__n.BLIF N_220 +11 1 +.names N_214_0.BLIF rst_dly_i_0__n.BLIF N_205_1 +11 1 +.names N_169.BLIF sm_amiga_i_0__n.BLIF N_223 +11 1 +.names N_156.BLIF N_214_0.BLIF N_199_1 +11 1 +.names N_180.BLIF sm_amiga_i_3__n.BLIF N_224 +11 1 +.names N_212_i.BLIF N_210_i.BLIF pos_clk_ipl_1_n +11 1 +.names N_185.BLIF sm_amiga_i_4__n.BLIF N_225 +11 1 +.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n 0 1 -.names N_130.BLIF cpu_est_0_3__un3_n +.names N_182.BLIF sm_amiga_i_i_7__n.BLIF N_227 +11 1 +.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n +11 1 +.names N_138_i.BLIF SM_AMIGA_0_.BLIF N_228 +11 1 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names CLK_030_H_i.BLIF N_186.BLIF N_246 +11 1 +.names N_80.BLIF as_000_int_0_un3_n 0 1 +.names N_142.BLIF RST_DLY_0_.BLIF N_247 +11 1 +.names N_167.BLIF N_80.BLIF as_000_int_0_un1_n +11 1 +.names N_166_i.BLIF rst_dly_i_0__n.BLIF N_248 +11 1 +.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_332 +11 1 +.names N_256.BLIF dsack1_int_0_un3_n +0 1 +.names N_138.BLIF SM_AMIGA_2_.BLIF N_278 +11 1 +.names N_169.BLIF N_256.BLIF dsack1_int_0_un1_n +11 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_279 +11 1 +.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 +.names N_179.BLIF sm_amiga_i_2__n.BLIF N_334 +11 1 +.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n +0 1 +.names N_183.BLIF sm_amiga_i_6__n.BLIF N_284 +11 1 +.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n +11 1 +.names N_156_i.BLIF RST_DLY_2_.BLIF N_343 +11 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n +0 1 +.names AS_030_i.BLIF BGACK_000_c.BLIF un21_berr_1 +11 1 +.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n +11 1 +.names N_357_4.BLIF N_357_3.BLIF N_357 +11 1 +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names N_266_1.BLIF N_266_2.BLIF N_266 +11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +0 1 +.names N_186_0.BLIF N_186 +0 1 +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c +0 1 +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +11 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_297 +11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +0 1 +.names N_236_0.BLIF N_236 +0 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n +0 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_268 +11 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names N_134.BLIF cpu_est_0_3__un3_n +0 1 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_249 +11 1 +.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +11 1 +.names cpu_est_3_.BLIF N_134.BLIF cpu_est_0_3__un1_n +11 1 +.names N_243_0.BLIF N_243 +0 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names N_196_i.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names inst_RESET_OUT.BLIF RST_c.BLIF N_215 +11 1 +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 +11 1 +.names N_134.BLIF cpu_est_0_2__un3_n +0 1 +.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_130 +11 1 +.names N_23.BLIF N_23_i +0 1 +.names cpu_est_2_.BLIF N_134.BLIF cpu_est_0_2__un1_n +11 1 +.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_131 +11 1 +.names N_23_i.BLIF RST_c.BLIF N_37_0 +11 1 +.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n +11 1 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 +1- 1 +-1 1 +.names N_21.BLIF N_21_i +0 1 +.names N_134.BLIF cpu_est_0_1__un3_n +0 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_4 +1- 1 +-1 1 +.names N_21_i.BLIF RST_c.BLIF N_39_0 +11 1 +.names cpu_est_1_.BLIF N_134.BLIF cpu_est_0_1__un1_n +11 1 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_17 +1- 1 +-1 1 +.names N_20.BLIF N_20_i +0 1 +.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +11 1 .names amiga_bus_enable_dma_low_0_un1_n.BLIF \ amiga_bus_enable_dma_low_0_un0_n.BLIF N_24 1- 1 -1 1 -.names N_4_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names cpu_est_3_.BLIF N_130.BLIF cpu_est_0_3__un1_n +.names N_20_i.BLIF RST_c.BLIF N_40_0 11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +0 1 .names amiga_bus_enable_dma_high_0_un1_n.BLIF \ amiga_bus_enable_dma_high_0_un0_n.BLIF N_25 1- 1 -1 1 -.names N_191.BLIF N_191_i +.names N_19.BLIF N_19_i 0 1 -.names cpu_est_2_3__n.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n 11 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_6 +.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n +0 1 +.names N_19_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_6 1- 1 -1 1 -.names N_191_i.BLIF SM_AMIGA_i_7_.BLIF un1_SM_AMIGA_0_sqmuxa_2_0 +.names N_14.BLIF N_14_i +0 1 +.names N_160.BLIF amiga_bus_enable_dma_low_0_un3_n +0 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names N_14_i.BLIF RST_c.BLIF N_46_0 11 1 +.names N_130_i.BLIF N_160.BLIF amiga_bus_enable_dma_low_0_un1_n +11 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_26 +1- 1 +-1 1 +.names ipl_c_0__n.BLIF ipl_c_i_0__n +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +11 1 +.names CYCLE_DMA_0_.BLIF N_138_i.BLIF N_208 +11 1 +.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 +11 1 +.names N_160.BLIF rw_000_dma_0_un3_n +0 1 +.names cycle_dma_i_0__n.BLIF N_138.BLIF N_207 +11 1 +.names ipl_c_1__n.BLIF ipl_c_i_1__n +0 1 +.names N_243.BLIF N_160.BLIF rw_000_dma_0_un1_n +11 1 +.names AS_000_c.BLIF N_138_i.BLIF N_349 +11 1 +.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 +11 1 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names N_314_1.BLIF N_314_2.BLIF N_314 +11 1 +.names ipl_c_2__n.BLIF ipl_c_i_2__n +0 1 +.names N_236.BLIF as_000_dma_0_un3_n +0 1 +.names N_318_1.BLIF N_318_2.BLIF N_318 +11 1 +.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 +11 1 +.names pos_clk_un21_bgack_030_int_i_0_n.BLIF N_236.BLIF as_000_dma_0_un1_n +11 1 +.names N_153_i.BLIF cpu_est_i_2__n.BLIF N_348 +11 1 +.names N_27.BLIF N_27_i +0 1 +.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +11 1 +.names N_134.BLIF rst_dly_i_2__n.BLIF N_201 +11 1 +.names N_27_i.BLIF RST_c.BLIF N_31_0 +11 1 +.names N_237.BLIF ds_000_dma_0_un3_n +0 1 +.names N_142.BLIF N_343.BLIF N_200 +11 1 +.names N_28.BLIF N_28_i +0 1 +.names pos_clk_ds_000_dma_4_n.BLIF N_237.BLIF ds_000_dma_0_un1_n +11 1 +.names N_142.BLIF N_156_i.BLIF N_203 +11 1 +.names N_28_i.BLIF RST_c.BLIF N_32_0 +11 1 +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names N_166_i.BLIF rst_dly_i_1__n.BLIF N_204 +11 1 +.names N_29.BLIF N_29_i +0 1 +.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 +.names N_185_0.BLIF N_185 +0 1 +.names N_29_i.BLIF RST_c.BLIF N_33_0 +11 1 +.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n +11 1 +.names N_184_0.BLIF N_184 +0 1 +.names a_c_0__n.BLIF a_c_i_0__n +0 1 +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ +bgack_030_int_0_un0_n +11 1 +.names N_180_0.BLIF N_180 +0 1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names pos_clk_un9_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names N_179_0.BLIF N_179 +0 1 +.names pos_clk_un10_sm_amiga_i_1_n.BLIF size_c_i_1__n.BLIF \ +pos_clk_un10_sm_amiga_i_n +11 1 +.names BG_030_c.BLIF pos_clk_un9_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names N_178_0.BLIF N_178 +0 1 +.names AS_030_D0_i.BLIF N_169.BLIF N_256_0 +11 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names N_171_i.BLIF N_171 +0 1 +.names N_318.BLIF N_318_i +0 1 +.names N_160.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 +.names N_341_1.BLIF N_341_2.BLIF N_341 +11 1 +.names N_314.BLIF N_314_i +0 1 +.names N_131_i.BLIF N_160.BLIF amiga_bus_enable_dma_high_0_un1_n +11 1 +.names N_165.BLIF inst_VPA_D.BLIF N_342 +11 1 +.names N_314_i.BLIF N_318_i.BLIF pos_clk_un9_clk_000_pe_0_n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names N_169_i.BLIF N_169 +0 1 +.names N_219.BLIF N_219_i +0 1 .names inst_BGACK_030_INTreg.BLIF \ un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n 0 1 -.names N_131_i.BLIF N_144.BLIF un1_SM_AMIGA_0_sqmuxa_3 -11 1 -.names N_193.BLIF N_193_i +.names N_154_0.BLIF N_154 +0 1 +.names N_220.BLIF N_220_i 0 1 .names inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INTreg.BLIF \ un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n 11 1 -.names N_278_0.BLIF N_278 -0 1 -.names N_192.BLIF N_192_i +.names N_165_0.BLIF N_165 0 1 +.names N_219_i.BLIF N_220_i.BLIF cpu_est_2_0_1__n +11 1 .names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n.BLIF \ un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n 11 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_7 -1- 1 --1 1 -.names N_192_i.BLIF N_193_i.BLIF sm_amiga_nss_0_6__n -11 1 -.names N_247.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n -0 1 -.names N_177.BLIF N_177_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_247.BLIF \ -amiga_bus_enable_dma_low_0_un1_n -11 1 -.names N_366_0.BLIF N_366 -0 1 -.names N_194.BLIF N_194_i -0 1 -.names N_101_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n -11 1 -.names N_122_4.BLIF N_122_3.BLIF N_122 -11 1 -.names N_177_i.BLIF N_194_i.BLIF sm_amiga_nss_0_5__n -11 1 -.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n -0 1 -.names N_218_1.BLIF N_218_2.BLIF N_218 -11 1 -.names N_195.BLIF N_195_i -0 1 -.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n -11 1 -.names BGACK_000_c.BLIF N_122.BLIF un22_berr_1 -11 1 -.names N_196.BLIF N_196_i -0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n -0 1 -.names N_195_i.BLIF N_196_i.BLIF sm_amiga_nss_0_4__n -11 1 -.names N_247.BLIF a0_dma_0_un3_n -0 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_26 -1- 1 --1 1 -.names N_198.BLIF N_198_i -0 1 -.names inst_A0_DMA.BLIF N_247.BLIF a0_dma_0_un1_n -11 1 -.names cpu_est_2_0_3__n.BLIF cpu_est_2_3__n -0 1 -.names N_197.BLIF N_197_i -0 1 -.names pos_clk_a0_dma_3_n.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names N_136.BLIF N_249.BLIF N_180 -11 1 -.names N_197_i.BLIF N_198_i.BLIF sm_amiga_nss_0_3__n -11 1 -.names N_247.BLIF rw_000_dma_0_un3_n -0 1 -.names N_136_0.BLIF N_136 -0 1 -.names N_204.BLIF N_204_i -0 1 -.names inst_RW_000_DMA.BLIF N_247.BLIF rw_000_dma_0_un1_n -11 1 -.names N_139_i.BLIF RST_DLY_2_.BLIF N_249 -11 1 -.names N_203.BLIF N_203_i -0 1 -.names N_282.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names N_130.BLIF rst_dly_i_2__n.BLIF N_181 -11 1 -.names N_203_i.BLIF N_204_i.BLIF N_303_0 -11 1 -.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n -0 1 -.names N_136.BLIF N_139_i.BLIF N_183 -11 1 -.names N_90_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_280_0 -11 1 -.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n -11 1 -.names N_257.BLIF rst_dly_i_1__n.BLIF N_184 -11 1 -.names N_91_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_279_0 -11 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names N_130.BLIF RST_c.BLIF N_257 -11 1 -.names N_236.BLIF N_236_i -0 1 -.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names N_130.BLIF cpu_est_i_0__n.BLIF N_205 -11 1 -.names N_391.BLIF N_391_i -0 1 -.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names N_130_i.BLIF cpu_est_0_.BLIF N_206 -11 1 -.names N_236_i.BLIF N_391_i.BLIF N_137_0 -11 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ -bgack_030_int_0_un0_n -11 1 -.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_213 -11 1 -.names N_241.BLIF N_241_i -0 1 -.names N_278.BLIF ds_000_enable_0_un3_n -0 1 -.names N_130_i.BLIF N_249_i_0.BLIF N_238 -11 1 -.names N_240.BLIF N_240_i -0 1 -.names un1_SM_AMIGA_0_sqmuxa_3.BLIF N_278.BLIF ds_000_enable_0_un1_n -11 1 .names N_162_0.BLIF N_162 0 1 -.names N_240_i.BLIF N_241_i.BLIF sm_amiga_nss_0_7__n -11 1 -.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ -ds_000_enable_0_un0_n -11 1 -.names N_178_3.BLIF sm_amiga_i_3__n.BLIF N_178 -11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +.names N_221.BLIF N_221_i 0 1 -.names N_366.BLIF as_030_000_sync_0_un3_n +.names N_209.BLIF size_dma_0_0__un3_n 0 1 -.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF N_204_1 +.names RW_c.BLIF SM_AMIGA_6_.BLIF N_299 11 1 -.names N_242.BLIF N_242_i +.names N_348.BLIF N_348_i 0 1 -.names pos_clk_un3_as_030_d0_n.BLIF N_366.BLIF as_030_000_sync_0_un1_n +.names SIZE_DMA_0_.BLIF N_209.BLIF size_dma_0_0__un1_n 11 1 -.names N_155_i.BLIF N_155 +.names N_153_i.BLIF N_153 0 1 -.names N_242_i.BLIF sm_amiga_i_4__n.BLIF N_144_0 +.names N_221_i.BLIF N_348_i.BLIF cpu_est_2_0_2__n 11 1 -.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF \ -as_030_000_sync_0_un0_n -11 1 -.names N_204_1_0.BLIF sm_amiga_i_i_7__n.BLIF N_204 -11 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names N_247.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names N_236.BLIF SM_AMIGA_3_.BLIF N_239 -11 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_154_i -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_247.BLIF \ -amiga_bus_enable_dma_high_0_un1_n -11 1 -.names N_236.BLIF SM_AMIGA_1_.BLIF N_252 -11 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names N_102_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n -11 1 -.names N_163.BLIF SM_AMIGA_5_.BLIF N_175 -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names N_130.BLIF cpu_est_0_2__un3_n -0 1 -.names N_137.BLIF N_160.BLIF N_176 -11 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_6__n.BLIF N_155_i -11 1 -.names cpu_est_2_.BLIF N_130.BLIF cpu_est_0_2__un1_n -11 1 -.names N_163_0.BLIF N_163 -0 1 -.names N_154_i.BLIF sm_amiga_i_6__n.BLIF N_160_0 -11 1 -.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 -.names N_160_0.BLIF N_160 -0 1 -.names N_243.BLIF N_243_i -0 1 -.names N_130.BLIF cpu_est_0_1__un3_n -0 1 -.names N_144_0.BLIF N_144 -0 1 -.names N_236_i.BLIF N_243_i.BLIF N_163_0 -11 1 -.names cpu_est_1_.BLIF N_130.BLIF cpu_est_0_1__un1_n -11 1 -.names RW_c.BLIF SM_AMIGA_6_.BLIF N_242 -11 1 -.names N_176.BLIF N_176_i -0 1 -.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names N_243.BLIF SM_AMIGA_1_.BLIF N_240 -11 1 -.names N_175.BLIF N_175_i -0 1 -.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names N_250.BLIF SM_AMIGA_0_.BLIF N_241 -11 1 -.names N_252.BLIF N_252_i -0 1 -.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names N_137_0.BLIF N_137 -0 1 -.names N_239.BLIF N_239_i -0 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names N_279_0.BLIF N_279 -0 1 -.names N_178.BLIF N_178_i -0 1 -.names N_217.BLIF size_dma_0_0__un3_n -0 1 -.names N_130_i.BLIF SM_AMIGA_1_.BLIF N_91 -11 1 -.names sm_amiga_nss_i_0_4_0__n.BLIF sm_amiga_nss_i_0_5_0__n.BLIF \ -sm_amiga_nss_i_0_0__n -11 1 -.names SIZE_DMA_0_.BLIF N_217.BLIF size_dma_0_0__un1_n -11 1 -.names N_280_0.BLIF N_280 -0 1 .names pos_clk_size_dma_6_0__n.BLIF size_dma_0_0__un3_n.BLIF \ size_dma_0_0__un0_n 11 1 -.names N_131_i.BLIF SM_AMIGA_6_.BLIF N_90 -11 1 -.names N_181.BLIF N_181_i +.names N_142_0.BLIF N_142 0 1 -.names N_217.BLIF size_dma_0_1__un3_n +.names N_222.BLIF N_222_i 0 1 -.names N_250.BLIF SM_AMIGA_4_.BLIF N_197 -11 1 -.names N_180.BLIF N_180_i +.names N_209.BLIF size_dma_0_1__un3_n 0 1 -.names SIZE_DMA_1_.BLIF N_217.BLIF size_dma_0_1__un1_n +.names N_134_i.BLIF N_214_0.BLIF N_298 11 1 -.names N_243.BLIF SM_AMIGA_5_.BLIF N_198 +.names N_221_i.BLIF N_222_i.BLIF N_196_i 11 1 -.names N_179.BLIF N_179_i +.names SIZE_DMA_1_.BLIF N_209.BLIF size_dma_0_1__un1_n +11 1 +.names N_80_0.BLIF N_80 +0 1 +.names N_226.BLIF N_226_i 0 1 .names pos_clk_size_dma_6_1__n.BLIF size_dma_0_1__un3_n.BLIF \ size_dma_0_1__un0_n 11 1 -.names N_162.BLIF N_239.BLIF N_195 +.names N_134.BLIF cpu_est_i_0__n.BLIF N_232 11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +.names N_242.BLIF ds_000_enable_0_un3_n 0 1 -.names N_391.BLIF SM_AMIGA_4_.BLIF N_196 +.names N_134_i.BLIF cpu_est_0_.BLIF N_233 11 1 -.names N_185.BLIF N_185_i +.names N_231.BLIF N_231_i 0 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +.names un1_DS_000_ENABLE_0_sqmuxa.BLIF N_242.BLIF ds_000_enable_0_un1_n 11 1 -.names N_250.BLIF SM_AMIGA_2_.BLIF N_194 +.names N_184.BLIF cpu_est_i_2__n.BLIF N_229 11 1 -.names N_183.BLIF N_183_i +.names N_229.BLIF N_229_i 0 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ +ds_000_enable_0_un0_n 11 1 -.names N_130.BLIF N_252.BLIF N_192 +.names N_178.BLIF cpu_est_2_.BLIF N_231 11 1 -.names N_184.BLIF N_184_i +.names N_229_i.BLIF N_231_i.BLIF N_302_i +11 1 +.names N_300.BLIF as_030_000_sync_0_un3_n 0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +.names N_167.BLIF sm_amiga_i_5__n.BLIF N_226 +11 1 +.names N_233.BLIF N_233_i 0 1 -.names N_391.BLIF SM_AMIGA_2_.BLIF N_193 +.names inst_AS_030_000_SYNC.BLIF N_300.BLIF as_030_000_sync_0_un1_n 11 1 -.names N_130_i.BLIF N_152.BLIF N_162_0 +.names N_153.BLIF cpu_est_2_.BLIF N_221 11 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 -.names un1_SM_AMIGA_0_sqmuxa_2_0.BLIF un1_SM_AMIGA_0_sqmuxa_2 +.names N_232.BLIF N_232_i 0 1 -.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_139_i +.names inst_AS_030_D0.BLIF as_030_000_sync_0_un3_n.BLIF \ +as_030_000_sync_0_un0_n 11 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +.names N_154.BLIF cpu_est_i_2__n.BLIF N_222 11 1 -.names N_131_i.BLIF N_155.BLIF N_191 -11 1 -.names N_238.BLIF N_238_i +.names un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un3_n 0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n 0 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_4 +.names AS_030_D0_i.BLIF N_167.BLIF N_80_0 +11 1 +.names N_106.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un1_n +11 1 +.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n +0 1 +.names N_343.BLIF N_343_i +0 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_219 +11 1 +.names N_343_i.BLIF RST_c.BLIF N_214_0 +11 1 +.names N_160.BLIF a0_dma_0_un3_n +0 1 +.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n +0 1 +.names N_134.BLIF RST_c.BLIF N_166_i +11 1 +.names pos_clk_a0_dma_3_n.BLIF N_160.BLIF a0_dma_0_un1_n +11 1 +.names N_256_0.BLIF N_256 +0 1 +.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_134_i +11 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_29 1- 1 -1 1 -.names N_238_i.BLIF RST_c.BLIF N_136_0 -11 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_5 +.names N_298.BLIF N_298_i +0 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_28 1- 1 -1 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_130_i +.names N_298_i.BLIF RST_c.BLIF N_142_0 11 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_18 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_27 1- 1 -1 1 -.names N_213.BLIF N_213_i -0 1 -.names N_276.BLIF ds_000_dma_0_un3_n +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_153_i +11 1 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 +1- 1 +-1 1 +.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_154_0 +11 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_19 +1- 1 +-1 1 +.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_156_i +11 1 +.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_20 +1- 1 +-1 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_305_i +11 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_21 +1- 1 +-1 1 +.names N_299.BLIF N_299_i 0 1 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_23 +1- 1 +-1 1 +.names N_299_i.BLIF sm_amiga_i_4__n.BLIF N_162_0 +11 1 .names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i 0 1 -.names N_214.BLIF N_214_i -0 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_276.BLIF ds_000_dma_0_un1_n +.names BERR_c.BLIF inst_DTACK_D0.BLIF N_165_0 11 1 .names un21_fpu_cs.BLIF un21_fpu_cs_i 0 1 -.names N_213_i.BLIF N_214_i.BLIF cpu_est_2_0_3__n +.names N_134_i.BLIF SM_AMIGA_1_.BLIF N_169_i 11 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names RST_DLY_2_.BLIF rst_dly_i_2__n +0 1 +.names N_341.BLIF N_341_i +0 1 +.names RST_DLY_1_.BLIF rst_dly_i_1__n +0 1 +.names N_342.BLIF N_342_i +0 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names N_341_i.BLIF N_342_i.BLIF N_171_i 11 1 -.names AS_030_c.BLIF AS_030_i +.names cpu_est_2_.BLIF cpu_est_i_2__n 0 1 -.names N_206.BLIF N_206_i +.names N_134_i.BLIF N_171.BLIF N_172_i +11 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n 0 1 -.names N_275.BLIF as_000_dma_0_un3_n +.names cpu_est_1_.BLIF cpu_est_i_3__n.BLIF N_178_0 +11 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n 0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i +.names N_172_i.BLIF SM_AMIGA_3_.BLIF N_179_0 +11 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names N_138_i.BLIF SM_AMIGA_4_.BLIF N_180_0 +11 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names cpu_est_3_.BLIF cpu_est_i_1__n.BLIF N_184_0 +11 1 +.names RST_DLY_0_.BLIF rst_dly_i_0__n +0 1 +.names N_134_i.BLIF SM_AMIGA_5_.BLIF N_185_0 +11 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names N_203.BLIF N_203_i +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names N_204.BLIF N_204_i +0 1 +.names inst_VPA_D.BLIF VPA_D_i 0 1 .names N_205.BLIF N_205_i 0 1 -.names pos_clk_un21_bgack_030_int_i_0_n.BLIF N_275.BLIF as_000_dma_0_un1_n +.names CLK_000_D_1_.BLIF clk_000_d_i_1__n +0 1 +.names cpu_est_3_.BLIF cpu_est_i_3__n +0 1 +.names N_200.BLIF N_200_i +0 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names N_199.BLIF N_199_i +0 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_0__n +0 1 +.names N_201.BLIF N_201_i +0 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names N_208.BLIF N_208_i +0 1 +.names nEXP_SPACE_c.BLIF nEXP_SPACE_i +0 1 +.names N_207.BLIF N_207_i +0 1 +.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n +0 1 +.names N_138_i.BLIF SM_AMIGA_6_.BLIF N_167_i 11 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_138_i +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names N_349.BLIF N_349_i +0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_25.BLIF CLK_OUT_PRE_25_0 01 1 10 1 11 0 00 0 -.names IPL_D0_0_.BLIF ipl_c_0__n.BLIF G_119 +.names IPL_D0_0_.BLIF ipl_c_0__n.BLIF G_107 01 1 10 1 11 0 00 0 -.names IPL_D0_1_.BLIF ipl_c_1__n.BLIF G_120 +.names IPL_D0_1_.BLIF ipl_c_1__n.BLIF G_108 01 1 10 1 11 0 00 0 -.names IPL_D0_2_.BLIF ipl_c_2__n.BLIF G_121 +.names IPL_D0_2_.BLIF ipl_c_2__n.BLIF G_109 +01 1 +10 1 +11 0 +00 0 +.names CYCLE_DMA_1_.BLIF N_208.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2 01 1 10 1 11 0 @@ -1637,11 +1545,6 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 10 1 11 0 00 0 -.names CYCLE_DMA_1_.BLIF N_106.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 -01 1 -10 1 -11 0 -00 0 .names IPL_030DFF_2_reg.BLIF IPL_030_2_ 1 1 0 0 @@ -1669,7 +1572,7 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 .names vcc_n_n.BLIF AVEC 1 1 0 0 -.names N_40_i.BLIF E +.names N_302_i.BLIF E 1 1 0 0 .names inst_VMA_INTreg.BLIF VMA @@ -1687,7 +1590,7 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 .names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_370.BLIF AMIGA_BUS_ENABLE_HIGH +.names N_191.BLIF AMIGA_BUS_ENABLE_HIGH 1 1 0 0 .names un10_ciin.BLIF CIIN @@ -1699,6 +1602,12 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF SM_AMIGA_1_.C 1 1 0 0 @@ -1735,10 +1644,13 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 .names CLK_OSZI_c.BLIF SM_AMIGA_4_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +.names CLK_000_D_1_.BLIF CLK_000_D_2_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +.names CLK_OSZI_c.BLIF CLK_000_D_2_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C 1 1 0 0 .names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C @@ -1783,19 +1695,19 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 .names CLK_OSZI_c.BLIF CLK_000_D_1_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C +.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_DS_000_DMA.C @@ -1840,21 +1752,18 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 .names CLK_OSZI_c.BLIF inst_A0_DMA.C 1 1 0 0 +.names CLK_OSZI_c.BLIF inst_AS_000_INT.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_VMA_INTreg.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_RW_000_DMA.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -1927,48 +1836,6 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 .names inst_A0_DMA.BLIF A_0_ 1 1 0 0 -.names A_DECODE_15_.BLIF a_decode_15__n -1 1 -0 0 -.names A_DECODE_14_.BLIF a_decode_14__n -1 1 -0 0 -.names A_DECODE_13_.BLIF a_decode_13__n -1 1 -0 0 -.names A_DECODE_12_.BLIF a_decode_12__n -1 1 -0 0 -.names A_DECODE_11_.BLIF a_decode_11__n -1 1 -0 0 -.names A_DECODE_10_.BLIF a_decode_10__n -1 1 -0 0 -.names A_DECODE_9_.BLIF a_decode_9__n -1 1 -0 0 -.names A_DECODE_8_.BLIF a_decode_8__n -1 1 -0 0 -.names A_DECODE_7_.BLIF a_decode_7__n -1 1 -0 0 -.names A_DECODE_6_.BLIF a_decode_6__n -1 1 -0 0 -.names A_DECODE_5_.BLIF a_decode_5__n -1 1 -0 0 -.names A_DECODE_4_.BLIF a_decode_4__n -1 1 -0 0 -.names A_DECODE_3_.BLIF a_decode_3__n -1 1 -0 0 -.names A_DECODE_2_.BLIF a_decode_2__n -1 1 -0 0 .names AS_030.PIN.BLIF AS_030_c 1 1 0 0 @@ -2092,61 +1959,103 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 .names FC_1_.BLIF fc_c_1__n 1 1 0 0 -.names N_108.BLIF AS_030.OE +.names A_DECODE_15_.BLIF a_decode_15__n 1 1 0 0 -.names N_368_i.BLIF AS_000.OE +.names A_DECODE_14_.BLIF a_decode_14__n 1 1 0 0 -.names N_368_i.BLIF RW_000.OE +.names A_DECODE_13_.BLIF a_decode_13__n 1 1 0 0 -.names N_368_i.BLIF UDS_000.OE +.names A_DECODE_12_.BLIF a_decode_12__n 1 1 0 0 -.names N_368_i.BLIF LDS_000.OE +.names A_DECODE_11_.BLIF a_decode_11__n 1 1 0 0 -.names N_367_i.BLIF SIZE_0_.OE +.names A_DECODE_10_.BLIF a_decode_10__n 1 1 0 0 -.names N_367_i.BLIF SIZE_1_.OE +.names A_DECODE_9_.BLIF a_decode_9__n 1 1 0 0 -.names N_108.BLIF AHIGH_24_.OE +.names A_DECODE_8_.BLIF a_decode_8__n 1 1 0 0 -.names N_108.BLIF AHIGH_25_.OE +.names A_DECODE_7_.BLIF a_decode_7__n 1 1 0 0 -.names N_108.BLIF AHIGH_26_.OE +.names A_DECODE_6_.BLIF a_decode_6__n 1 1 0 0 -.names N_108.BLIF AHIGH_27_.OE +.names A_DECODE_5_.BLIF a_decode_5__n 1 1 0 0 -.names N_108.BLIF AHIGH_28_.OE +.names A_DECODE_4_.BLIF a_decode_4__n 1 1 0 0 -.names N_108.BLIF AHIGH_29_.OE +.names A_DECODE_3_.BLIF a_decode_3__n 1 1 0 0 -.names N_108.BLIF AHIGH_30_.OE +.names A_DECODE_2_.BLIF a_decode_2__n 1 1 0 0 -.names N_108.BLIF AHIGH_31_.OE +.names N_283.BLIF AS_030.OE 1 1 0 0 -.names N_108.BLIF A_0_.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 0 0 -.names un22_berr.BLIF BERR.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 0 0 -.names N_110.BLIF RW.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 0 0 -.names N_108.BLIF DS_030.OE +.names un1_as_000_i.BLIF LDS_000.OE +1 1 +0 0 +.names N_48_i.BLIF SIZE_0_.OE +1 1 +0 0 +.names N_48_i.BLIF SIZE_1_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_24_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_25_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_26_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_27_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_28_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_29_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_30_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_31_.OE +1 1 +0 0 +.names N_283.BLIF A_0_.OE +1 1 +0 0 +.names un21_berr.BLIF BERR.OE +1 1 +0 0 +.names N_294.BLIF RW.OE +1 1 +0 0 +.names N_283.BLIF DS_030.OE 1 1 0 0 .names nEXP_SPACE_c.BLIF DSACK1.OE @@ -2155,7 +2064,7 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 .names RESET_OUT_i.BLIF RESET.OE 1 1 0 0 -.names N_127.BLIF CIIN.OE +.names N_241.BLIF CIIN.OE 1 1 0 0 .end diff --git a/Logic/68030_tk.bl3 b/Logic/68030_tk.bl3 index 7177503..e28fcf4 100644 --- a/Logic/68030_tk.bl3 +++ b/Logic/68030_tk.bl3 @@ -1,19 +1,19 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Aug 25 22:27:51 2016 +#$ DATE Wed Sep 14 23:54:26 2016 #$ MODULE 68030_tk -#$ PINS 61 AHIGH_30_ AHIGH_29_ SIZE_1_ AHIGH_28_ AHIGH_27_ AHIGH_31_ AHIGH_26_ \ -# AHIGH_25_ A_DECODE_23_ AHIGH_24_ A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ IPL_030_2_ \ -# A_DECODE_19_ A_DECODE_18_ IPL_2_ A_DECODE_17_ A_DECODE_16_ FC_1_ AS_030 AS_000 RW_000 \ +#$ PINS 61 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 \ # DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 \ -# A_0_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE \ -# FC_0_ DSACK1 A_1_ DTACK AVEC E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR \ -# AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ -#$ NODES 54 inst_BGACK_030_INTreg un10_ciin_i inst_VMA_INTreg cpu_est_0_ \ -# cpu_est_1_ cpu_est_2_ cpu_est_3_ inst_AS_000_INT inst_AMIGA_BUS_ENABLE_DMA_LOW \ -# inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA \ -# inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D \ -# inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 \ -# inst_CLK_OUT_PRE_25 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ \ +# SIZE_0_ CLK_OSZI AHIGH_30_ CLK_DIV_OUT AHIGH_29_ CLK_EXP AHIGH_28_ FPU_CS AHIGH_27_ \ +# FPU_SENSE AHIGH_26_ DSACK1 AHIGH_25_ DTACK AHIGH_24_ AVEC A_DECODE_22_ E A_DECODE_21_ \ +# VPA A_DECODE_20_ VMA A_DECODE_19_ RST A_DECODE_18_ RESET A_DECODE_17_ RW A_DECODE_16_ \ +# AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH \ +# CIIN A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ FC_0_ A_1_ +#$ NODES 54 inst_BGACK_030_INTreg inst_VMA_INTreg cpu_est_2_ cpu_est_3_ cpu_est_0_ \ +# cpu_est_1_ inst_AS_000_INT inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 \ +# inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA \ +# CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D CLK_000_D_1_ \ +# inst_DTACK_D0 inst_RESET_OUT CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 \ +# inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_D_2_ \ # inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_DSACK1_INTreg inst_LDS_000_INT \ # inst_DS_000_ENABLE inst_UDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_1_ SM_AMIGA_0_ \ # inst_RW_000_INT inst_RW_000_DMA RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_A0_DMA \ @@ -25,41 +25,42 @@ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ DTACK.BLIF VPA.BLIF RST.BLIF A_DECODE_22_.BLIF A_DECODE_21_.BLIF \ A_DECODE_20_.BLIF A_DECODE_19_.BLIF A_DECODE_18_.BLIF A_DECODE_17_.BLIF \ A_DECODE_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF A_1_.BLIF \ -inst_BGACK_030_INTreg.BLIF un10_ciin_i.BLIF inst_VMA_INTreg.BLIF \ -cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ -inst_AS_000_INT.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF inst_AS_030_D0.BLIF \ +inst_BGACK_030_INTreg.BLIF inst_VMA_INTreg.BLIF cpu_est_2_.BLIF \ +cpu_est_3_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_AS_000_INT.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF inst_AS_030_D0.BLIF \ inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INT_D.BLIF inst_AS_000_DMA.BLIF \ inst_DS_000_DMA.BLIF CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF SIZE_DMA_0_.BLIF \ -SIZE_DMA_1_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF inst_RESET_OUT.BLIF \ -CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF inst_CLK_OUT_PRE_50.BLIF \ +SIZE_DMA_1_.BLIF inst_VPA_D.BLIF CLK_000_D_1_.BLIF inst_DTACK_D0.BLIF \ +inst_RESET_OUT.BLIF CLK_000_D_0_.BLIF inst_CLK_OUT_PRE_50.BLIF \ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_D.BLIF IPL_D0_0_.BLIF IPL_D0_1_.BLIF \ -IPL_D0_2_.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF inst_DSACK1_INTreg.BLIF \ -inst_LDS_000_INT.BLIF inst_DS_000_ENABLE.BLIF inst_UDS_000_INT.BLIF \ -SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF \ -inst_RW_000_INT.BLIF inst_RW_000_DMA.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ -RST_DLY_2_.BLIF inst_A0_DMA.BLIF inst_CLK_030_H.BLIF SM_AMIGA_5_.BLIF \ -SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_i_7_.BLIF BG_000DFFreg.BLIF \ -CLK_OUT_INTreg.BLIF IPL_030DFF_0_reg.BLIF IPL_030DFF_1_reg.BLIF \ -IPL_030DFF_2_reg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ -UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ -AHIGH_24_.PIN.BLIF AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF AHIGH_27_.PIN.BLIF \ -AHIGH_28_.PIN.BLIF AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF AHIGH_31_.PIN.BLIF \ -A_0_.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF +IPL_D0_2_.BLIF CLK_000_D_2_.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +inst_DSACK1_INTreg.BLIF inst_LDS_000_INT.BLIF inst_DS_000_ENABLE.BLIF \ +inst_UDS_000_INT.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_1_.BLIF \ +SM_AMIGA_0_.BLIF inst_RW_000_INT.BLIF inst_RW_000_DMA.BLIF RST_DLY_0_.BLIF \ +RST_DLY_1_.BLIF RST_DLY_2_.BLIF inst_A0_DMA.BLIF inst_CLK_030_H.BLIF \ +SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_i_7_.BLIF \ +BG_000DFFreg.BLIF CLK_OUT_INTreg.BLIF IPL_030DFF_0_reg.BLIF \ +IPL_030DFF_1_reg.BLIF IPL_030DFF_2_reg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ +RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF \ +SIZE_1_.PIN.BLIF AHIGH_24_.PIN.BLIF AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF \ +AHIGH_27_.PIN.BLIF AHIGH_28_.PIN.BLIF AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF \ +AHIGH_31_.PIN.BLIF A_0_.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 \ AVEC E VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_1_.D SM_AMIGA_1_.C \ -SM_AMIGA_0_.D SM_AMIGA_0_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C \ -IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C \ -IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C \ -SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C \ -SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C \ -CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ -SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.C \ -cpu_est_3_.D cpu_est_3_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.D \ -RST_DLY_2_.C CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C \ -CYCLE_DMA_0_.D CYCLE_DMA_0_.C inst_AS_000_DMA.D inst_AS_000_DMA.C \ -inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_000_INT.D \ -inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_DS_000_DMA.D \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_3_.C SM_AMIGA_2_.D \ +SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C \ +IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C \ +IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D \ +IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.D \ +SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C \ +CLK_000_D_2_.D CLK_000_D_2_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D \ +CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C \ +cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.C cpu_est_3_.D \ +cpu_est_3_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ +CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C inst_RW_000_INT.D \ +inst_RW_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ +inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D \ +inst_BGACK_030_INTreg.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D \ inst_DS_000_DMA.C inst_AS_030_D0.D inst_AS_030_D0.C inst_VPA_D.D inst_VPA_D.C \ inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.D \ inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ @@ -67,45 +68,59 @@ inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C BG_000DFFreg.D BG_000DFFreg.C \ inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D inst_A0_DMA.C \ +inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ inst_VMA_INTreg.D inst_VMA_INTreg.C inst_RW_000_DMA.D inst_RW_000_DMA.C \ -inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INT_D.D \ -inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ -inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C \ -SIZE_1_ AHIGH_31_ AS_030 AS_000 RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ \ -AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ \ -un10_ciin_i AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \ -SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE \ -AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE \ -DSACK1.OE RESET.OE CIIN.OE cpu_est_2_.D.X1 cpu_est_2_.D.X2 RST_DLY_1_.D.X1 \ -RST_DLY_1_.D.X2 inst_CLK_030_H.D.X1 inst_CLK_030_H.D.X2 SM_AMIGA_3_.D.X1 \ -SM_AMIGA_3_.D.X2 SM_AMIGA_i_7_.D.X1 SM_AMIGA_i_7_.D.X2 +inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_D.D \ +inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ +CLK_OUT_INTreg.D CLK_OUT_INTreg.C SIZE_1_ AHIGH_31_ AS_030 AS_000 RW_000 \ +UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ \ +AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ AS_030.OE AS_000.OE RW_000.OE UDS_000.OE \ +LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE \ +AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE \ +BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE cpu_est_2_.D.X1 \ +cpu_est_2_.D.X2 RST_DLY_1_.D.X1 RST_DLY_1_.D.X2 inst_CLK_030_H.D.X1 \ +inst_CLK_030_H.D.X2 SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 SM_AMIGA_i_7_.D.X1 \ +SM_AMIGA_i_7_.D.X2 +.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ +cpu_est_0_.BLIF cpu_est_1_.BLIF inst_VPA_D.BLIF CLK_000_D_1_.BLIF \ +inst_DTACK_D0.BLIF CLK_000_D_0_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF \ +BERR.PIN.BLIF SM_AMIGA_2_.D +10010001-01-- 1 +1-----11001-- 1 +1-----11-01-0 1 +1--------0-1- 1 +1------1---1- 1 +------1-1--01 0 +-----10----0- 0 +----1-0----0- 0 +---0--0----0- 0 +--1---0----0- 0 +-1----0----0- 0 +-------0-1--- 0 +----------00- 0 +---------1-0- 0 +-------0---0- 0 +0------------ 0 .names RST.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_2_.BLIF BERR.PIN.BLIF SM_AMIGA_1_.D -101-1- 1 -1-11-1 1 -10-1-1 1 ----00- 0 ---00-- 0 --1-0-- 0 --10--- 0 -----00 0 -0----- 0 ---0--0 0 --1---0 0 +SM_AMIGA_2_.BLIF SM_AMIGA_1_.D +101-1 1 +1-110 1 +10-10 1 +-10-- 0 +---00 0 +0---- 0 +--0-1 0 +-1--1 0 .names RST.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_0_.BLIF BERR.PIN.BLIF SM_AMIGA_0_.D -1101-- 1 -1-0-11 1 -11--11 1 ----00- 0 ---1-0- 0 --0--0- 0 --01--- 0 ----0-0 0 -0----- 0 ---1--0 0 --0---0 0 +SM_AMIGA_0_.BLIF SM_AMIGA_0_.D +1101- 1 +1-0-1 1 +11--1 1 +-01-- 0 +0---- 0 +---00 0 +--1-0 0 +-0--0 0 .names IPL_2_.BLIF RST.BLIF IPL_1_.BLIF IPL_0_.BLIF IPL_D0_0_.BLIF \ IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_0_reg.BLIF IPL_030DFF_0_reg.D 0-01100- 1 @@ -188,73 +203,49 @@ IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_2_reg.BLIF IPL_030DFF_2_reg.D -0 1 01 0 .names nEXP_SPACE.BLIF RST.BLIF inst_AS_030_000_SYNC.BLIF CLK_000_D_1_.BLIF \ -CLK_000_D_0_.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_i_7_.BLIF BERR.PIN.BLIF \ +CLK_000_D_0_.BLIF CLK_000_D_2_.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_i_7_.BLIF \ SM_AMIGA_6_.D -11010-0- 1 --1--0111 1 --1-1-111 1 ------01- 0 ----01--- 0 +1100-100 1 +-1--0-1- 1 +-1-1--1- 1 +---01-1- 0 +-----00- 0 +---1--0- 0 --1---0- 0 0-----0- 0 -----1-0- 0 ----0--0- 0 -------10 0 -0------ 0 +------01 0 .names RST.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF SM_AMIGA_6_.BLIF \ -SM_AMIGA_5_.BLIF BERR.PIN.BLIF SM_AMIGA_5_.D -1011-- 1 -1-1-11 1 -10--11 1 ----00- 0 ---0-0- 0 --1--0- 0 --10--- 0 ----0-0 0 -0----- 0 ---0--0 0 --1---0 0 +SM_AMIGA_5_.BLIF SM_AMIGA_5_.D +1011- 1 +1-1-1 1 +10--1 1 +-10-- 0 +0---- 0 +---00 0 +--0-0 0 +-1--0 0 .names RST.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF SM_AMIGA_4_.BLIF \ -SM_AMIGA_5_.BLIF BERR.PIN.BLIF SM_AMIGA_4_.D -110-1- 1 -1-01-1 1 -11-1-1 1 ----00- 0 ---10-- 0 --0-0-- 0 --01--- 0 -----00 0 +SM_AMIGA_5_.BLIF SM_AMIGA_4_.D +1-01- 1 +11-1- 1 +110-1 1 +-01-- 0 +--10- 0 +-0-0- 0 +0---- 0 +---00 0 +.names RST.BLIF inst_BGACK_030_INTreg.BLIF CYCLE_DMA_0_.BLIF CLK_000_D_1_.BLIF \ +CLK_000_D_0_.BLIF AS_000.PIN.BLIF CYCLE_DMA_0_.D +100010 1 +101-00 1 +1011-0 1 +--101- 0 +--0-0- 0 +--01-- 0 +-1---- 0 0----- 0 ---1--0 0 --0---0 0 -.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ -cpu_est_2_.BLIF cpu_est_3_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF \ -CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF \ -BERR.PIN.BLIF SM_AMIGA_2_.D -1000010-101-- 1 -1-----10101-- 1 -1--------0-11 1 -1-------1--11 1 -------11---0- 0 ------00----0- 0 -----1-0----0- 0 ----1--0----0- 0 ---1---0----0- 0 --1----0----0- 0 -------11----0 0 ---------01--- 0 ------00-----0 0 -----1-0-----0 0 ----1--0-----0 0 ---1---0-----0 0 --1----0-----0 0 -----------00- 0 ----------1-0- 0 ---------0--0- 0 -----------0-0 0 -0------------ 0 ----------1--0 0 ---------0---0 0 +-----1 0 .names RST.BLIF inst_BGACK_030_INTreg.BLIF CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF \ CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF AS_000.PIN.BLIF CYCLE_DMA_1_.D 1010010 1 @@ -293,28 +284,29 @@ SIZE_DMA_1_.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_DMA_1_.D 110 0 00- 0 0-1 0 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_3_.BLIF CLK_000_D_1_.BLIF \ +.names cpu_est_3_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF CLK_000_D_1_.BLIF \ CLK_000_D_0_.BLIF cpu_est_1_.D -10010 1 -01--- 1 --1-0- 1 --1--1 1 --01-- 0 -11-10 0 --0-0- 0 -00--- 0 --0--1 0 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ +01010 1 +-01-- 1 +--10- 1 +--1-1 1 +1-0-- 0 +-1110 0 +--00- 0 +-00-- 0 +--0-1 0 +.names cpu_est_2_.BLIF cpu_est_3_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF cpu_est_3_.D -111-10 1 -0--1-- 1 ----10- 1 ----1-1 1 +1-1110 1 +010--- 1 +-1--0- 1 +-1---1 1 +--1010 0 +-00--- 0 1-0-10 0 -10--10 0 ----00- 0 -0--0-- 0 ----0-1 0 +0-1-10 0 +-0--0- 0 +-0---1 0 .names RST.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF RST_DLY_0_.BLIF \ RST_DLY_1_.BLIF RST_DLY_2_.BLIF RST_DLY_0_.D 1--111 1 @@ -335,17 +327,56 @@ RST_DLY_1_.BLIF RST_DLY_2_.BLIF RST_DLY_2_.D ---0-0 0 --1--0 0 -0---0 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF CYCLE_DMA_0_.BLIF CLK_000_D_1_.BLIF \ -CLK_000_D_0_.BLIF AS_000.PIN.BLIF CYCLE_DMA_0_.D -100010 1 -101-00 1 -1011-0 1 ---101- 0 ---0-0- 0 ---01-- 0 --1---- 0 -0----- 0 ------1 0 +.names RST.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF SM_AMIGA_6_.BLIF \ +SM_AMIGA_0_.BLIF inst_RW_000_INT.BLIF SM_AMIGA_i_7_.BLIF RW.PIN.BLIF \ +inst_RW_000_INT.D +-01-1--- 1 +-011---1 1 +---0-1-- 1 +--0--1-- 1 +-1---1-- 1 +------0- 1 +0------- 1 +10110-10 0 +1--0001- 0 +1-0--01- 0 +11---01- 0 +.names FC_1_.BLIF nEXP_SPACE.BLIF RST.BLIF A_DECODE_19_.BLIF A_DECODE_18_.BLIF \ +A_DECODE_17_.BLIF A_DECODE_16_.BLIF FC_0_.BLIF inst_BGACK_030_INTreg.BLIF \ +inst_AS_030_D0.BLIF inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INT_D.BLIF \ +SM_AMIGA_i_7_.BLIF inst_AS_030_000_SYNC.D +1--00101--1-- 1 +----------10- 1 +--------0-1-- 1 +-0--------1-- 1 +----------1-1 1 +---------1--- 1 +--0---------- 1 +-11----010-10 0 +-11---1-10-10 0 +-11--0--10-10 0 +-11-1---10-10 0 +-111----10-10 0 +011-----10-10 0 +--1------00-- 0 +.names RST.BLIF inst_LDS_000_INT.BLIF SM_AMIGA_6_.BLIF SIZE_0_.PIN.BLIF \ +SIZE_1_.PIN.BLIF A_0_.PIN.BLIF inst_LDS_000_INT.D +--1100 1 +-10--- 1 +0----- 1 +100--- 0 +1-1-1- 0 +1-10-- 0 +1-1--1 0 +.names BGACK_000.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF CLK_000_D_1_.BLIF \ +CLK_000_D_0_.BLIF AS_000.PIN.BLIF inst_BGACK_030_INTreg.D +1--011 1 +1-1--- 1 +-0---- 1 +-10-0- 0 +-101-- 0 +01---- 0 +-10--0 0 .names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF \ LDS_000.PIN.BLIF inst_AS_000_DMA.D @@ -364,53 +395,6 @@ LDS_000.PIN.BLIF inst_AS_000_DMA.D 110-100-0 0 -100010-0 0 110-010-0 0 -.names FC_1_.BLIF nEXP_SPACE.BLIF RST.BLIF A_DECODE_19_.BLIF A_DECODE_18_.BLIF \ -A_DECODE_17_.BLIF A_DECODE_16_.BLIF FC_0_.BLIF inst_BGACK_030_INTreg.BLIF \ -inst_AS_030_D0.BLIF inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INT_D.BLIF \ -SM_AMIGA_i_7_.BLIF BERR.PIN.BLIF inst_AS_030_000_SYNC.D -1--00101--1--- 1 -----------1-1- 1 -----------10-- 1 ---------0-1--- 1 --0--------1--- 1 ----------1---- 1 ---0----------- 1 --------------0 1 --11----010-101 0 --11---1-10-101 0 --11--0--10-101 0 --11-1---10-101 0 --111----10-101 0 -011-----10-101 0 ---1------00--1 0 -.names RST.BLIF inst_AS_000_INT.BLIF inst_AS_030_D0.BLIF CLK_000_D_1_.BLIF \ -CLK_000_D_0_.BLIF SM_AMIGA_6_.BLIF BERR.PIN.BLIF inst_AS_000_INT.D ---1--0- 1 --1---0- 1 ---1-0-- 1 --1--0-- 1 ---11--- 1 --1-1--- 1 -0------ 1 ------00 1 -----0-0 1 ----1--0 1 -1--011- 0 -100---1 0 -.names RST.BLIF inst_AS_030_D0.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF \ -inst_DSACK1_INTreg.BLIF SM_AMIGA_1_.BLIF BERR.PIN.BLIF inst_DSACK1_INTreg.D -----10- 1 ----11-- 1 ---0-1-- 1 --1---0- 1 --1-1--- 1 --10---- 1 -0------ 1 ------00 1 ----1--0 1 ---0---0 1 -1-10-1- 0 -10--0-1 0 .names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ inst_DS_000_DMA.BLIF CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF inst_CLK_030_H.BLIF \ AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ @@ -452,42 +436,38 @@ inst_DS_000_DMA.D 1- 1 -0 1 01 0 -.names RST.BLIF inst_RESET_OUT.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF \ +.names RST.BLIF CLK_000_D_1_.BLIF inst_RESET_OUT.BLIF CLK_000_D_0_.BLIF \ RST_DLY_0_.BLIF RST_DLY_1_.BLIF RST_DLY_2_.BLIF inst_RESET_OUT.D -1-10111 1 -11----- 1 +11-0111 1 +1-1---- 1 0------ 0 --0---0- 0 --0--0-- 0 --0-1--- 0 +--0--0- 0 +--0-0-- 0 +--01--- 0 -00---- 0 --0----0 0 +--0---0 0 .names RST.BLIF inst_AS_030_D0.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF \ -inst_DS_000_ENABLE.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF BERR.PIN.BLIF \ -RW.PIN.BLIF inst_DS_000_ENABLE.D -10--1--1- 1 -1-01--1-- 1 -1-01-1--1 1 ------000- 0 -----000-- 0 --1---00-- 0 -------000 0 ----0---0- 0 ---1----0- 0 -----0-0-0 0 --1----0-0 0 ----00---- 0 ---1-0---- 0 --1-0----- 0 --11------ 0 -0-------- 0 +inst_DS_000_ENABLE.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF RW.PIN.BLIF \ +inst_DS_000_ENABLE.D +1-01--1- 1 +1-01-1-1 1 +10--1--- 1 +----000- 0 +-1---00- 0 +----0-00 0 +-1----00 0 +---00--- 0 +--1-0--- 0 +-1-0---- 0 +-11----- 0 +0------- 0 .names nEXP_SPACE.BLIF BG_030.BLIF RST.BLIF inst_AS_030_D0.BLIF \ CLK_000_D_0_.BLIF BG_000DFFreg.BLIF BG_000DFFreg.D ---0--- 1 --1---- 1 ----01 1 ---0-1 1 0----1 1 +--0--- 1 +-1---- 1 10111- 0 -01--0 0 .names RST.BLIF A_1_.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ @@ -522,24 +502,46 @@ inst_A0_DMA.BLIF UDS_000.PIN.BLIF inst_A0_DMA.D 11-0- 0 110-- 0 10--0 0 -.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ -cpu_est_2_.BLIF cpu_est_3_.BLIF inst_VPA_D.BLIF CLK_000_D_1_.BLIF \ +.names RST.BLIF inst_AS_000_INT.BLIF inst_AS_030_D0.BLIF CLK_000_D_1_.BLIF \ +CLK_000_D_0_.BLIF SM_AMIGA_6_.BLIF inst_AS_000_INT.D +--1-0- 1 +-1--0- 1 +--11-- 1 +-1-1-- 1 +0----- 1 +--1--0 1 +-1---0 1 +1--011 0 +100--- 0 +.names RST.BLIF inst_AS_030_D0.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF \ +inst_DSACK1_INTreg.BLIF SM_AMIGA_1_.BLIF inst_DSACK1_INTreg.D +---11- 1 +--0-1- 1 +-1-1-- 1 +-10--- 1 +0----- 1 +----10 1 +-1---0 1 +1-10-1 0 +10--0- 0 +.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ +cpu_est_0_.BLIF cpu_est_1_.BLIF inst_VPA_D.BLIF CLK_000_D_1_.BLIF \ CLK_000_D_0_.BLIF inst_VMA_INTreg.D --0000-01 1 -1----1-- 1 --1---1--- 1 --1--1---- 1 +-1-1----- 1 +-11------ 1 0-------- 1 -1-----0- 1 --1-0----- 1 --10------ 1 +-1---0--- 1 +-1--0---- 1 -1------1 1 -1-1100010 0 -10---1--- 0 -10--1---- 0 -10-----1- 0 +1-0011010 0 10-1----- 0 101------ 0 +10-----1- 0 +10---1--- 0 +10--1---- 0 10------0 0 .names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ inst_RW_000_DMA.BLIF RW_000.PIN.BLIF inst_RW_000_DMA.D @@ -549,38 +551,6 @@ inst_RW_000_DMA.BLIF RW_000.PIN.BLIF inst_RW_000_DMA.D -0--1 1 1110- 0 10--0 0 -.names RST.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF SM_AMIGA_6_.BLIF \ -SM_AMIGA_0_.BLIF inst_RW_000_INT.BLIF SM_AMIGA_i_7_.BLIF RW.PIN.BLIF \ -inst_RW_000_INT.D --01-1--- 1 --011---1 1 ----0-1-- 1 ---0--1-- 1 --1---1-- 1 -------0- 1 -0------- 1 -10110-10 0 -1--0001- 0 -1-0--01- 0 -11---01- 0 -.names RST.BLIF inst_LDS_000_INT.BLIF SM_AMIGA_6_.BLIF SIZE_0_.PIN.BLIF \ -SIZE_1_.PIN.BLIF A_0_.PIN.BLIF inst_LDS_000_INT.D ---1100 1 --10--- 1 -0----- 1 -100--- 0 -1-1-1- 0 -1-10-- 0 -1-1--1 0 -.names BGACK_000.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF CLK_000_D_1_.BLIF \ -CLK_000_D_0_.BLIF AS_000.PIN.BLIF inst_BGACK_030_INTreg.D -1--011 1 -1-1--- 1 --0---- 1 --10-0- 0 --101-- 0 -01---- 0 --10--0 0 .names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D 0- 1 -1 1 @@ -588,24 +558,6 @@ CLK_000_D_0_.BLIF AS_000.PIN.BLIF inst_BGACK_030_INTreg.D .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 1 0 -.names A_DECODE_23_.BLIF A_DECODE_22_.BLIF A_DECODE_21_.BLIF A_DECODE_20_.BLIF \ -inst_AS_030_D0.BLIF AHIGH_24_.PIN.BLIF AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF \ -AHIGH_27_.PIN.BLIF AHIGH_28_.PIN.BLIF AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF \ -AHIGH_31_.PIN.BLIF un10_ciin_i ------------1- 1 -----------1-- 1 ----------1--- 1 ---------1---- 1 --------1----- 1 -------1------ 1 ------1------- 1 -----1-------- 1 ----0--------- 1 ---0---------- 1 --0----------- 1 -0------------ 1 -------------1 1 -1111000000000 0 .names IPL_030DFF_2_reg.BLIF IPL_030_2_ 1 1 0 0 @@ -643,12 +595,12 @@ AS_030.PIN.BLIF FPU_CS 0 0 .names AVEC 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF E -110 1 -001 1 +.names cpu_est_2_.BLIF cpu_est_3_.BLIF cpu_est_1_.BLIF E +010 1 +101 1 -00 0 -1-1 0 -01- 0 +0-1 0 +11- 0 .names inst_VMA_INTreg.BLIF VMA 1 1 0 0 @@ -699,6 +651,12 @@ AHIGH_31_.PIN.BLIF CIIN .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI.BLIF SM_AMIGA_3_.C +1 1 +0 0 +.names CLK_OSZI.BLIF SM_AMIGA_2_.C +1 1 +0 0 .names CLK_OSZI.BLIF SM_AMIGA_1_.C 1 1 0 0 @@ -735,10 +693,13 @@ AHIGH_31_.PIN.BLIF CIIN .names CLK_OSZI.BLIF SM_AMIGA_4_.C 1 1 0 0 -.names CLK_OSZI.BLIF SM_AMIGA_3_.C +.names CLK_000_D_1_.BLIF CLK_000_D_2_.D 1 1 0 0 -.names CLK_OSZI.BLIF SM_AMIGA_2_.C +.names CLK_OSZI.BLIF CLK_000_D_2_.C +1 1 +0 0 +.names CLK_OSZI.BLIF CYCLE_DMA_0_.C 1 1 0 0 .names CLK_OSZI.BLIF CYCLE_DMA_1_.C @@ -783,19 +744,19 @@ AHIGH_31_.PIN.BLIF CIIN .names CLK_OSZI.BLIF CLK_000_D_1_.C 1 1 0 0 -.names CLK_OSZI.BLIF CYCLE_DMA_0_.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_AS_000_DMA.C +.names CLK_OSZI.BLIF inst_RW_000_INT.C 1 1 0 0 .names CLK_OSZI.BLIF inst_AS_030_000_SYNC.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_AS_000_INT.C +.names CLK_OSZI.BLIF inst_LDS_000_INT.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_DSACK1_INTreg.C +.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 +.names CLK_OSZI.BLIF inst_AS_000_DMA.C 1 1 0 0 .names CLK_OSZI.BLIF inst_DS_000_DMA.C @@ -842,21 +803,18 @@ AHIGH_31_.PIN.BLIF CIIN .names CLK_OSZI.BLIF inst_A0_DMA.C 1 1 0 0 +.names CLK_OSZI.BLIF inst_AS_000_INT.C +1 1 +0 0 +.names CLK_OSZI.BLIF inst_DSACK1_INTreg.C +1 1 +0 0 .names CLK_OSZI.BLIF inst_VMA_INTreg.C 1 1 0 0 .names CLK_OSZI.BLIF inst_RW_000_DMA.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_LDS_000_INT.C -1 1 -0 0 -.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 .names CLK_OSZI.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -1038,18 +996,33 @@ DS_030.OE .names inst_RESET_OUT.BLIF RESET.OE 0 1 1 0 -.names nEXP_SPACE.BLIF un10_ciin_i.BLIF CIIN.OE -1- 1 --0 1 -01 0 +.names A_DECODE_23_.BLIF nEXP_SPACE.BLIF A_DECODE_22_.BLIF A_DECODE_21_.BLIF \ +A_DECODE_20_.BLIF inst_AS_030_D0.BLIF AHIGH_24_.PIN.BLIF AHIGH_25_.PIN.BLIF \ +AHIGH_26_.PIN.BLIF AHIGH_27_.PIN.BLIF AHIGH_28_.PIN.BLIF AHIGH_29_.PIN.BLIF \ +AHIGH_30_.PIN.BLIF AHIGH_31_.PIN.BLIF CIIN.OE +1-111000000000 1 +-1------------ 1 +-0----------1- 0 +-0---------1-- 0 +-0--------1--- 0 +-0-------1---- 0 +-0------1----- 0 +-0-----1------ 0 +-0----1------- 0 +-0---1-------- 0 +-0--0--------- 0 +-0-0---------- 0 +-00----------- 0 +00------------ 0 +-0-----------1 0 .names cpu_est_2_.BLIF cpu_est_2_.D.X1 1 1 0 0 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF CLK_000_D_1_.BLIF \ +.names cpu_est_2_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF CLK_000_D_1_.BLIF \ CLK_000_D_0_.BLIF cpu_est_2_.D.X2 -11-10 1 -0---- 0 +-1110 1 -0--- 0 +--0-- 0 ---0- 0 ----1 0 .names RST_DLY_1_.BLIF RST_DLY_1_.D.X1 @@ -1091,78 +1064,46 @@ UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_CLK_030_H.D.X2 0--010-00- 0 0--001-0-0 0 0--010-0-0 0 -.names RST.BLIF SM_AMIGA_3_.BLIF BERR.PIN.BLIF SM_AMIGA_3_.D.X1 -111 1 -0-- 0 --0- 0 ---0 0 -.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ -cpu_est_2_.BLIF cpu_est_3_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF \ -CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF \ -BERR.PIN.BLIF SM_AMIGA_3_.D.X2 -1-------0110- 1 -1-------011-0 1 -1000010-10-11 1 -1-----1010-11 1 -0------------ 0 ---------11--- 0 ---------00--- 0 ---------0-0-- 0 ---------1--0- 0 ---------1---0 0 --1----0-1---- 0 ---1---0-1---- 0 ----1--0-1---- 0 -----1-0-1---- 0 ------00-1---- 0 -------111---- 0 ---------0--11 0 -.names RST.BLIF BERR.PIN.BLIF SM_AMIGA_i_7_.D.X1 +.names RST.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_3_.D.X1 11 1 0- 0 -0 0 -.names nEXP_SPACE.BLIF RST.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF \ -cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF inst_AS_030_000_SYNC.BLIF \ -inst_VPA_D.BLIF inst_DTACK_D0.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF \ -SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF \ -SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF BERR.PIN.BLIF \ +.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ +cpu_est_0_.BLIF cpu_est_1_.BLIF inst_VPA_D.BLIF CLK_000_D_1_.BLIF \ +inst_DTACK_D0.BLIF CLK_000_D_0_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF \ +BERR.PIN.BLIF SM_AMIGA_3_.D.X2 +1------0-110- 1 +10010001-0-1- 1 +1-----1100-1- 1 +1-----11-0-10 1 +0------------ 0 +-------1-1--- 0 +-------0-0--- 0 +-------0--0-- 0 +-------0---1- 0 +-------1---0- 0 +-1----01----- 0 +--1---01----- 0 +---0--01----- 0 +----1-01----- 0 +-----101----- 0 +------111---1 0 +.names RST.BLIF SM_AMIGA_i_7_.BLIF SM_AMIGA_i_7_.D.X1 +11 1 +0- 0 +-0 0 +.names nEXP_SPACE.BLIF RST.BLIF inst_AS_030_000_SYNC.BLIF CLK_000_D_1_.BLIF \ +CLK_000_D_0_.BLIF CLK_000_D_2_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_i_7_.BLIF \ SM_AMIGA_i_7_.D.X2 --1--------011------0 1 --1--------01-1-----0 1 --1--------01------10 1 --1--------10--1----0 1 --1--------10----1--0 1 -11-----0--1000-0-000 1 --100001-0-10-----1-0 1 --1------1010-----1-0 1 --1--------01000-0001 1 -01----------00000001 1 --1-----1----00000001 1 --1--------0-00000001 1 --1---------100000001 1 --0------------------ 0 -------------1------1 0 --------------1-----1 0 ---------------1----1 0 -----------------1--1 0 ------------------1-1 0 -------------------11 0 -----------1----1---1 0 ------------0---1---1 0 -----------11-------0 0 -----------00-------0 0 -----------1-1-0-00-- 0 -----------1--10-00-- 0 -----------1---0100-- 0 -----------1---0-001- 0 -1------0--10-------1 0 -----------0-00----00 0 ---1-----0-1---0-01-- 0 ----1----0-1---0-01-- 0 -----1---0-1---0-01-- 0 ------1--0-1---0-01-- 0 -------0-0-1---0-01-- 0 ---------111---0-01-- 0 -0---------1---0-00-0 0 --------1--1---0-00-0 0 +110001-0 1 +1100-100 1 +-1-01-11 1 +-0------ 0 +---1---- 0 +----0--1 0 +------01 0 +0------0 0 +--1----0 0 +-----0-0 0 +----1-10 0 .end diff --git a/Logic/68030_tk.crf b/Logic/68030_tk.crf index c88ce6e..b70f5f3 100644 --- a/Logic/68030_tk.crf +++ b/Logic/68030_tk.crf @@ -1,7 +1,7 @@ // Signal Name Cross Reference File // ispLEVER Classic 2.0.00.17.20.15 -// Design '68030_tk' created Thu Aug 25 22:27:51 2016 +// Design '68030_tk' created Wed Sep 14 23:54:26 2016 // LEGEND: '>' Functional Block Port Separator diff --git a/Logic/68030_tk.eq3 b/Logic/68030_tk.eq3 index e9c101f..8ef776e 100644 --- a/Logic/68030_tk.eq3 +++ b/Logic/68030_tk.eq3 @@ -2,29 +2,15 @@ Copyright(C), 1992-2015, Lattice Semiconductor Corp. All Rights Reserved. -Design bus68030 created Thu Aug 25 22:27:51 2016 +Design bus68030 created Wed Sep 14 23:54:26 2016 P-Terms Fan-in Fan-out Type Name (attributes) --------- ------ ------- ---- ----------------- - 0 0 1 Pin AHIGH_30_ - 1 3 1 Pin AHIGH_30_.OE - 0 0 1 Pin AHIGH_29_ - 1 3 1 Pin AHIGH_29_.OE 1 2 1 Pin SIZE_1_ 1 2 1 Pin SIZE_1_.OE - 0 0 1 Pin AHIGH_28_ - 1 3 1 Pin AHIGH_28_.OE - 0 0 1 Pin AHIGH_27_ - 1 3 1 Pin AHIGH_27_.OE 0 0 1 Pin AHIGH_31_ 1 3 1 Pin AHIGH_31_.OE - 0 0 1 Pin AHIGH_26_ - 1 3 1 Pin AHIGH_26_.OE - 0 0 1 Pin AHIGH_25_ - 1 3 1 Pin AHIGH_25_.OE - 0 0 1 Pin AHIGH_24_ - 1 3 1 Pin AHIGH_24_.OE 1 2 1 Pin AS_030- 1 3 1 Pin AS_030.OE 1 2 1 Pin AS_000- @@ -37,9 +23,25 @@ Design bus68030 created Thu Aug 25 22:27:51 2016 1 2 1 Pin LDS_000.OE 0 0 1 Pin BERR 1 9 1 Pin BERR.OE + 1 2 1 Pin SIZE_0_ + 1 2 1 Pin SIZE_0_.OE + 0 0 1 Pin AHIGH_30_ + 1 3 1 Pin AHIGH_30_.OE 1 1 1 Pin CLK_DIV_OUT.D 1 1 1 Pin CLK_DIV_OUT.C + 0 0 1 Pin AHIGH_29_ + 1 3 1 Pin AHIGH_29_.OE + 0 0 1 Pin AHIGH_28_ + 1 3 1 Pin AHIGH_28_.OE 1 9 1 Pin FPU_CS- + 0 0 1 Pin AHIGH_27_ + 1 3 1 Pin AHIGH_27_.OE + 0 0 1 Pin AHIGH_26_ + 1 3 1 Pin AHIGH_26_.OE + 0 0 1 Pin AHIGH_25_ + 1 3 1 Pin AHIGH_25_.OE + 0 0 1 Pin AHIGH_24_ + 1 3 1 Pin AHIGH_24_.OE 1 0 1 Pin AVEC 2 3 1 Pin E 0 0 1 Pin RESET @@ -50,8 +52,6 @@ Design bus68030 created Thu Aug 25 22:27:51 2016 2 3 1 Pin AMIGA_BUS_ENABLE_HIGH 1 13 1 Pin CIIN 1 1 1 Pin CIIN.OE - 1 2 1 Pin SIZE_0_ - 1 2 1 Pin SIZE_0_.OE 10 8 1 Pin IPL_030_2_.D- 1 1 1 Pin IPL_030_2_.C 1 2 1 Pin RW_000.OE @@ -61,6 +61,16 @@ Design bus68030 created Thu Aug 25 22:27:51 2016 1 1 1 Pin BG_000.C 3 6 1 Pin BGACK_030.D 1 1 1 Pin BGACK_030.C + 1 1 1 Pin CLK_EXP.D + 1 1 1 Pin CLK_EXP.C + 1 1 1 Pin DSACK1.OE + 2 6 1 Pin DSACK1.D- + 1 1 1 Pin DSACK1.C + 3 9 1 Pin VMA.T + 1 1 1 Pin VMA.C + 1 2 1 Pin RW.OE + 2 5 1 Pin RW.D- + 1 1 1 Pin RW.C 1 3 1 Pin A_0_.OE 3 5 1 Pin A_0_.D 1 1 1 Pin A_0_.C @@ -68,33 +78,22 @@ Design bus68030 created Thu Aug 25 22:27:51 2016 1 1 1 Pin IPL_030_1_.C 10 8 1 Pin IPL_030_0_.D- 1 1 1 Pin IPL_030_0_.C - 1 1 1 Pin CLK_EXP.D - 1 1 1 Pin CLK_EXP.C - 1 1 1 Pin DSACK1.OE - 2 7 1 Pin DSACK1.D- - 1 1 1 Pin DSACK1.C - 3 9 1 Pin VMA.T - 1 1 1 Pin VMA.C - 1 2 1 Pin RW.OE - 2 5 1 Pin RW.D- - 1 1 1 Pin RW.C - 1 13 1 Node un10_ciin_i- + 1 1 1 NodeX1 cpu_est_2_.D.X1 + 1 4 1 NodeX2 cpu_est_2_.D.X2 + 1 1 1 Node cpu_est_2_.C + 4 6 1 Node cpu_est_3_.D + 1 1 1 Node cpu_est_3_.C 3 3 1 Node cpu_est_0_.D 1 1 1 Node cpu_est_0_.C 4 5 1 Node cpu_est_1_.D 1 1 1 Node cpu_est_1_.C - 1 4 1 NodeX1 cpu_est_2_.D.X1 - 1 1 1 NodeX2 cpu_est_2_.D.X2 - 1 1 1 Node cpu_est_2_.C - 4 6 1 Node cpu_est_3_.D - 1 1 1 Node cpu_est_3_.C - 2 7 1 Node inst_AS_000_INT.D- + 2 6 1 Node inst_AS_000_INT.D- 1 1 1 Node inst_AS_000_INT.C 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.D- 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.C 1 2 1 Node inst_AS_030_D0.D- 1 1 1 Node inst_AS_030_D0.C - 7 14 1 Node inst_AS_030_000_SYNC.D- + 7 13 1 Node inst_AS_030_000_SYNC.D 1 1 1 Node inst_AS_030_000_SYNC.C 1 2 1 Node inst_BGACK_030_INT_D.D- 1 1 1 Node inst_BGACK_030_INT_D.C @@ -112,12 +111,12 @@ Design bus68030 created Thu Aug 25 22:27:51 2016 1 1 1 Node SIZE_DMA_1_.C 1 2 1 Node inst_VPA_D.D- 1 1 1 Node inst_VPA_D.C + 1 1 1 Node CLK_000_D_1_.D + 1 1 1 Node CLK_000_D_1_.C 1 2 1 Node inst_DTACK_D0.D- 1 1 1 Node inst_DTACK_D0.C 2 7 1 Node inst_RESET_OUT.D 1 1 1 Node inst_RESET_OUT.C - 1 1 1 Node CLK_000_D_1_.D - 1 1 1 Node CLK_000_D_1_.C 1 1 1 Node CLK_000_D_0_.D 1 1 1 Node CLK_000_D_0_.C 1 1 1 Node inst_CLK_OUT_PRE_50.D @@ -132,21 +131,23 @@ Design bus68030 created Thu Aug 25 22:27:51 2016 1 1 1 Node IPL_D0_1_.C 1 2 1 Node IPL_D0_2_.D- 1 1 1 Node IPL_D0_2_.C + 1 1 1 Node CLK_000_D_2_.D + 1 1 1 Node CLK_000_D_2_.C 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.C 3 6 1 Node inst_LDS_000_INT.D 1 1 1 Node inst_LDS_000_INT.C - 3 9 1 Node inst_DS_000_ENABLE.D + 3 8 1 Node inst_DS_000_ENABLE.D 1 1 1 Node inst_DS_000_ENABLE.C 2 4 1 Node inst_UDS_000_INT.D- 1 1 1 Node inst_UDS_000_INT.C 3 8 1 Node SM_AMIGA_6_.D 1 1 1 Node SM_AMIGA_6_.C - 3 6 1 Node SM_AMIGA_4_.D + 3 5 1 Node SM_AMIGA_4_.D 1 1 1 Node SM_AMIGA_4_.C - 3 6 1 Node SM_AMIGA_1_.D + 3 5 1 Node SM_AMIGA_1_.D 1 1 1 Node SM_AMIGA_1_.C - 3 6 1 Node SM_AMIGA_0_.D + 3 5 1 Node SM_AMIGA_0_.D 1 1 1 Node SM_AMIGA_0_.C 4 6 1 Node RST_DLY_0_.D 1 1 1 Node RST_DLY_0_.C @@ -157,19 +158,18 @@ Design bus68030 created Thu Aug 25 22:27:51 2016 1 1 1 Node RST_DLY_2_.C 8 10 1 Node inst_CLK_030_H.D 1 1 1 Node inst_CLK_030_H.C - 3 6 1 Node SM_AMIGA_5_.D + 3 5 1 Node SM_AMIGA_5_.D 1 1 1 Node SM_AMIGA_5_.C - 4 13 1 NodeX1 SM_AMIGA_3_.D.X1 - 1 3 1 NodeX2 SM_AMIGA_3_.D.X2 + 5 13 1 Node SM_AMIGA_3_.T 1 1 1 Node SM_AMIGA_3_.C - 4 13 1 Node SM_AMIGA_2_.D + 5 13 1 Node SM_AMIGA_2_.D 1 1 1 Node SM_AMIGA_2_.C - 13 20 1 NodeX1 SM_AMIGA_i_7_.D.X1 - 1 2 1 NodeX2 SM_AMIGA_i_7_.D.X2 + 3 8 1 NodeX1 SM_AMIGA_i_7_.D.X1 + 1 5 1 NodeX2 SM_AMIGA_i_7_.D.X2 1 1 1 Node SM_AMIGA_i_7_.C - 1 2 1 Node CIIN_0- + 2 14 1 Node CIIN_0 ========= - 279 P-Term Total: 279 + 272 P-Term Total: 272 Total Pins: 61 Total Nodes: 44 Average P-Term/Output: 2 @@ -177,42 +177,14 @@ Design bus68030 created Thu Aug 25 22:27:51 2016 Equations: -AHIGH_30_ = (0); - -AHIGH_30_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - -AHIGH_29_ = (0); - -AHIGH_29_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - SIZE_1_ = (!SIZE_DMA_0_.Q & SIZE_DMA_1_.Q); SIZE_1_.OE = (!nEXP_SPACE & !BGACK_030.Q); -AHIGH_28_ = (0); - -AHIGH_28_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - -AHIGH_27_ = (0); - -AHIGH_27_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - AHIGH_31_ = (0); AHIGH_31_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); -AHIGH_26_ = (0); - -AHIGH_26_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - -AHIGH_25_ = (0); - -AHIGH_25_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - -AHIGH_24_ = (0); - -AHIGH_24_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - !AS_030 = (!inst_AS_000_DMA.Q & !AS_000.PIN); AS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); @@ -237,16 +209,48 @@ BERR = (0); BERR.OE = (FC_1_ & BGACK_000 & FPU_SENSE & !A_DECODE_19_ & !A_DECODE_18_ & A_DECODE_17_ & !A_DECODE_16_ & FC_0_ & !AS_030.PIN); +SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); + +SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q); + +AHIGH_30_ = (0); + +AHIGH_30_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); CLK_DIV_OUT.C = (CLK_OSZI); +AHIGH_29_ = (0); + +AHIGH_29_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + +AHIGH_28_ = (0); + +AHIGH_28_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + !FPU_CS = (FC_1_ & BGACK_000 & !FPU_SENSE & !A_DECODE_19_ & !A_DECODE_18_ & A_DECODE_17_ & !A_DECODE_16_ & FC_0_ & !AS_030.PIN); +AHIGH_27_ = (0); + +AHIGH_27_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + +AHIGH_26_ = (0); + +AHIGH_26_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + +AHIGH_25_ = (0); + +AHIGH_25_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + +AHIGH_24_ = (0); + +AHIGH_24_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + AVEC = (1); -E = (!cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q - # cpu_est_1_.Q & cpu_est_2_.Q & !cpu_est_3_.Q); +E = (cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_1_.Q + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_1_.Q); RESET = (0); @@ -266,10 +270,6 @@ CIIN = (A_DECODE_23_ & A_DECODE_22_ & A_DECODE_21_ & A_DECODE_20_ & !inst_AS_030 CIIN.OE = (CIIN_0); -SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); - -SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q); - !IPL_030_2_.D = (!IPL_2_ & RST & !IPL_030_2_.Q # RST & !IPL_D0_2_.Q & !IPL_030_2_.Q # RST & !IPL_0_ & IPL_D0_0_.Q & !IPL_030_2_.Q @@ -303,6 +303,30 @@ BGACK_030.D = (!RST BGACK_030.C = (CLK_OSZI); +CLK_EXP.D = (inst_CLK_OUT_PRE_D.Q); + +CLK_EXP.C = (CLK_OSZI); + +DSACK1.OE = (nEXP_SPACE); + +!DSACK1.D = (RST & !inst_AS_030_D0.Q & !DSACK1.Q + # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_1_.Q); + +DSACK1.C = (CLK_OSZI); + +VMA.T = (!RST & !VMA.Q + # !VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q + # RST & VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_0_.Q & cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); + +VMA.C = (CLK_OSZI); + +RW.OE = (!BGACK_030.Q & inst_RESET_OUT.Q); + +!RW.D = (RST & !BGACK_030.Q & !RW_000.PIN + # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !RW.Q); + +RW.C = (CLK_OSZI); + A_0_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); A_0_.D = (!RST @@ -337,31 +361,18 @@ IPL_030_1_.C = (CLK_OSZI); IPL_030_0_.C = (CLK_OSZI); -CLK_EXP.D = (inst_CLK_OUT_PRE_D.Q); +cpu_est_2_.D.X1 = (cpu_est_2_.Q); -CLK_EXP.C = (CLK_OSZI); +cpu_est_2_.D.X2 = (cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); -DSACK1.OE = (nEXP_SPACE); +cpu_est_2_.C = (CLK_OSZI); -!DSACK1.D = (RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_1_.Q - # RST & !inst_AS_030_D0.Q & !DSACK1.Q & BERR.PIN); +cpu_est_3_.D = (cpu_est_3_.Q & !CLK_000_D_1_.Q + # cpu_est_3_.Q & CLK_000_D_0_.Q + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q + # cpu_est_2_.Q & cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); -DSACK1.C = (CLK_OSZI); - -VMA.T = (!RST & !VMA.Q - # !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q - # RST & VMA.Q & cpu_est_0_.Q & cpu_est_1_.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); - -VMA.C = (CLK_OSZI); - -RW.OE = (!BGACK_030.Q & inst_RESET_OUT.Q); - -!RW.D = (RST & !BGACK_030.Q & !RW_000.PIN - # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !RW.Q); - -RW.C = (CLK_OSZI); - -!un10_ciin_i = (A_DECODE_23_ & A_DECODE_22_ & A_DECODE_21_ & A_DECODE_20_ & !inst_AS_030_D0.Q & !AHIGH_24_.PIN & !AHIGH_25_.PIN & !AHIGH_26_.PIN & !AHIGH_27_.PIN & !AHIGH_28_.PIN & !AHIGH_29_.PIN & !AHIGH_30_.PIN & !AHIGH_31_.PIN); +cpu_est_3_.C = (CLK_OSZI); cpu_est_0_.D = (cpu_est_0_.Q & !CLK_000_D_1_.Q # cpu_est_0_.Q & CLK_000_D_0_.Q @@ -372,25 +383,12 @@ cpu_est_0_.C = (CLK_OSZI); cpu_est_1_.D = (!cpu_est_0_.Q & cpu_est_1_.Q # cpu_est_1_.Q & !CLK_000_D_1_.Q # cpu_est_1_.Q & CLK_000_D_0_.Q - # cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_3_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); + # !cpu_est_3_.Q & cpu_est_0_.Q & !cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); cpu_est_1_.C = (CLK_OSZI); -cpu_est_2_.D.X1 = (cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); - -cpu_est_2_.D.X2 = (cpu_est_2_.Q); - -cpu_est_2_.C = (CLK_OSZI); - -cpu_est_3_.D = (!cpu_est_0_.Q & cpu_est_3_.Q - # cpu_est_3_.Q & !CLK_000_D_1_.Q - # cpu_est_3_.Q & CLK_000_D_0_.Q - # cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); - -cpu_est_3_.C = (CLK_OSZI); - -!inst_AS_000_INT.D = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q - # RST & !inst_AS_000_INT.Q & !inst_AS_030_D0.Q & BERR.PIN); +!inst_AS_000_INT.D = (RST & !inst_AS_000_INT.Q & !inst_AS_030_D0.Q + # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q); inst_AS_000_INT.C = (CLK_OSZI); @@ -403,13 +401,13 @@ inst_AMIGA_BUS_ENABLE_DMA_LOW.C = (CLK_OSZI); inst_AS_030_D0.C = (CLK_OSZI); -!inst_AS_030_000_SYNC.D = (RST & !inst_AS_030_D0.Q & !inst_AS_030_000_SYNC.Q & BERR.PIN - # !FC_1_ & nEXP_SPACE & RST & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & A_DECODE_19_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & A_DECODE_18_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & !A_DECODE_17_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & A_DECODE_16_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & !FC_0_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN); +inst_AS_030_000_SYNC.D = (!RST + # inst_AS_030_D0.Q + # !nEXP_SPACE & inst_AS_030_000_SYNC.Q + # !BGACK_030.Q & inst_AS_030_000_SYNC.Q + # inst_AS_030_000_SYNC.Q & !inst_BGACK_030_INT_D.Q + # inst_AS_030_000_SYNC.Q & SM_AMIGA_i_7_.Q + # FC_1_ & !A_DECODE_19_ & !A_DECODE_18_ & A_DECODE_17_ & !A_DECODE_16_ & FC_0_ & inst_AS_030_000_SYNC.Q); inst_AS_030_000_SYNC.C = (CLK_OSZI); @@ -468,6 +466,10 @@ SIZE_DMA_1_.C = (CLK_OSZI); inst_VPA_D.C = (CLK_OSZI); +CLK_000_D_1_.D = (CLK_000_D_0_.Q); + +CLK_000_D_1_.C = (CLK_OSZI); + !inst_DTACK_D0.D = (!DTACK & RST); inst_DTACK_D0.C = (CLK_OSZI); @@ -477,10 +479,6 @@ inst_RESET_OUT.D = (RST & inst_RESET_OUT.Q inst_RESET_OUT.C = (CLK_OSZI); -CLK_000_D_1_.D = (CLK_000_D_0_.Q); - -CLK_000_D_1_.C = (CLK_OSZI); - CLK_000_D_0_.D = (CLK_000); CLK_000_D_0_.C = (CLK_OSZI); @@ -510,6 +508,10 @@ IPL_D0_1_.C = (CLK_OSZI); IPL_D0_2_.C = (CLK_OSZI); +CLK_000_D_2_.D = (CLK_000_D_1_.Q); + +CLK_000_D_2_.C = (CLK_OSZI); + !inst_AMIGA_BUS_ENABLE_DMA_HIGH.D = (RST & !A_1_ & !BGACK_030.Q # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q); @@ -521,8 +523,8 @@ inst_LDS_000_INT.D = (!RST inst_LDS_000_INT.C = (CLK_OSZI); -inst_DS_000_ENABLE.D = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q - # RST & !inst_AS_030_D0.Q & inst_DS_000_ENABLE.Q & BERR.PIN +inst_DS_000_ENABLE.D = (RST & !inst_AS_030_D0.Q & inst_DS_000_ENABLE.Q + # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q & RW.PIN); inst_DS_000_ENABLE.C = (CLK_OSZI); @@ -532,27 +534,27 @@ inst_DS_000_ENABLE.C = (CLK_OSZI); inst_UDS_000_INT.C = (CLK_OSZI); -SM_AMIGA_6_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_6_.Q & SM_AMIGA_i_7_.Q & BERR.PIN - # RST & !CLK_000_D_0_.Q & SM_AMIGA_6_.Q & SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & !SM_AMIGA_i_7_.Q); +SM_AMIGA_6_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_6_.Q + # RST & !CLK_000_D_0_.Q & SM_AMIGA_6_.Q + # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_1_.Q & CLK_000_D_2_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_i_7_.Q); SM_AMIGA_6_.C = (CLK_OSZI); -SM_AMIGA_4_.D = (RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_5_.Q - # RST & CLK_000_D_1_.Q & SM_AMIGA_4_.Q & BERR.PIN - # RST & !CLK_000_D_0_.Q & SM_AMIGA_4_.Q & BERR.PIN); +SM_AMIGA_4_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_4_.Q + # RST & !CLK_000_D_0_.Q & SM_AMIGA_4_.Q + # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_5_.Q); SM_AMIGA_4_.C = (CLK_OSZI); SM_AMIGA_1_.D = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_2_.Q - # RST & !CLK_000_D_1_.Q & SM_AMIGA_1_.Q & BERR.PIN - # RST & CLK_000_D_0_.Q & SM_AMIGA_1_.Q & BERR.PIN); + # RST & !CLK_000_D_1_.Q & SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q + # RST & CLK_000_D_0_.Q & SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q); SM_AMIGA_1_.C = (CLK_OSZI); -SM_AMIGA_0_.D = (RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_1_.Q - # RST & CLK_000_D_1_.Q & SM_AMIGA_0_.Q & BERR.PIN - # RST & !CLK_000_D_0_.Q & SM_AMIGA_0_.Q & BERR.PIN); +SM_AMIGA_0_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_0_.Q + # RST & !CLK_000_D_0_.Q & SM_AMIGA_0_.Q + # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_1_.Q); SM_AMIGA_0_.C = (CLK_OSZI); @@ -586,47 +588,38 @@ inst_CLK_030_H.D = (RST & !BGACK_030.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & inst inst_CLK_030_H.C = (CLK_OSZI); -SM_AMIGA_5_.D = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q - # RST & !CLK_000_D_1_.Q & SM_AMIGA_5_.Q & BERR.PIN - # RST & CLK_000_D_0_.Q & SM_AMIGA_5_.Q & BERR.PIN); +SM_AMIGA_5_.D = (RST & !CLK_000_D_1_.Q & SM_AMIGA_5_.Q + # RST & CLK_000_D_0_.Q & SM_AMIGA_5_.Q + # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q); SM_AMIGA_5_.C = (CLK_OSZI); -SM_AMIGA_3_.D.X1 = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q - # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q & !BERR.PIN - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & BERR.PIN - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & BERR.PIN); - -SM_AMIGA_3_.D.X2 = (RST & SM_AMIGA_3_.Q & BERR.PIN); +SM_AMIGA_3_.T = (!RST & SM_AMIGA_3_.Q + # inst_VPA_D.Q & CLK_000_D_1_.Q & !inst_DTACK_D0.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q + # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q + # inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN + # !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); SM_AMIGA_3_.C = (CLK_OSZI); -SM_AMIGA_2_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_2_.Q & BERR.PIN - # RST & !CLK_000_D_0_.Q & SM_AMIGA_2_.Q & BERR.PIN - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); +SM_AMIGA_2_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_2_.Q + # RST & !CLK_000_D_0_.Q & SM_AMIGA_2_.Q + # RST & inst_VPA_D.Q & CLK_000_D_1_.Q & !inst_DTACK_D0.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q + # RST & inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN + # RST & !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); SM_AMIGA_2_.C = (CLK_OSZI); -SM_AMIGA_i_7_.D.X1 = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q & !BERR.PIN - # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q & !BERR.PIN - # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_1_.Q & !BERR.PIN - # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_5_.Q & !BERR.PIN - # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_2_.Q & !BERR.PIN - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN - # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & BERR.PIN - # !nEXP_SPACE & RST & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & BERR.PIN - # RST & inst_AS_030_000_SYNC.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & BERR.PIN - # RST & !CLK_000_D_1_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & BERR.PIN - # RST & CLK_000_D_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & BERR.PIN - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN - # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & !BERR.PIN); +SM_AMIGA_i_7_.D.X1 = (RST & SM_AMIGA_i_7_.Q + # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_1_.Q & !CLK_000_D_0_.Q & CLK_000_D_2_.Q & !SM_AMIGA_i_7_.Q + # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_1_.Q & CLK_000_D_2_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_i_7_.Q); -SM_AMIGA_i_7_.D.X2 = (RST & BERR.PIN); +SM_AMIGA_i_7_.D.X2 = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_0_.Q & SM_AMIGA_i_7_.Q); SM_AMIGA_i_7_.C = (CLK_OSZI); -!CIIN_0 = (!nEXP_SPACE & un10_ciin_i); +CIIN_0 = (nEXP_SPACE + # A_DECODE_23_ & A_DECODE_22_ & A_DECODE_21_ & A_DECODE_20_ & !inst_AS_030_D0.Q & !AHIGH_24_.PIN & !AHIGH_25_.PIN & !AHIGH_26_.PIN & !AHIGH_27_.PIN & !AHIGH_28_.PIN & !AHIGH_29_.PIN & !AHIGH_30_.PIN & !AHIGH_31_.PIN); Reverse-Polarity Equations: diff --git a/Logic/68030_tk.fti b/Logic/68030_tk.fti index f9fe596..5966a04 100644 --- a/Logic/68030_tk.fti +++ b/Logic/68030_tk.fti @@ -34,16 +34,17 @@ DATA LOCATION BGACK_030:H_4_83 // IO {RN_BGACK_030} DATA LOCATION BG_000:D_1_29 // IO {RN_BG_000} DATA LOCATION BG_030:C_*_21 // INP DATA LOCATION CIIN:E_12_47 // OUT -DATA LOCATION CIIN_0:G_14 // NOD +DATA LOCATION CIIN_0:E_9 // NOD DATA LOCATION CLK_000:*_*_11 // INP -DATA LOCATION CLK_000_D_0_:D_9 // NOD +DATA LOCATION CLK_000_D_0_:B_13 // NOD DATA LOCATION CLK_000_D_1_:H_5 // NOD +DATA LOCATION CLK_000_D_2_:E_13 // NOD DATA LOCATION CLK_030:*_*_64 // INP DATA LOCATION CLK_DIV_OUT:G_1_65 // OUT DATA LOCATION CLK_EXP:B_1_10 // OUT DATA LOCATION CLK_OSZI:*_*_61 // Cin -DATA LOCATION CYCLE_DMA_0_:F_1 // NOD -DATA LOCATION CYCLE_DMA_1_:F_0 // NOD +DATA LOCATION CYCLE_DMA_0_:G_2 // NOD +DATA LOCATION CYCLE_DMA_1_:G_5 // NOD DATA LOCATION DSACK1:H_9_81 // IO {RN_DSACK1} DATA LOCATION DS_030:A_0_98 // OUT DATA LOCATION DTACK:D_*_30 // INP @@ -58,9 +59,9 @@ DATA LOCATION IPL_030_2_:B_4_9 // IO {RN_IPL_030_2_} DATA LOCATION IPL_0_:G_*_67 // INP DATA LOCATION IPL_1_:F_*_56 // INP DATA LOCATION IPL_2_:G_*_68 // INP -DATA LOCATION IPL_D0_0_:B_14 // NOD -DATA LOCATION IPL_D0_1_:E_9 // NOD -DATA LOCATION IPL_D0_2_:D_10 // NOD +DATA LOCATION IPL_D0_0_:C_14 // NOD +DATA LOCATION IPL_D0_1_:D_14 // NOD +DATA LOCATION IPL_D0_2_:C_10 // NOD DATA LOCATION LDS_000:D_12_31 // IO DATA LOCATION RESET:B_2_3 // OUT DATA LOCATION RN_A_0_:G_8 // NOD {A_0_} @@ -74,50 +75,49 @@ DATA LOCATION RN_RW:G_0 // NOD {RW} DATA LOCATION RN_RW_000:H_0 // NOD {RW_000} DATA LOCATION RN_VMA:D_0 // NOD {VMA} DATA LOCATION RST:*_*_86 // INP -DATA LOCATION RST_DLY_0_:C_6 // NOD -DATA LOCATION RST_DLY_1_:C_14 // NOD -DATA LOCATION RST_DLY_2_:C_10 // NOD +DATA LOCATION RST_DLY_0_:A_13 // NOD +DATA LOCATION RST_DLY_1_:A_6 // NOD +DATA LOCATION RST_DLY_2_:A_2 // NOD DATA LOCATION RW:G_0_71 // IO {RN_RW} DATA LOCATION RW_000:H_0_80 // IO {RN_RW_000} DATA LOCATION SIZE_0_:G_12_70 // IO DATA LOCATION SIZE_1_:H_12_79 // IO -DATA LOCATION SIZE_DMA_0_:G_2 // NOD -DATA LOCATION SIZE_DMA_1_:G_13 // NOD +DATA LOCATION SIZE_DMA_0_:C_2 // NOD +DATA LOCATION SIZE_DMA_1_:G_10 // NOD DATA LOCATION SM_AMIGA_0_:H_13 // NOD -DATA LOCATION SM_AMIGA_1_:A_1 // NOD -DATA LOCATION SM_AMIGA_2_:A_9 // NOD -DATA LOCATION SM_AMIGA_3_:A_13 // NOD -DATA LOCATION SM_AMIGA_4_:F_4 // NOD -DATA LOCATION SM_AMIGA_5_:A_12 // NOD -DATA LOCATION SM_AMIGA_6_:C_13 // NOD -DATA LOCATION SM_AMIGA_i_7_:A_8 // NOD +DATA LOCATION SM_AMIGA_1_:A_12 // NOD +DATA LOCATION SM_AMIGA_2_:A_5 // NOD +DATA LOCATION SM_AMIGA_3_:A_9 // NOD +DATA LOCATION SM_AMIGA_4_:G_13 // NOD +DATA LOCATION SM_AMIGA_5_:D_13 // NOD +DATA LOCATION SM_AMIGA_6_:B_6 // NOD +DATA LOCATION SM_AMIGA_i_7_:B_14 // NOD DATA LOCATION UDS_000:D_8_32 // IO DATA LOCATION VMA:D_0_35 // IO {RN_VMA} DATA LOCATION VPA:*_*_36 // INP -DATA LOCATION cpu_est_0_:D_2 // NOD -DATA LOCATION cpu_est_1_:G_5 // NOD -DATA LOCATION cpu_est_2_:G_9 // NOD -DATA LOCATION cpu_est_3_:D_13 // NOD -DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_HIGH:G_6 // NOD -DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_LOW:G_10 // NOD -DATA LOCATION inst_AS_000_DMA:B_6 // NOD -DATA LOCATION inst_AS_000_INT:F_5 // NOD -DATA LOCATION inst_AS_030_000_SYNC:C_2 // NOD +DATA LOCATION cpu_est_0_:B_10 // NOD +DATA LOCATION cpu_est_1_:G_9 // NOD +DATA LOCATION cpu_est_2_:G_6 // NOD +DATA LOCATION cpu_est_3_:D_9 // NOD +DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_HIGH:F_8 // NOD +DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_LOW:F_12 // NOD +DATA LOCATION inst_AS_000_DMA:C_9 // NOD +DATA LOCATION inst_AS_000_INT:D_2 // NOD +DATA LOCATION inst_AS_030_000_SYNC:C_13 // NOD DATA LOCATION inst_AS_030_D0:E_8 // NOD DATA LOCATION inst_BGACK_030_INT_D:E_5 // NOD -DATA LOCATION inst_CLK_030_H:B_10 // NOD -DATA LOCATION inst_CLK_OUT_PRE_25:F_13 // NOD -DATA LOCATION inst_CLK_OUT_PRE_50:F_2 // NOD -DATA LOCATION inst_CLK_OUT_PRE_D:F_9 // NOD -DATA LOCATION inst_DS_000_DMA:B_13 // NOD -DATA LOCATION inst_DS_000_ENABLE:F_8 // NOD -DATA LOCATION inst_DTACK_D0:F_6 // NOD -DATA LOCATION inst_LDS_000_INT:F_12 // NOD -DATA LOCATION inst_RESET_OUT:C_9 // NOD -DATA LOCATION inst_UDS_000_INT:D_6 // NOD -DATA LOCATION inst_VPA_D:A_5 // NOD +DATA LOCATION inst_CLK_030_H:C_6 // NOD +DATA LOCATION inst_CLK_OUT_PRE_25:G_14 // NOD +DATA LOCATION inst_CLK_OUT_PRE_50:A_1 // NOD +DATA LOCATION inst_CLK_OUT_PRE_D:H_2 // NOD +DATA LOCATION inst_DS_000_DMA:F_0 // NOD +DATA LOCATION inst_DS_000_ENABLE:D_6 // NOD +DATA LOCATION inst_DTACK_D0:H_6 // NOD +DATA LOCATION inst_LDS_000_INT:F_4 // NOD +DATA LOCATION inst_RESET_OUT:A_8 // NOD +DATA LOCATION inst_UDS_000_INT:D_10 // NOD +DATA LOCATION inst_VPA_D:F_1 // NOD DATA LOCATION nEXP_SPACE:*_*_14 // INP -DATA LOCATION un10_ciin_i:E_13 // NOD DATA IO_DIR AHIGH_24_:BI DATA IO_DIR AHIGH_25_:BI DATA IO_DIR AHIGH_26_:BI @@ -180,42 +180,14 @@ DATA IO_DIR VMA:OUT DATA IO_DIR VPA:IN DATA IO_DIR nEXP_SPACE:IN DATA GLB_CLOCK CLK_OSZI -DATA PW_LEVEL AHIGH_30_:1 -DATA SLEW AHIGH_30_:0 -DATA PW_LEVEL AHIGH_29_:1 -DATA SLEW AHIGH_29_:0 DATA PW_LEVEL SIZE_1_:1 DATA SLEW SIZE_1_:0 -DATA PW_LEVEL AHIGH_28_:1 -DATA SLEW AHIGH_28_:0 -DATA PW_LEVEL AHIGH_27_:1 -DATA SLEW AHIGH_27_:0 DATA PW_LEVEL AHIGH_31_:1 DATA SLEW AHIGH_31_:0 -DATA PW_LEVEL AHIGH_26_:1 -DATA SLEW AHIGH_26_:0 -DATA PW_LEVEL AHIGH_25_:1 -DATA SLEW AHIGH_25_:0 DATA PW_LEVEL A_DECODE_23_:1 DATA SLEW A_DECODE_23_:1 -DATA PW_LEVEL AHIGH_24_:1 -DATA SLEW AHIGH_24_:0 -DATA PW_LEVEL A_DECODE_22_:1 -DATA SLEW A_DECODE_22_:1 -DATA PW_LEVEL A_DECODE_21_:1 -DATA SLEW A_DECODE_21_:1 -DATA PW_LEVEL A_DECODE_20_:1 -DATA SLEW A_DECODE_20_:1 -DATA PW_LEVEL A_DECODE_19_:1 -DATA SLEW A_DECODE_19_:1 -DATA PW_LEVEL A_DECODE_18_:1 -DATA SLEW A_DECODE_18_:1 DATA PW_LEVEL IPL_2_:1 DATA SLEW IPL_2_:1 -DATA PW_LEVEL A_DECODE_17_:1 -DATA SLEW A_DECODE_17_:1 -DATA PW_LEVEL A_DECODE_16_:1 -DATA SLEW A_DECODE_16_:1 DATA PW_LEVEL FC_1_:1 DATA SLEW FC_1_:1 DATA PW_LEVEL AS_030:1 @@ -237,31 +209,53 @@ DATA PW_LEVEL BGACK_000:1 DATA SLEW BGACK_000:1 DATA SLEW CLK_030:1 DATA SLEW CLK_000:1 +DATA PW_LEVEL SIZE_0_:1 +DATA SLEW SIZE_0_:0 DATA SLEW CLK_OSZI:1 +DATA PW_LEVEL AHIGH_30_:1 +DATA SLEW AHIGH_30_:0 DATA PW_LEVEL CLK_DIV_OUT:1 DATA SLEW CLK_DIV_OUT:0 -DATA PW_LEVEL IPL_1_:1 -DATA SLEW IPL_1_:1 +DATA PW_LEVEL AHIGH_29_:1 +DATA SLEW AHIGH_29_:0 +DATA PW_LEVEL AHIGH_28_:1 +DATA SLEW AHIGH_28_:0 DATA PW_LEVEL FPU_CS:1 DATA SLEW FPU_CS:0 -DATA PW_LEVEL IPL_0_:1 -DATA SLEW IPL_0_:1 +DATA PW_LEVEL AHIGH_27_:1 +DATA SLEW AHIGH_27_:0 DATA PW_LEVEL FPU_SENSE:1 DATA SLEW FPU_SENSE:1 -DATA PW_LEVEL FC_0_:1 -DATA SLEW FC_0_:1 -DATA PW_LEVEL A_1_:1 -DATA SLEW A_1_:1 +DATA PW_LEVEL AHIGH_26_:1 +DATA SLEW AHIGH_26_:0 +DATA PW_LEVEL AHIGH_25_:1 +DATA SLEW AHIGH_25_:0 DATA PW_LEVEL DTACK:1 DATA SLEW DTACK:1 +DATA PW_LEVEL AHIGH_24_:1 +DATA SLEW AHIGH_24_:0 DATA PW_LEVEL AVEC:1 DATA SLEW AVEC:0 +DATA PW_LEVEL A_DECODE_22_:1 +DATA SLEW A_DECODE_22_:1 DATA PW_LEVEL E:1 DATA SLEW E:0 +DATA PW_LEVEL A_DECODE_21_:1 +DATA SLEW A_DECODE_21_:1 DATA SLEW VPA:1 +DATA PW_LEVEL A_DECODE_20_:1 +DATA SLEW A_DECODE_20_:1 +DATA PW_LEVEL A_DECODE_19_:1 +DATA SLEW A_DECODE_19_:1 DATA SLEW RST:1 +DATA PW_LEVEL A_DECODE_18_:1 +DATA SLEW A_DECODE_18_:1 DATA PW_LEVEL RESET:1 DATA SLEW RESET:0 +DATA PW_LEVEL A_DECODE_17_:1 +DATA SLEW A_DECODE_17_:1 +DATA PW_LEVEL A_DECODE_16_:1 +DATA SLEW A_DECODE_16_:1 DATA PW_LEVEL AMIGA_ADDR_ENABLE:1 DATA SLEW AMIGA_ADDR_ENABLE:0 DATA PW_LEVEL AMIGA_BUS_DATA_DIR:1 @@ -272,8 +266,14 @@ DATA PW_LEVEL AMIGA_BUS_ENABLE_HIGH:1 DATA SLEW AMIGA_BUS_ENABLE_HIGH:0 DATA PW_LEVEL CIIN:1 DATA SLEW CIIN:0 -DATA PW_LEVEL SIZE_0_:1 -DATA SLEW SIZE_0_:0 +DATA PW_LEVEL IPL_1_:1 +DATA SLEW IPL_1_:1 +DATA PW_LEVEL IPL_0_:1 +DATA SLEW IPL_0_:1 +DATA PW_LEVEL FC_0_:1 +DATA SLEW FC_0_:1 +DATA PW_LEVEL A_1_:1 +DATA SLEW A_1_:1 DATA PW_LEVEL IPL_030_2_:1 DATA SLEW IPL_030_2_:0 DATA PW_LEVEL RW_000:1 @@ -282,12 +282,6 @@ DATA PW_LEVEL BG_000:1 DATA SLEW BG_000:0 DATA PW_LEVEL BGACK_030:1 DATA SLEW BGACK_030:0 -DATA PW_LEVEL A_0_:1 -DATA SLEW A_0_:0 -DATA PW_LEVEL IPL_030_1_:1 -DATA SLEW IPL_030_1_:0 -DATA PW_LEVEL IPL_030_0_:1 -DATA SLEW IPL_030_0_:0 DATA PW_LEVEL CLK_EXP:1 DATA SLEW CLK_EXP:0 DATA PW_LEVEL DSACK1:1 @@ -296,16 +290,20 @@ DATA PW_LEVEL VMA:1 DATA SLEW VMA:0 DATA PW_LEVEL RW:1 DATA SLEW RW:0 -DATA PW_LEVEL un10_ciin_i:1 -DATA SLEW un10_ciin_i:1 -DATA PW_LEVEL cpu_est_0_:1 -DATA SLEW cpu_est_0_:1 -DATA PW_LEVEL cpu_est_1_:1 -DATA SLEW cpu_est_1_:1 +DATA PW_LEVEL A_0_:1 +DATA SLEW A_0_:0 +DATA PW_LEVEL IPL_030_1_:1 +DATA SLEW IPL_030_1_:0 +DATA PW_LEVEL IPL_030_0_:1 +DATA SLEW IPL_030_0_:0 DATA PW_LEVEL cpu_est_2_:1 DATA SLEW cpu_est_2_:1 DATA PW_LEVEL cpu_est_3_:1 DATA SLEW cpu_est_3_:1 +DATA PW_LEVEL cpu_est_0_:1 +DATA SLEW cpu_est_0_:1 +DATA PW_LEVEL cpu_est_1_:1 +DATA SLEW cpu_est_1_:1 DATA PW_LEVEL inst_AS_000_INT:1 DATA SLEW inst_AS_000_INT:1 DATA PW_LEVEL inst_AMIGA_BUS_ENABLE_DMA_LOW:1 @@ -330,12 +328,12 @@ DATA PW_LEVEL SIZE_DMA_1_:1 DATA SLEW SIZE_DMA_1_:1 DATA PW_LEVEL inst_VPA_D:1 DATA SLEW inst_VPA_D:1 +DATA PW_LEVEL CLK_000_D_1_:1 +DATA SLEW CLK_000_D_1_:1 DATA PW_LEVEL inst_DTACK_D0:1 DATA SLEW inst_DTACK_D0:1 DATA PW_LEVEL inst_RESET_OUT:1 DATA SLEW inst_RESET_OUT:1 -DATA PW_LEVEL CLK_000_D_1_:1 -DATA SLEW CLK_000_D_1_:1 DATA PW_LEVEL CLK_000_D_0_:1 DATA SLEW CLK_000_D_0_:1 DATA PW_LEVEL inst_CLK_OUT_PRE_50:1 @@ -350,6 +348,8 @@ DATA PW_LEVEL IPL_D0_1_:1 DATA SLEW IPL_D0_1_:1 DATA PW_LEVEL IPL_D0_2_:1 DATA SLEW IPL_D0_2_:1 +DATA PW_LEVEL CLK_000_D_2_:1 +DATA SLEW CLK_000_D_2_:1 DATA PW_LEVEL inst_AMIGA_BUS_ENABLE_DMA_HIGH:1 DATA SLEW inst_AMIGA_BUS_ENABLE_DMA_HIGH:1 DATA PW_LEVEL inst_LDS_000_INT:1 @@ -388,10 +388,10 @@ DATA PW_LEVEL RN_IPL_030_2_:1 DATA PW_LEVEL RN_RW_000:1 DATA PW_LEVEL RN_BG_000:1 DATA PW_LEVEL RN_BGACK_030:1 -DATA PW_LEVEL RN_A_0_:1 -DATA PW_LEVEL RN_IPL_030_1_:1 -DATA PW_LEVEL RN_IPL_030_0_:1 DATA PW_LEVEL RN_DSACK1:1 DATA PW_LEVEL RN_VMA:1 DATA PW_LEVEL RN_RW:1 +DATA PW_LEVEL RN_A_0_:1 +DATA PW_LEVEL RN_IPL_030_1_:1 +DATA PW_LEVEL RN_IPL_030_0_:1 END diff --git a/Logic/68030_tk.grp b/Logic/68030_tk.grp index 4b59c39..feb2ec9 100644 --- a/Logic/68030_tk.grp +++ b/Logic/68030_tk.grp @@ -1,22 +1,22 @@ -GROUP MACH_SEG_A DS_030 AVEC SM_AMIGA_i_7_ SM_AMIGA_2_ SM_AMIGA_3_ SM_AMIGA_1_ - SM_AMIGA_5_ inst_VPA_D +GROUP MACH_SEG_A DS_030 AVEC SM_AMIGA_2_ SM_AMIGA_3_ inst_RESET_OUT RST_DLY_0_ + RST_DLY_1_ RST_DLY_2_ SM_AMIGA_1_ inst_CLK_OUT_PRE_50 GROUP MACH_SEG_B IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ IPL_030_2_ - RN_IPL_030_2_ AHIGH_31_ AHIGH_30_ AHIGH_29_ CLK_EXP RESET inst_DS_000_DMA - inst_CLK_030_H inst_AS_000_DMA IPL_D0_0_ + RN_IPL_030_2_ AHIGH_31_ AHIGH_30_ AHIGH_29_ CLK_EXP RESET SM_AMIGA_i_7_ + SM_AMIGA_6_ cpu_est_0_ CLK_000_D_0_ GROUP MACH_SEG_C AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ AMIGA_BUS_ENABLE_LOW - inst_AS_030_000_SYNC SM_AMIGA_6_ inst_RESET_OUT RST_DLY_0_ RST_DLY_1_ - RST_DLY_2_ + inst_AS_030_000_SYNC inst_CLK_030_H inst_AS_000_DMA SIZE_DMA_0_ IPL_D0_0_ + IPL_D0_2_ GROUP MACH_SEG_D VMA RN_VMA BG_000 RN_BG_000 LDS_000 UDS_000 AMIGA_BUS_ENABLE_HIGH - AMIGA_ADDR_ENABLE cpu_est_3_ inst_UDS_000_INT cpu_est_0_ IPL_D0_2_ - CLK_000_D_0_ -GROUP MACH_SEG_E CIIN BERR AMIGA_BUS_DATA_DIR AS_000 un10_ciin_i inst_AS_030_D0 - IPL_D0_1_ inst_BGACK_030_INT_D -GROUP MACH_SEG_F inst_DS_000_ENABLE inst_AS_000_INT CYCLE_DMA_1_ inst_LDS_000_INT - SM_AMIGA_4_ CYCLE_DMA_0_ inst_CLK_OUT_PRE_25 inst_DTACK_D0 inst_CLK_OUT_PRE_50 - inst_CLK_OUT_PRE_D -GROUP MACH_SEG_G A_0_ RN_A_0_ RW RN_RW SIZE_0_ E CLK_DIV_OUT SIZE_DMA_0_ - SIZE_DMA_1_ cpu_est_1_ cpu_est_2_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AMIGA_BUS_ENABLE_DMA_HIGH - CIIN_0 + AMIGA_ADDR_ENABLE inst_DS_000_ENABLE cpu_est_3_ inst_AS_000_INT SM_AMIGA_5_ + inst_UDS_000_INT IPL_D0_1_ +GROUP MACH_SEG_E CIIN BERR AMIGA_BUS_DATA_DIR AS_000 CIIN_0 inst_AS_030_D0 + inst_BGACK_030_INT_D CLK_000_D_2_ +GROUP MACH_SEG_F inst_DS_000_DMA inst_LDS_000_INT inst_AMIGA_BUS_ENABLE_DMA_LOW + inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_VPA_D +GROUP MACH_SEG_G A_0_ RN_A_0_ RW RN_RW SIZE_0_ E CLK_DIV_OUT CYCLE_DMA_1_ + SIZE_DMA_1_ CYCLE_DMA_0_ cpu_est_2_ cpu_est_1_ SM_AMIGA_4_ inst_CLK_OUT_PRE_25 + GROUP MACH_SEG_H RW_000 RN_RW_000 FPU_CS DSACK1 RN_DSACK1 BGACK_030 RN_BGACK_030 - AS_030 SIZE_1_ SM_AMIGA_0_ CLK_000_D_1_ \ No newline at end of file + AS_030 SIZE_1_ SM_AMIGA_0_ inst_DTACK_D0 inst_CLK_OUT_PRE_D CLK_000_D_1_ + \ No newline at end of file diff --git a/Logic/68030_tk.ipr b/Logic/68030_tk.ipr index 53ce749..38f3532 100644 --- a/Logic/68030_tk.ipr +++ b/Logic/68030_tk.ipr @@ -1 +1 @@ -26:5144]e @1UtR \ No newline at end of file +6723==5O39`{> \ No newline at end of file diff --git a/Logic/68030_tk.jed b/Logic/68030_tk.jed index a9f0f30..e4b0ab0 100644 --- a/Logic/68030_tk.jed +++ b/Logic/68030_tk.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Thu Aug 25 22:27:55 2016 +DATE: Wed Sep 14 23:54:30 2016 ABEL mach447a * @@ -31,74 +31,74 @@ NOTE Spread Placement? Y * NOTE Run Time Upper Bound in 15 minutes 0 * NOTE Zero Hold Time For Input Registers? Y * NOTE Table of pin names and numbers* -NOTE PINS AHIGH_30_:5 AHIGH_29_:6 SIZE_1_:79 AHIGH_28_:15* -NOTE PINS AHIGH_27_:16 AHIGH_31_:4 AHIGH_26_:17 AHIGH_25_:18* -NOTE PINS A_DECODE_23_:85 AHIGH_24_:19 A_DECODE_22_:84 A_DECODE_21_:94* -NOTE PINS A_DECODE_20_:93 A_DECODE_19_:97 A_DECODE_18_:95* -NOTE PINS IPL_2_:68 A_DECODE_17_:59 A_DECODE_16_:96 FC_1_:58* -NOTE PINS AS_030:82 AS_000:42 DS_030:98 UDS_000:32 LDS_000:31* -NOTE PINS nEXP_SPACE:14 BERR:41 BG_030:21 BGACK_000:28 CLK_030:64* -NOTE PINS CLK_000:11 CLK_OSZI:61 CLK_DIV_OUT:65 IPL_1_:56* -NOTE PINS FPU_CS:78 IPL_0_:67 FPU_SENSE:91 FC_0_:57 A_1_:60* -NOTE PINS DTACK:30 AVEC:92 E:66 VPA:36 RST:86 RESET:3 AMIGA_ADDR_ENABLE:33* -NOTE PINS AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* -NOTE PINS CIIN:47 SIZE_0_:70 IPL_030_2_:9 RW_000:80 BG_000:29* -NOTE PINS BGACK_030:83 A_0_:69 IPL_030_1_:7 IPL_030_0_:8* -NOTE PINS CLK_EXP:10 DSACK1:81 VMA:35 RW:71 * +NOTE PINS SIZE_1_:79 AHIGH_31_:4 A_DECODE_23_:85 IPL_2_:68* +NOTE PINS FC_1_:58 AS_030:82 AS_000:42 DS_030:98 UDS_000:32* +NOTE PINS LDS_000:31 nEXP_SPACE:14 BERR:41 BG_030:21 BGACK_000:28* +NOTE PINS CLK_030:64 CLK_000:11 SIZE_0_:70 CLK_OSZI:61 AHIGH_30_:5* +NOTE PINS CLK_DIV_OUT:65 AHIGH_29_:6 AHIGH_28_:15 FPU_CS:78* +NOTE PINS AHIGH_27_:16 FPU_SENSE:91 AHIGH_26_:17 AHIGH_25_:18* +NOTE PINS DTACK:30 AHIGH_24_:19 AVEC:92 A_DECODE_22_:84 E:66* +NOTE PINS A_DECODE_21_:94 VPA:36 A_DECODE_20_:93 A_DECODE_19_:97* +NOTE PINS RST:86 A_DECODE_18_:95 RESET:3 A_DECODE_17_:59* +NOTE PINS A_DECODE_16_:96 AMIGA_ADDR_ENABLE:33 AMIGA_BUS_DATA_DIR:48* +NOTE PINS AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* +NOTE PINS CIIN:47 IPL_1_:56 IPL_0_:67 FC_0_:57 A_1_:60 IPL_030_2_:9* +NOTE PINS RW_000:80 BG_000:29 BGACK_030:83 CLK_EXP:10 DSACK1:81* +NOTE PINS VMA:35 RW:71 A_0_:69 IPL_030_1_:7 IPL_030_0_:8* NOTE Table of node names and numbers* -NOTE NODES RN_AHIGH_30_:125 RN_AHIGH_29_:137 RN_SIZE_1_:287 * -NOTE NODES RN_AHIGH_28_:149 RN_AHIGH_27_:157 RN_AHIGH_31_:143 * -NOTE NODES RN_AHIGH_26_:155 RN_AHIGH_25_:167 RN_AHIGH_24_:161 * -NOTE NODES RN_AS_030:281 RN_AS_000:203 RN_UDS_000:185 RN_LDS_000:191 * -NOTE NODES RN_BERR:197 RN_SIZE_0_:263 RN_IPL_030_2_:131 * +NOTE NODES RN_SIZE_1_:287 RN_AHIGH_31_:143 RN_AS_030:281 * +NOTE NODES RN_AS_000:203 RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 * +NOTE NODES RN_SIZE_0_:263 RN_AHIGH_30_:125 RN_AHIGH_29_:137 * +NOTE NODES RN_AHIGH_28_:149 RN_AHIGH_27_:157 RN_AHIGH_26_:155 * +NOTE NODES RN_AHIGH_25_:167 RN_AHIGH_24_:161 RN_IPL_030_2_:131 * NOTE NODES RN_RW_000:269 RN_BG_000:175 RN_BGACK_030:275 * -NOTE NODES RN_A_0_:257 RN_IPL_030_1_:139 RN_IPL_030_0_:133 * -NOTE NODES RN_DSACK1:283 RN_VMA:173 RN_RW:245 un10_ciin_i:217 * -NOTE NODES cpu_est_0_:176 cpu_est_1_:253 cpu_est_2_:259 * -NOTE NODES cpu_est_3_:193 inst_AS_000_INT:229 inst_AMIGA_BUS_ENABLE_DMA_LOW:260 * -NOTE NODES inst_AS_030_D0:209 inst_AS_030_000_SYNC:152 inst_BGACK_030_INT_D:205 * -NOTE NODES inst_AS_000_DMA:134 inst_DS_000_DMA:145 CYCLE_DMA_0_:223 * -NOTE NODES CYCLE_DMA_1_:221 SIZE_DMA_0_:248 SIZE_DMA_1_:265 * -NOTE NODES inst_VPA_D:109 inst_DTACK_D0:230 inst_RESET_OUT:163 * -NOTE NODES CLK_000_D_1_:277 CLK_000_D_0_:187 inst_CLK_OUT_PRE_50:224 * -NOTE NODES inst_CLK_OUT_PRE_25:241 inst_CLK_OUT_PRE_D:235 * -NOTE NODES IPL_D0_0_:146 IPL_D0_1_:211 IPL_D0_2_:188 inst_AMIGA_BUS_ENABLE_DMA_HIGH:254 * -NOTE NODES inst_LDS_000_INT:239 inst_DS_000_ENABLE:233 inst_UDS_000_INT:182 * -NOTE NODES SM_AMIGA_6_:169 SM_AMIGA_4_:227 SM_AMIGA_1_:103 * -NOTE NODES SM_AMIGA_0_:289 RST_DLY_0_:158 RST_DLY_1_:170 * -NOTE NODES RST_DLY_2_:164 inst_CLK_030_H:140 SM_AMIGA_5_:119 * -NOTE NODES SM_AMIGA_3_:121 SM_AMIGA_2_:115 SM_AMIGA_i_7_:113 * -NOTE NODES CIIN_0:266 * +NOTE NODES RN_DSACK1:283 RN_VMA:173 RN_RW:245 RN_A_0_:257 * +NOTE NODES RN_IPL_030_1_:139 RN_IPL_030_0_:133 cpu_est_2_:254 * +NOTE NODES cpu_est_3_:187 cpu_est_0_:140 cpu_est_1_:259 * +NOTE NODES inst_AS_000_INT:176 inst_AMIGA_BUS_ENABLE_DMA_LOW:239 * +NOTE NODES inst_AS_030_D0:209 inst_AS_030_000_SYNC:169 inst_BGACK_030_INT_D:205 * +NOTE NODES inst_AS_000_DMA:163 inst_DS_000_DMA:221 CYCLE_DMA_0_:248 * +NOTE NODES CYCLE_DMA_1_:253 SIZE_DMA_0_:152 SIZE_DMA_1_:260 * +NOTE NODES inst_VPA_D:223 CLK_000_D_1_:277 inst_DTACK_D0:278 * +NOTE NODES inst_RESET_OUT:113 CLK_000_D_0_:145 inst_CLK_OUT_PRE_50:103 * +NOTE NODES inst_CLK_OUT_PRE_25:266 inst_CLK_OUT_PRE_D:272 * +NOTE NODES IPL_D0_0_:170 IPL_D0_1_:194 IPL_D0_2_:164 CLK_000_D_2_:217 * +NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:233 inst_LDS_000_INT:227 * +NOTE NODES inst_DS_000_ENABLE:182 inst_UDS_000_INT:188 SM_AMIGA_6_:134 * +NOTE NODES SM_AMIGA_4_:265 SM_AMIGA_1_:119 SM_AMIGA_0_:289 * +NOTE NODES RST_DLY_0_:121 RST_DLY_1_:110 RST_DLY_2_:104 * +NOTE NODES inst_CLK_030_H:158 SM_AMIGA_5_:193 SM_AMIGA_3_:115 * +NOTE NODES SM_AMIGA_2_:109 SM_AMIGA_i_7_:146 CIIN_0:211 * NOTE BLOCK 0 * L000000 - 111111111111111111111101111111111111111111011111111111111111111111 - 111111111101111111111111100111111111111111111111111111111111111111 - 111011111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111110111111111111111011111111111111111101 + 111111111111111111110111101111111111111111111111111111111111111111 + 111101111101111111111111111111111111111111111111111111101111111111 + 111111111111111111111111111111111111111111111110111111111111111111 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111011111111011111111110111111101111111 - 111101111111110111111111111111111111111111111111111111111111111111 - 111111111011111111111111111111111111011110111111111111111111111111 - 101111011111111111010111111111111010111111111111111101111111111111* + 111111111111111111111111111111111111111111111111111111111111101011 + 111111111111111111111111111111111111111111111111010111111111111111 + 111111011111110111111111111111011111110111111111111111111111111111 + 111111111011111111111111111111111111011110101111111111111111111111 + 100111111111111111011111111111111010111111110111111111111111111111* L000594 000000000000000000000000000000000000000000000000000000000000000000* -L000660 111111111111111111111111111111111011111111101111111111111111111111* +L000660 111111111111111111111111111111111011111111111111111111111111111011* L000726 000000000000000000000000000000000000000000000000000000000000000000* L000792 000000000000000000000000000000000000000000000000000000000000000000* L000858 000000000000000000000000000000000000000000000000000000000000000000* L000924 000000000000000000000000000000000000000000000000000000000000000000* -L000990 011101111011110111111111111111111111111111111111111111111111111111* -L001056 011111111011111111011111111111111101111111111111111111111111111111* -L001122 011111111111110111011111111111111101111111111111111111111111111111* +L000990 111111111111111111101111111111111111111111111111111111111111111111* +L001056 000000000000000000000000000000000000000000000000000000000000000000* +L001122 000000000000000000000000000000000000000000000000000000000000000000* L001188 000000000000000000000000000000000000000000000000000000000000000000* L001254 000000000000000000000000000000000000000000000000000000000000000000* L001320 111111111111111111111111111111111111111111111111111111111111111111* -L001386 111111111111111111111111111111111111111111111111111111111111111111* -L001452 111111111111111111111111111111111111111111111111111111111111111111* -L001518 111111111111111111111111111111111111111111111111111111111111111111* -L001584 111111111111111111111111111111111111111111111111111111111111111111* -L001650 111111111111111111111111111111111111111111111111111111111111111111* +L001386 011111110111111111111011111111011111111111111111110111111111111111* +L001452 011111111111111111111111111111111111111111110111111111111111111111* +L001518 000000000000000000000000000000000000000000000000000000000000000000* +L001584 000000000000000000000000000000000000000000000000000000000000000000* +L001650 000000000000000000000000000000000000000000000000000000000000000000* L001716 111111111111111111111111111111111111111111111111111111111111111111* L001782 111111111111111111111111111111111111111111111111111111111111111111* L001848 111111111111111111111111111111111111111111111111111111111111111111* @@ -111,42 +111,42 @@ L002178 111111111111111111111111111111111111111111111111111111111111111111* L002244 111111111111111111111111111111111111111111111111111111111111111111* L002310 111111111111111111111111111111111111111111111111111111111111111111* L002376 111111111111111111111111111111111111111111111111111111111111111111* -L002442 011111111111111111111011111111111111111111111111111111111111111111* -L002508 111111111111111111111111111111111111111111111111111111111111111111* -L002574 111111111111111111111111111111111111111111111111111111111111111111* -L002640 111111111111111111111111111111111111111111111111111111111111111111* -L002706 111111111111111111111111111111111111111111111111111111111111111111* +L002442 011010110111110111111011111111111111110111111110111111101111101111* +L002508 011111110111111111111011111111111111110111101111111111111111011111* +L002574 011111110111111111111111111111111111011111111111111111111111111111* +L002640 011111111111111111111011111111111111011111111111111111111111111111* +L002706 011111110111111111111011111111111110110111111111111111111111011111* L002772 000000000000000000000000000000000000000000000000000000000000000000* -L002838 111111111111111111111111111111111111111111111111111111111111111111* -L002904 111111111111111111111111111111111111111111111111111111111111111111* -L002970 111111111111111111111111111111111111111111111111111111111111111111* -L003036 111111111111111111111111111111111111111111111111111111111111111111* -L003102 111111111111111111111111111111111111111111111111111111111111111111* -L003168 011111111011110111111111111101111110111111111111111111111111111111* -L003234 011110111011110111101110111110101101111111111111111011111111111111* -L003300 011110111110111111101110111110101101111011111111111011111111111111* -L003366 011110111111111111101110111110101101111011111111111011111111111101* -L003432 011110111011111111101110111110101101111011111111111011111111111111* +L002838 011111111111111111111111111111011111111111111111111111111111111111* +L002904 011111110111111111111011111111111111111111111011110111111111111111* +L002970 011111110111111111111011111111101111111111111111110111111111111111* +L003036 000000000000000000000000000000000000000000000000000000000000000000* +L003102 000000000000000000000000000000000000000000000000000000000000000000* +L003168 111111111111111111111111111111111111111111111111111111111111111111* +L003234 111111111111111111111111111111111111111111111111111111111111111111* +L003300 111111111111111111111111111111111111111111111111111111111111111111* +L003366 111111111111111111111111111111111111111111111111111111111111111111* +L003432 111111111111111111111111111111111111111111111111111111111111111111* L003498 000000000000000000000000000000000000000000000000000000000000000000* -L003564 011111111111111111111111111111111101111111111111111111111111111111* -L003630 011110111111110111101110111110101101111011111111111011111111111111* -L003696 011111111011110111111101111111111110111111111111111111111111111111* -L003762 011111110111111011011111111111111110111111111111111111111111111111* -L003828 011111110111111011111111111111011110111111111111111111111111111111* -L003894 011111100111111011111111101111111111101111111011110110111101111111* -L003960 011011110111111011111111111111111111011111111111110111111111111111* -L004026 011101110111111111111111111111111101111111111111111111111111111111* -L004092 011101111111111011111111111111111101111111111111111111111111111111* -L004158 000000000000000000000000000000000000000000000000000000000000000000* +L003564 011111011111111111111111111111111111111111111111111111111111111111* +L003630 011111110111111111111011111111011111111111110111110111111111111111* +L003696 000000000000000000000000000000000000000000000000000000000000000000* +L003762 000000000000000000000000000000000000000000000000000000000000000000* +L003828 000000000000000000000000000000000000000000000000000000000000000000* +L003894 101111111111111111111111111111111111110111111111111111111111111111* +L003960 111010110111110111111011111111111111110111111110111111101111101111* +L004026 111111110111111111111011111111111111110111101111111111111111011111* +L004092 011111111011111111110111011111111111111011111111111111111111111111* +L004158 111111110111111111111011111111111110110111111111111111111111011111* L004224 000000000000000000000000000000000000000000000000000000000000000000* -L004290 011111100111111011111111101111111110101111111011110110111101111111* -L004356 011011110111111011111111111111111110011111111111110111111111111111* -L004422 011101111011110111111111111111111110111111111111111111111111111111* -L004488 011110110101111011111110111110111110111011111111111011111111111110* -L004554 000000000000000000000000000000000000000000000000000000000000000000* +L004290 111111111111111111111111111111111111111111111111111111111111111111* +L004356 111111111111111111111111111111111111111111111111111111111111111111* +L004422 111111111111111111111111111111111111111111111111111111111111111111* +L004488 111111111111111111111111111111111111111111111111111111111111111111* +L004554 111111111111111111111111111111111111111111111111111111111111111111* L004620 111111111111111111111111111111111111111111111111111111111111111111* L004686 111111111111111111111111111111111111111111111111111111111111111111* L004752 111111111111111111111111111111111111111111111111111111111111111111* @@ -154,18 +154,18 @@ L004818 111111111111111111111111111111111111111111111111111111111111111111* L004884 111111111111111111111111111111111111111111111111111111111111111111* L004950 000000000000000000000000000000000000000000000000000000000000000000* -L005016 011111111011110111111101111111111111111111111111111111111111111111* -L005082 011111111011111111111111111111011101111111111111111111111111111111* -L005148 011111111111110111111111111111011101111111111111111111111111111111* +L005016 011111111011111111110111111111111111011111111111111111111111111111* +L005082 011111111011111111111111111111111111101111111111011111111111111111* +L005148 011111111111111111110111111111111111101111111111011111111111111111* L005214 000000000000000000000000000000000000000000000000000000000000000000* L005280 000000000000000000000000000000000000000000000000000000000000000000* -L005346 011111111111111111111111111111111101111111111111110111111111111111* -L005412 011111111011110111111111111101111111111111111111111011111111111111* -L005478 011111100111111011111111101111111101101111111011110110111101111111* -L005544 011011110111111011111111111111111101011111111111110111111111111111* -L005610 011111111011110111111111111101111110111111111111111111111111111111* +L005346 011111111011111111111111111111111111111111111111110111111111111111* +L005412 011111111111111111110111111111111111111111111111110111111111111111* +L005478 011111110111111111111011111111111111111111111111111011111111111111* +L005544 011111111111111111111111111111011111111111110111110111111111111111* +L005610 000000000000000000000000000000000000000000000000000000000000000000* L005676 - 111111111110111111111111110111111111111110111111111111111111111111* + 111111011110111111111111111111111111111110111111111111111111111111* L005742 111111111111111111111111111111111111111111111111111111111111111111* L005808 111111111111111111111111111111111111111111111111111111111111111111* L005874 111111111111111111111111111111111111111111111111111111111111111111* @@ -181,32 +181,32 @@ L006402 000000000000000000000000000000000000000000000000000000000000000000* L006534 0010* L006538 01100011111000* -L006552 10100110010011* -L006566 11010011110101* -L006580 11111111111111* -L006594 00111011111000* -L006608 01000110010011* -L006622 11011011110000* -L006636 11010011110010* -L006650 00100110010001* -L006664 10100110010011* -L006678 11001111110000* -L006692 11110011110010* -L006706 10100110010001* -L006720 00100110010011* -L006734 11011011110100* -L006748 11111111111111* +L006552 00100110010011* +L006566 10100110010101* +L006580 11101011111111* +L006594 00110011111000* +L006608 10100110010010* +L006622 00100110010001* +L006636 11101011110011* +L006650 10100110010000* +L006664 10100111010011* +L006678 11010011110001* +L006692 11111011110011* +L006706 10100110010000* +L006720 10100110010010* +L006734 11011111110101* +L006748 11110011111111* NOTE BLOCK 1 * L006762 - 111111111011111111010111111111111111111111111111111111111111111111 - 111011111101111111111111010111110111111111111111111111111111111111 - 111111101111111111111101111111111111111111111011111111110111111111 + 111111011111110111010101111111111111111111111111111111111111111111 + 111111111101011101111111011111111111111111111111111111111111111111 + 111111111011111111111111111111111111111111101101111111110111111111 101111111111111111111111111111111111111111111111111111011111111111 - 111111111111111111111111111111101111111111111111111011111111111111 - 111111111111111111111111111111111111111101111111111111111111111111 - 111111111111101111111111111110111111111111111111011111111111111111 - 111111111111111101111111111111111111111111111110111111111111111111 - 111110111111111111111111111111111111111111101111111111111111111111* + 111111111111111111111111111111111101111111111111111111111111111111 + 111110111111111111111111111111111111111011111111111111111111111111 + 111111111111111111111111111111111111011111111111111111111111111111 + 111111111111111111111111111011111111111110111111111111111111111111 + 111111111111111111111111111110111111111111111111101111111111111111* L007356 111111111111111111111111111111111111111111111111111111111111111111* L007422 000000000000000000000000000000000000000000000000000000000000000000* @@ -214,7 +214,7 @@ L007488 000000000000000000000000000000000000000000000000000000000000000000* L007554 000000000000000000000000000000000000000000000000000000000000000000* L007620 000000000000000000000000000000000000000000000000000000000000000000* L007686 000000000000000000000000000000000000000000000000000000000000000000* -L007752 110111111111111111111111111111111111111111111111111111111111111111* +L007752 111111111111111111111111111101111111111111111111111111111111111111* L007818 000000000000000000000000000000000000000000000000000000000000000000* L007884 000000000000000000000000000000000000000000000000000000000000000000* L007950 000000000000000000000000000000000000000000000000000000000000000000* @@ -226,78 +226,78 @@ L008214 111111111111111111111111111111111111111111111111111111111111111111* L008280 111111111111111111111111111111111111111111111111111111111111111111* L008346 111111111111111111111111111111111111111111111111111111111111111111* L008412 111111111111111111111111111111111111111111111111111111111111111111* -L008478 011111011111111111011111111101111111111110011011111111111111111111* -L008544 101111011111111111101111111101111111111110011011111111111111111111* -L008610 011111101111111111011111111110111111111110011011111111111111111111* -L008676 101111101111111111101111111110111111111110011011111111111111111111* -L008742 111111111111111111111111111111111111111111011011111111101111111111* +L008478 011111111011110110111111111111111101111111011111011111111111111111* +L008544 101111111011111010111111111111111101111111011111011111111111111111* +L008610 011111111011110110111111111111111110111111101111011111111111111111* +L008676 101111111011111010111111111111111110111111101111011111111111111111* +L008742 111111111011111111111111111111111111111111111111011111101111111111* L008808 111111111111111111111111111111111111111111111111111111111111111111* -L008874 101111011111111111101111111101111111111110011011111111111111111111* -L008940 101111101111111111101111111110111111111110011011111111111111111111* -L009006 101111101111111111101111111110111111111101010111111111111111111111* -L009072 101111011111111111101111111101111111111101010111111111111111111111* -L009138 101111111111111111111111111111111111111111011111111111111011111111* -L009204 111111111111111111101111111111111111111111011111111111111011111111* -L009270 111111101111111111111111111101111111111111011111111111111011111111* -L009336 111111011111111111111111111110111111111111011111111111111011111111* -L009402 111111111111111111111111111111111111111101011011111111111011111111* -L009468 111111111111111111111111111111111111111110010111111111111011111111* +L008874 101111111111110111111111111111111111111111111111011111101111111111* +L008940 011111111111111011111111111111111111111111111111011111101111111111* +L009006 111111111111111111111111111111111101111111101111011111101111111111* +L009072 111111111111111111111111111111111110111111011111011111101111111111* +L009138 111111111111111110111111111111111111111111111111011111101111111111* +L009204 101111111011111010111111111111111101111111011111011111111111111111* +L009270 101111111011111010111111111111111110111111101111011111111111111111* +L009336 101111110111111001111111111111111110111111101111011111111111111111* +L009402 101111110111111001111111111111111101111111011111011111111111111111* +L009468 101111111111111111111111111111111111111111111111011111111011111111* L009534 111111111111111111111111111111111111111111111111111111111111111111* -L009600 101111111111111111011111111111111111111111011111111111101111111111* -L009666 011111111111111111101111111111111111111111011111111111101111111111* -L009732 111111101111111111111111111101111111111111011111111111101111111111* -L009798 111111011111111111111111111110111111111111011111111111101111111111* -L009864 111111111111111111111111111111111111111110011111111111101111111111* -L009930 111111111111111111111111111111111111111111101111111111111111111111* -L009996 111111111111111111111111111111111111111111111101111111111111111111* -L010062 111111111011111111111101111111111111111111111111111111111111111111* -L010128 111111111111111111111111111111011111111111111111110111111111111111* -L010194 111111111111111111111111111111101111111111111111111011111111111111* +L009600 111111111111111011111111111111111111111111111111011111111011111111* +L009666 111111111111111111111111111111111101111111101111011111111011111111* +L009732 111111111111111111111111111111111110111111011111011111111011111111* +L009798 111111111011111101111111111111111111111111111111011111111011111111* +L009864 111111110111111110111111111111111111111111111111011111111011111111* +L009930 111111111111111111111111110111111111111111111101011111111111111111* +L009996 111111111111111111111011111111111111111111111101011111111111111111* +L010062 111101111101111111101110111011111111111111111110011111111111111111* +L010128 000000000000000000000000000000000000000000000000000000000000000000* +L010194 000000000000000000000000000000000000000000000000000000000000000000* L010260 - 111111111110111111111111110111111111111111111110111111111111111111* + 111111111110111111111111111111111111011110111111111111111111111111* L010326 000000000000000000000000000000000000000000000000000000000000000000* -L010392 111101111111111111111111111111111111111111111111111111111111111111* -L010458 111111111111111101111111111111111111111111111111011111111111111111* -L010524 000000000000000000000000000000000000000000000000000000000000000000* -L010590 000000000000000000000000000000000000000000000000000000000000000000* -L010656 011111101111111111011111111110111111111110011011111111111111111111* -L010722 101111101111111111101111111110111111111110011011111111111111111111* -L010788 011111101111111111011111111110111111111101010111111111111111111111* -L010854 101111101111111111101111111110111111111101010111111111111111111111* -L010920 111111101111111111111111111111111011111111011111111111111111111111* +L010392 111111111111111111111111111111111111111111111111111111111111111111* +L010458 111111111111111111111111111111111111111111111111111111111111111111* +L010524 111111111111111111111111111111111111111111111111111111111111111111* +L010590 111111111111111111111111111111111111111111111111111111111111111111* +L010656 011111111011110110111111111111111110111111101111011111111111111111* +L010722 101111111011111010111111111111111110111111101111011111111111111111* +L010788 011111110111110101111111111111111110111111101111011111111111111111* +L010854 101111110111111001111111111111111110111111101111011111111111111111* +L010920 111111111111101111111111111111111111111111101111011111111111111111* L010986 - 111111111110111111111111110111111111111111111110111111111111111111* -L011052 111110111011111110111110111111101111111111011110110111111111111111* -L011118 111110111011111110111110111111011111111111011110111011111111111111* -L011184 111110111111111110111111011111101111111111011110110111111111111111* -L011250 111110111111111110111111011111011111111111011110111011111111111111* -L011316 111110111011111111111110111111101111111111011110100111111111111111* -L011382 101111111111111111011111111111111011111111011111111111111111111111* -L011448 011111111111111111101111111111111011111111011111111111111111111111* -L011514 111111111111111111111111111110111011111111011111111111111111111111* -L011580 111111111111111111111111111111111011111101011011111111111111111111* -L011646 111111111111111111111111111111111011111110010111111111111111111111* + 111111111110111111111111111111111111011110111111111111111111111111* +L011052 101111111111100111111111111111111111111111111111011111111111111111* +L011118 011111111111101011111111111111111111111111111111011111111111111111* +L011184 111111111111101111111111111111111110111111111111011111111111111111* +L011250 111111111011101101111111111111111111111111111111011111111111111111* +L011316 111111110111101110111111111111111111111111111111011111111111111111* +L011382 111111111111111111111111011011111111111111111111111111111111111111* +L011448 111111111111111111110111011111111111111111111111111111111111111111* +L011514 111111111111111111111011100111111111111111111111111111111111111111* +L011580 000000000000000000000000000000000000000000000000000000000000000000* +L011646 000000000000000000000000000000000000000000000000000000000000000000* L011712 - 111111111110111111111111110111111111111111111110111111111111111111* + 111111111110111111111111111111111111011110111111111111111111111111* L011778 000000000000000000000000000000000000000000000000000000000000000000* -L011844 111110111011111111111110111111011111111111011110101011111111111111* -L011910 111110111111111111111111011111101111111111011110100111111111111111* -L011976 111110111111111111111111011111011111111111011110101011111111111111* -L012042 000000000000000000000000000000000000000000000000000000000000000000* -L012108 111111111111111111111111111111111111111111101111111111111111111111* -L012174 111111111111111111111111111111111111111111111101111111111111111111* -L012240 111111111111111111111111111111011111111111111111110111111111111111* -L012306 111111111111111111111111111111101111111111111111111011111111111111* -L012372 111101111111111111111111111111111111111111111111111111111111111111* +L011844 111111111111111111111111111111111111111111111111111111111111111111* +L011910 111111111111111111111111111111111111111111111111111111111111111111* +L011976 111111111111111111111111111111111111111111111111111111111111111111* +L012042 111111111111111111111111111111111111111111111111111111111111111111* +L012108 111111011111111111111111111111111111111111111111111111111111111111* +L012174 111111111111111111111111111111111111111111111111111111111111111111* +L012240 111111111111111111111111111111111111111111111111111111111111111111* +L012306 111111111111111111111111111111111111111111111111111111111111111111* +L012372 111111111111111111111111111111111111111111111111111111111111111111* L012438 - 111111111111111111111111111011111111111111111111111111111111111111* -L012504 101111111111111111111111111111111111111111011111111111111111111111* -L012570 111111111111111101111111111111111111111111111111011111111111111111* -L012636 111111111011101111110111111111111111111111111111111111111111111111* -L012702 111111110111101111111101011111111111111111111111111111111111111111* -L012768 111111111111101111110111101111111111111111111111111111111111111111* + 111111111111111111111111111111111111101111111111111111111111111111* +L012504 111111111111111111010111111011111111110111111111011111111111111111* +L012570 111111111111111111011111111111111111111111111111011111111111111111* +L012636 111101111101111111101110111011111111111011111111011111111111111111* +L012702 111101111101111111101010111011111111111111111111011111111111111111* +L012768 000000000000000000000000000000000000000000000000000000000000000000* L012834 111111111111111111111111111111111111111111111111111111111111111111* L012900 111111111111111111111111111111111111111111111111111111111111111111* L012966 111111111111111111111111111111111111111111111111111111111111111111* @@ -311,94 +311,94 @@ L013300 00100011111000* L013314 00100110011111* L013328 00010011110101* L013342 11011111111111* -L013356 11010110011000* +L013356 11100110011000* L013370 11100110011111* -L013384 10000110011100* +L013384 10110110011100* L013398 11111011111110* -L013412 00000011110000* +L013412 00110011110000* L013426 11100110010011* -L013440 10100110010111* -L013454 11001011110011* -L013468 00001111110000* -L013482 10100110010010* -L013496 01110110010011* +L013440 10110110010111* +L013454 11111011110011* +L013468 00111111110000* +L013482 00000110010010* +L013496 00100110010011* L013510 11100011111111* NOTE BLOCK 2 * L013524 - 011111111111110111111111111111111111111111111111111111111111111111 - 111111111101111111111111111111111111011111111001111111111111111111 - 111111111111101111011111111111111111111111111111111111111111111111 - 111001111111111110111111111111111111111111111111111111111111111111 + 111111111011111111011111111111111111111111111111111111111111011011 + 111111111101111111111111110111111111111111111111111111111111111111 + 111111111111101111111111111111111111011111111011111111111111111111 + 101001111111111111111111111111111111111111111110111111101111111110 111111111111111111111111111111111111111111111111111111111111111111 111111111111111111111111011111111111111111111111111111111111111111 - 111111110111111111111101111111111011111111111111110111111111011111 - 111111101111111111111111111011111111111110111111111111111111111111 - 111111111111111111111111111111111110111111101111111111111111111111* + 111111011111111111111101111111111001111111111111011111111111111111 + 111111111111111101111111111110111111111110111111111111111111111111 + 111111111111111111111111111111111111111111101111111110111111111111* L014118 - 111111111110111111111111111111111111111110111101111111111111111111* + 111111011110111111111111111111111111111110111111111111111111111111* L014184 000000000000000000000000000000000000000000000000000000000000000000* L014250 000000000000000000000000000000000000000000000000000000000000000000* L014316 000000000000000000000000000000000000000000000000000000000000000000* L014382 000000000000000000000000000000000000000000000000000000000000000000* L014448 000000000000000000000000000000000000000000000000000000000000000000* -L014514 111111111111111111111111111111111111111110111011111111111111111111* +L014514 111111111111111111111111111111111111111110111111111111111111111011* L014580 000000000000000000000000000000000000000000000000000000000000000000* L014646 000000000000000000000000000000000000000000000000000000000000000000* L014712 000000000000000000000000000000000000000000000000000000000000000000* L014778 000000000000000000000000000000000000000000000000000000000000000000* L014844 - 111111111110111111111111111111111111111110111101111111111111111111* -L014910 111110111111111111111111111111111001111111011111111111111111111111* -L014976 111011011101111111111111111111111001111101011111111111111111101111* -L015042 111111011101111111111111011111111001111101011111111111111111101111* -L015108 111111010101111111111111111111111001111101011111111111111111101111* -L015174 111111011101111110111111111111111001111101011111111111111111101111* + 111111011110111111111111111111111111111110111111111111111111111111* +L014910 111111111111111111111111111110111111111101011111111111111111111111* +L014976 111110111111111111111111111111111111111101011111111111111111111111* +L015042 111111111111111110111111111111111111111110011111101111111111111111* +L015108 000000000000000000000000000000000000000000000000000000000000000000* +L015174 000000000000000000000000000000000000000000000000000000000000000000* L015240 111111111111111111111111111111111111111111111111111111111111111111* L015306 111111111111111111111111111111111111111111111111111111111111111111* L015372 111111111111111111111111111111111111111111111111111111111111111111* L015438 111111111111111111111111111111111111111111111111111111111111111111* L015504 111111111111111111111111111111111111111111111111111111111111111111* L015570 - 111111111110111111111111111111111111111110111101111111111111111111* + 111111011110111111111111111111111111111110111111111111111111111111* L015636 000000000000000000000000000000000000000000000000000000000000000000* -L015702 111111011101111111111101111111111001111101011111111111111111101111* -L015768 111111011101101111111111111111111001111101011111111111111111101111* -L015834 000000000000000000000000000000000000000000000000000000000000000000* -L015900 000000000000000000000000000000000000000000000000000000000000000000* +L015702 111111111111111111111111111111111111111111111111111111111111111111* +L015768 111111111111111111111111111111111111111111111111111111111111111111* +L015834 111111111111111111111111111111111111111111111111111111111111111111* +L015900 111111111111111111111111111111111111111111111111111111111111111111* L015966 000000000000000000000000000000000000000000000000000000000000000000* L016032 111111111111111111111111111111111111111111111111111111111111111111* L016098 111111111111111111111111111111111111111111111111111111111111111111* L016164 111111111111111111111111111111111111111111111111111111111111111111* L016230 111111111111111111111111111111111111111111111111111111111111111111* L016296 - 111111111110111111111111111111111111111110111101111111111111111111* -L016362 111111111111111111011111111011111111111111011111111111111111111111* -L016428 111111111111111111011111111111111111111111011111110111111111111111* -L016494 111111111111111111101111110111111111111111011111111011111111111111* -L016560 111111111111110111011111111111111111011111011111111111111111111111* -L016626 000000000000000000000000000000000000000000000000000000000000000000* -L016692 111111111111111111111111111111111111111111111111111111111111111111* -L016758 111111111111111111111111111111111111111111111111111111111111111111* -L016824 111111111111111111111111111111111111111111111111111111111111111111* -L016890 111111111111111111111111111111111111111111111111111111111111111111* -L016956 111111111111111111111111111111111111111111111111111111111111111111* + 111111011110111111111111111111111111111110111111111111111111111111* +L016362 111111111011111110111111111011111111111110011110111110111111111101* +L016428 111111111011111110111111111011111111111110011101111110111111111110* +L016494 111111111111111110111111111111111111011110011110111110111111111101* +L016560 111111111111111110111111111111111111011110011101111110111111111110* +L016626 111111111011111111111111111011111111111110011110101110111111111101* +L016692 111111111011111111111111111011111111111110011101101110111111111110* +L016758 111111111111111111111111111111111111011110011110101110111111111101* +L016824 111111111111111111111111111111111111011110011101101110111111111110* +L016890 000000000000000000000000000000000000000000000000000000000000000000* +L016956 000000000000000000000000000000000000000000000000000000000000000000* L017022 - 111111111110111111111111111111111111111110111101111111111111111111* + 111111011110111111111111111111111111111110111111111111111111111111* L017088 000000000000000000000000000000000000000000000000000000000000000000* L017154 111111111111111111111111111111111111111111111111111111111111111111* L017220 111111111111111111111111111111111111111111111111111111111111111111* L017286 111111111111111111111111111111111111111111111111111111111111111111* L017352 111111111111111111111111111111111111111111111111111111111111111111* -L017418 111111111111111111111111111111111111111111011101111111111111111111* -L017484 111111111111110111011111110111111111011111011111111011111111111111* -L017550 000000000000000000000000000000000000000000000000000000000000000000* -L017616 000000000000000000000000000000000000000000000000000000000000000000* -L017682 000000000000000000000000000000000000000000000000000000000000000000* +L017418 111111111111111111111111111111111111111111101111111111111111111111* +L017484 111111111111111111111111111111111111111101111111111111111111111111* +L017550 111111111011111111111111110111111111111111111111111111111111111111* +L017616 111111111111111111111111111111111111111111111101111111111111111101* +L017682 111111111111111111111111111111111111111111111110111111111111111110* L017748 111111111111111111111111111111111111111111111111111111111111111111* -L017814 111111111111110111011111110111111111111111011111111011111111111111* -L017880 111111111111111111111111111111111111011111011111111111111111111111* -L017946 000000000000000000000000000000000000000000000000000000000000000000* +L017814 111111111111111111111111111111111111111111011011111111111111111111* +L017880 111111111111111111111111111111111111111111111111111101111111111111* +L017946 111111111111111101111111111111111111111111111111011111111111111111* L018012 000000000000000000000000000000000000000000000000000000000000000000* L018078 000000000000000000000000000000000000000000000000000000000000000000* L018144 111111111111111111111111111111111111111111111111111111111111111111* @@ -409,22 +409,22 @@ L018408 111111111111111111111111111111111111111111111111111111111111111111* L018474 000000000000000000000000000000000000000000000000000000000000000000* L018540 000000000000000000000000000000000000000000000000000000000000000000* -L018606 111111111111111111111111111111111111111111111111111111111111111111* -L018672 111111111111111111111111111111111111111111111111111111111111111111* -L018738 111111111111111111111111111111111111111111111111111111111111111111* -L018804 111111111111111111111111111111111111111111111111111111111111111111* -L018870 111110111101111111111111110111111111111111011111111011111111101111* -L018936 011111111111111111111111110111111101111111011111111111111111011111* -L019002 011111111111111111111111111111111101111111011111111011111111011111* +L018606 111111111111111111111111111111111111111111101111111111111111111111* +L018672 111111111111111111111111111111110111111111111111111111111111111111* +L018738 111111111110111111111111111111111111111111111111111111111111011111* +L018804 110111111111011111111110101111111110111111111111111111011111011111* +L018870 111111111111111111111111111111111111111110111111111111111111011111* +L018936 111111111111111111111111111110111111111111111111111111111111011111* +L019002 111111111111111111011111111111111111111111111111111111111111011111* L019068 000000000000000000000000000000000000000000000000000000000000000000* L019134 000000000000000000000000000000000000000000000000000000000000000000* L019200 000000000000000000000000000000000000000000000000000000000000000000* -L019266 111111111111110111111111111111111111111111011111111111111111111111* -L019332 111111111111111111011111110111111111101111011111111011111111111111* -L019398 111111111111111011011111110111111111111111011111111011111111111111* -L019464 000000000000000000000000000000000000000000000000000000000000000000* -L019530 000000000000000000000000000000000000000000000000000000000000000000* +L019266 101111111111111111111111111111111111111111011111111111111111111111* +L019332 111111111111111111111111111111111111111111111111111111111111111111* +L019398 111111111111111111111111111111111111111111111111111111111111111111* +L019464 111111111111111111111111111111111111111111111111111111111111111111* +L019530 111111111111111111111111111111111111111111111111111111111111111111* L019596 111111111111111111111111111111111111111111111111111111111111111111* L019662 111111111111111111111111111111111111111111111111111111111111111111* L019728 111111111111111111111111111111111111111111111111111111111111111111* @@ -438,46 +438,46 @@ L020062 00100011110000* L020076 01101111110011* L020090 11100110011100* L020104 11101111110010* -L020118 00001011110000* +L020118 00111011110000* L020132 00000011110011* L020146 10100110010110* -L020160 11100011110010* +L020160 11110011110010* L020174 00111111110001* L020188 10100110010011* -L020202 10100110011110* +L020202 01110110011110* L020216 11100011111111* -L020230 00111011111001* +L020230 00011011111001* L020244 10100110010011* -L020258 00100110010000* +L020258 01010110010000* L020272 11101111111111* NOTE BLOCK 3 * L020286 - 111111011111111111111101111111111110111111111111111111111111111111 - 111111111101111111111011110101111111111111111111111111111111111111 - 111111111011111111111111111111101111111110111110111111111111111111 - 111111111111111111111111111111111111111111110111111111111111111110 - 111111111111111111111111111111111111111111111111111111111111111111 - 110111111111111111111111111111111111111111111111111111111111111111 - 111111111111110110111111111111111111111111111111111111111111111111 - 101111111111111111111111111111110111011111111111111111101111111111 - 111101111111111111111111111111111111111111101111111101110111111111* + 111111111111111111100101111111111111111111111111111111111111111111 + 111111110101111111111111101111111111111111111111011011111111111111 + 111101101111111111111111111111111111101111111110111111111111111110 + 111111111111111111111111111110111111111111111111111111111111111111 + 111111111111111111111111111111101111111111111111111111111111111111 + 111111111111111111111111111111111111111101111111111111111101111111 + 111111111111110110111111111111111111111111111111111111111111011111 + 101111111111111111111111111011110111111111111111111111111111111111 + 110111111111111111111111111111111101111111101111111111110111111111* L020880 111111111111111111111111111111111111111111111111111111111111111111* -L020946 111111111111111111111111111111111111111111101111111110111111111111* -L021012 111011111111110111111011111111111111111111111111111110101011111110* -L021078 111011111111111011111011111111111111101111011111111101010111111101* +L020946 111011111111111111111111111111111111111111101111111111111111111111* +L021012 111011111111111011110111101011111111111111111110101111111111111111* +L021078 110111111111111011111011010111101111111111011110011111111111111111* L021144 000000000000000000000000000000000000000000000000000000000000000000* L021210 000000000000000000000000000000000000000000000000000000000000000000* -L021276 111111111101110101111111111110111111111111011111111111111111111111* -L021342 111110111111111111111111111110111111111111011111111111111111111111* +L021276 111111111001111101110111111111111111111111011111111111111111111111* +L021342 111111111011111111111111111111111110111111011111111111111111111111* L021408 000000000000000000000000000000000000000000000000000000000000000000* L021474 000000000000000000000000000000000000000000000000000000000000000000* L021540 000000000000000000000000000000000000000000000000000000000000000000* L021606 111111111111111111111111111111111111111111111111111111111111111111* -L021672 111111111111111111111111111111111111111111111111111111100111111111* -L021738 111111111111110111111111111111111111111111111111111111110111111111* -L021804 111111111111111011111111111111111111111111111111111111011011111111* +L021672 111111111111111110111111111111111111111111011111111111111011111111* +L021738 111101111111111111110111111011111111111111011111111111111111111111* +L021804 000000000000000000000000000000000000000000000000000000000000000000* L021870 000000000000000000000000000000000000000000000000000000000000000000* L021936 000000000000000000000000000000000000000000000000000000000000000000* L022002 111111111111111111111111111111111111111111111111111111111111111111* @@ -487,8 +487,8 @@ L022200 111111111111111111111111111111111111111111111111111111111111111111* L022266 111111111111111111111111111111111111111111111111111111111111111111* L022332 111111111111111111111111111111111111111111111111111111111111111111* -L022398 011111111111111111111111111111111111111111110111111111111111111111* -L022464 101111111111111111111111111111111111111111111101111111111111111111* +L022398 011111111111111111111101111111111111111111111111111111111111111111* +L022464 101111111111111111111111111111111111111111111111111111111111111101* L022530 000000000000000000000000000000000000000000000000000000000000000000* L022596 000000000000000000000000000000000000000000000000000000000000000000* L022662 000000000000000000000000000000000000000000000000000000000000000000* @@ -498,10 +498,10 @@ L022860 111111111111111111111111111111111111111111111111111111111111111111* L022926 111111111111111111111111111111111111111111111111111111111111111111* L022992 111111111111111111111111111111111111111111111111111111111111111111* L023058 - 011111111111111111111111110111111111111111111111111111111111111111* -L023124 111111111111111111111110111111111011111111011111111111111111111111* -L023190 111111111111111111111101111111101111111111011111111111111111111111* -L023256 000000000000000000000000000000000000000000000000000000000000000000* + 011111111111111111111111111111111111111111111111111111111111011111* +L023124 111111111111111110111111111111110111111111011111111111111111111111* +L023190 111111111111111111010111111011111111111111011111111111111111111111* +L023256 111101111111111111110111111011111111111111011111110111111111111111* L023322 000000000000000000000000000000000000000000000000000000000000000000* L023388 000000000000000000000000000000000000000000000000000000000000000000* L023454 111111111111111111111111111111111111111111111111111111111111111111* @@ -510,24 +510,24 @@ L023586 111111111111111111111111111111111111111111111111111111111111111111* L023652 111111111111111111111111111111111111111111111111111111111111111111* L023718 111111111111111111111111111111111111111111111111111111111111111111* L023784 - 011111111111111111111111110111111111111111111111111111111111111111* -L023850 111111111111111111111111111111111011111101111111111111111111111111* + 011111111111111111111111111111111111111111111111111111111111011111* +L023850 111111111111111111111111111111110111111110111111111111111111111111* L023916 111111111111111111111111111111111111111111111111111111111111111111* L023982 111111111111111111111111111111111111111111111111111111111111111111* L024048 111111111111111111111111111111111111111111111111111111111111111111* L024114 111111111111111111111111111111111111111111111111111111111111111111* -L024180 111111011111111111111111111111111111111111111111111111111111111111* -L024246 111111111111111111111111111111111111111111111111111111111111111111* -L024312 111111111111111111111111111111111111111111111111111111111111111111* -L024378 111111111111111111111111111111111111111111111111111111111111111111* -L024444 111111111111111111111111111111111111111111111111111111111111111111* +L024180 111111111111110111111111111111111111111111111110101111111111111111* +L024246 111111111111110111111111111011111111111111111111111111111111111111* +L024312 111111111111110111110111111111111111111111111111111111111111111111* +L024378 111111111111111111111011010111111111111111111101011111111111111111* +L024444 000000000000000000000000000000000000000000000000000000000000000000* L024510 000000000000000000000000000000000000000000000000000000000000000000* -L024576 111111111011111111111111111111111111111111011111111111111111111111* -L024642 111111111111111111111111111111111111111111111111111111111111111111* -L024708 111111111111111111111111111111111111111111111111111111111111111111* -L024774 111111111111111111111111111111111111111111111111111111111111111111* -L024840 111111111111111111111111111111111111111111111111111111111111111111* +L024576 111110111111111111111111111111111111111110011111111111111111111111* +L024642 111101111111111111111111111111111111101111011111111111111111111111* +L024708 000000000000000000000000000000000000000000000000000000000000000000* +L024774 000000000000000000000000000000000000000000000000000000000000000000* +L024840 000000000000000000000000000000000000000000000000000000000000000000* L024906 111111111111111111111111111111111111111111111111111111111111111111* L024972 111111111111111111111111111111111111111111111111111111111111111111* L025038 111111111111111111111111111111111111111111111111111111111111111111* @@ -535,19 +535,19 @@ L025104 111111111111111111111111111111111111111111111111111111111111111111* L025170 111111111111111111111111111111111111111111111111111111111111111111* L025236 111111111111111111111111111111111111111111111111111111111111111111* -L025302 111111111111111111111111111111111110111101111111111111111111111111* +L025302 111111111111111111111111111110110111111111111111111111111111111111* L025368 111111111111111111111111111111111111111111111111111111111111111111* L025434 111111111111111111111111111111111111111111111111111111111111111111* L025500 111111111111111111111111111111111111111111111111111111111111111111* L025566 111111111111111111111111111111111111111111111111111111111111111111* -L025632 110111111111111111111111111111111111111111111111111111111011111111* -L025698 110111111111111111111111111111111111111111111111111111101111111111* -L025764 110111111111110111111111111111111111111111111111111111111111111111* -L025830 111111111111111011110111111111111111111111111111111111010111111101* +L025632 111101111111111111110111111011111111111111011111111111111111111111* +L025698 111111111111111111111111111011111111111111011111111111111101111111* +L025764 111111111111111111110111111111111111111111011111111111111101111111* +L025830 000000000000000000000000000000000000000000000000000000000000000000* L025896 000000000000000000000000000000000000000000000000000000000000000000* L025962 000000000000000000000000000000000000000000000000000000000000000000* -L026028 111111111111111111111111111111111111111111111111111111111111111111* +L026028 111111101111111111111111111111111111111111011111111111111111111111* L026094 111111111111111111111111111111111111111111111111111111111111111111* L026160 111111111111111111111111111111111111111111111111111111111111111111* L026226 111111111111111111111111111111111111111111111111111111111111111111* @@ -563,33 +563,33 @@ L026688 L026820 0010* L026824 10100111010000* L026838 11100110011110* -L026852 10100110010100* +L026852 11100110010100* L026866 11100011111111* L026880 10101111111001* L026894 00001011111111* -L026908 11100110010100* +L026908 10100110010100* L026922 11101011110011* L026936 01110011110010* -L026950 00000110010010* -L026964 01010110010001* +L026950 10100110010010* +L026964 11100110010001* L026978 11101011110011* L026992 01111111111010* L027006 10100110011110* -L027020 11010011110001* -L027034 11111011110011* +L027020 01010110010001* +L027034 11100011110011* NOTE BLOCK 4 * L027048 - 111111111111111111111011111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111011101110111110111111111 - 111111111101111111111111110110111111111111110111101111111111111011 - 111011011111111110111111111111111101111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111011111110111110111111111 + 111111110101111111111111111111111111111111110111101111111111111111 + 111011111111111111111101111011111101111111111111111111111111111111 111111111111110111111111111111111111111111111111111111111111111111 - 111111111111111111111111011111111111111111111111111111111111111101 - 111111110111101111111111111111111011111111111111111101111111111111 - 111111111111111111111111111111011111111010111111111111111101111111 - 101110111111111111101101111111111111101111111111111111111111111111* + 111111111111111111111111011111111111011111111111111111111111111111 + 111111111111101111111111111110111011111111111111111101111111010111 + 111111111111111111111111111111011111111010111111111111101101111111 + 101110011111111101101111111111111111111111111111111111111111111110* L027642 - 110111111011111101111101101111111111111011111111011110111111111101* + 110111111111111101111111100111111111011011111111011110111111111011* L027708 000000000000000000000000000000000000000000000000000000000000000000* L027774 000000000000000000000000000000000000000000000000000000000000000000* L027840 000000000000000000000000000000000000000000000000000000000000000000* @@ -601,7 +601,7 @@ L028170 000000000000000000000000000000000000000000000000000000000000000000* L028236 000000000000000000000000000000000000000000000000000000000000000000* L028302 000000000000000000000000000000000000000000000000000000000000000000* L028368 - 111111111111111111111111111111111111111101111101111111111111111111* + 111111111111111111111111111111111111111101111111111111111111011111* L028434 111111111111111111111111111111111111111111111111111111111111111111* L028500 111111111111111111111111111111111111111111111111111111111111111111* L028566 111111111111111111111111111111111111111111111111111111111111111111* @@ -614,7 +614,7 @@ L028962 111111111111111111111111111111111111111111111111111111111111111111* L029028 111111111111111111111111111111111111111111111111111111111111111111* L029094 000000000000000000000000000000000000000000000000000000000000000000* -L029160 111111111111111111111111111110111111111011111111111111111111111111* +L029160 111111101111111111111111111111111111111011111111111111111111111111* L029226 111111111111111111111111111111111111111111111111111111111111111111* L029292 111111111111111111111111111111111111111111111111111111111111111111* L029358 111111111111111111111111111111111111111111111111111111111111111111* @@ -643,11 +643,11 @@ L030678 111111111111111111111111111111111111111111111111111111111111111111* L030744 111111111111111111111111111111111111111111111111111111111111111111* L030810 111111111111111111111111111111111111111111111111111111111111111111* L030876 111111111111111111111111111111111111111111111111111111111111111111* -L030942 011111111111111111111111111111111111111111111111111111111111111011* -L031008 111111111111111111111111111111111111111111111111111111111111111111* -L031074 111111111111111111111111111111111111111111111111111111111111111111* -L031140 111111111111111111111111111111111111111111111111111111111111111111* -L031206 111111111111111111111111111111111111111111111111111111111111111111* +L030942 111111111010111011011110111111011010111111111011111011111001111101* +L031008 111111111111111111111111111111111111111111011111111111111111111111* +L031074 000000000000000000000000000000000000000000000000000000000000000000* +L031140 000000000000000000000000000000000000000000000000000000000000000000* +L031206 000000000000000000000000000000000000000000000000000000000000000000* L031272 000000000000000000000000000000000000000000000000000000000000000000* L031338 111111111111111111111111111111111111111111111111111111111111111111* @@ -661,13 +661,13 @@ L031800 111111111111111111111111111111111111111111111111111111111111111111* L031866 111111111111111111111111111111111111111111111111111111111111111111* L031932 111111111111111111111111111111111111111111111111111111111111111111* L031998 - 111111111111111111110111111111111111111111111111111111111111111111* -L032064 111111101110111011011111111011011010011111111011111011111001111111* + 111111111111111111111111111101111111111111111111111111111111111111* +L032064 111111111010111011011110111111011010111111111011111011111001111101* L032130 111111111111111111111111111111111111111111111111111111111111111111* L032196 111111111111111111111111111111111111111111111111111111111111111111* L032262 111111111111111111111111111111111111111111111111111111111111111111* L032328 111111111111111111111111111111111111111111111111111111111111111111* -L032394 111111101110111011011111111011011010011111111011111011111001111111* +L032394 111111111111111111111111111111111111111111111111111111011111111111* L032460 111111111111111111111111111111111111111111111111111111111111111111* L032526 111111111111111111111111111111111111111111111111111111111111111111* L032592 111111111111111111111111111111111111111111111111111111111111111111* @@ -697,39 +697,39 @@ L033656 01000110011111* L033670 11010111110000* L033684 11110011111111* L033698 01110110010000* -L033712 01000110011110* -L033726 11010111110000* -L033740 11111111111111* -L033754 00110011110001* -L033768 01001011111111* +L033712 10100111111110* +L033726 11011111110000* +L033740 11110011111111* +L033754 00111011110001* +L033768 00000110011111* L033782 11010111111100* L033796 11111111111111* NOTE BLOCK 5 * L033810 - 111111111111101111111101111111111110111111111111111111111111111111 - 101111111111111111111111111111111111111111111111111011111111111111 - 111110111111111111111111111110111111101111111111111111111111111111 - 111111111111111111111111111111101111111111111111111111111110111111 - 111111111110111111111011111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111011111101111111111 - 111111111111110110011111111111111111111111111111111111111111111111 - 111111111011111111111111111111111111111110111111111111111111111111 - 111011111111111111111111111111111011111111101111111111111111111111* + 111011111011111111111111111111111111111111111111111111111111111111 + 111111111111111111111111110111111110111111111111111111111111111111 + 111110111111111111011101111111101111111111111111111111111111111111 + 111111111111111111111111111110111111111111111010111111111111111111 + 111111101110111111111111111111111111111111111111111111111111111111 + 111111111111101111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111101111011111111111111111 + 111111111111111101111111111111111111111110111111111110111111111111 + 101111111111111111110111111111111011111111111111111111111111111111* L034404 000000000000000000000000000000000000000000000000000000000000000000* -L034470 111111111101111111111011111111111011111110011111111111111111111111* -L034536 111111110101111111111111111111111011111110011111111111111111111111* -L034602 111111111010110111110111111111111011111110011111111111111111111111* -L034668 111111111101111011111111111111111011111110011111111111111111111111* -L034734 000000000000000000000000000000000000000000000000000000000000000000* -L034800 111111110111111111110111111111111011111110011111111111111111111111* -L034866 111111111011110111111011111111111011111110011111111111111111111111* -L034932 111111111111111011110111111111111011111110011111111111111111111111* -L034998 000000000000000000000000000000000000000000000000000000000000000000* -L035064 000000000000000000000000000000000000000000000000000000000000000000* +L034470 101111111111111111111111111111111111111111111111111111111111111111* +L034536 111111111111111111111111111111111111111101111111111111111111111111* +L034602 111111111111111111111111111111111111111111110101111111111111111111* +L034668 111111111111111111111111111111111111111111111010111111111111111111* +L034734 111111111111111111111111111111110111111111111111111111111111111111* +L034800 011111111111111111111011111111111111111111111111111111111111111111* +L034866 111111111111111101111111111111111111111111111111011111111111111111* +L034932 111111111001111111111111111111111111111111101111111111111111111111* +L034998 111111110111111111011111110111111111111111101111111111111111111111* +L035064 111111111101111111101111111111111111111111101111111111111111111111* L035130 000000000000000000000000000000000000000000000000000000000000000000* -L035196 111111111111111111111111111111101111111111111111111111111111111111* +L035196 111111111111111111111111111111111111111111111111111111111111111111* L035262 111111111111111111111111111111111111111111111111111111111111111111* L035328 111111111111111111111111111111111111111111111111111111111111111111* L035394 111111111111111111111111111111111111111111111111111111111111111111* @@ -741,19 +741,19 @@ L035724 111111111111111111111111111111111111111111111111111111111111111111* L035790 111111111111111111111111111111111111111111111111111111111111111111* L035856 000000000000000000000000000000000000000000000000000000000000000000* -L035922 111111110111111011111111111111111111111111011111011111111111111111* -L035988 110111110111111111111111111111111111111111011111111111111101111111* -L036054 110111111111111011111111111111111111111111011111111111111101111111* +L035922 101111111111111111111111111111111111111111111111111111111111111111* +L035988 111111111111111111111110111101111111111111111111111111111111111111* +L036054 111111111111101111111101111111101101111111111111111111111111111111* L036120 000000000000000000000000000000000000000000000000000000000000000000* L036186 000000000000000000000000000000000000000000000000000000000000000000* -L036252 111111111011110111111101111111111111111111011111111111111111111111* -L036318 110111111111111110111111111110111111111111011111111111111111111111* -L036384 000000000000000000000000000000000000000000000000000000000000000000* -L036450 000000000000000000000000000000000000000000000000000000000000000000* -L036516 000000000000000000000000000000000000000000000000000000000000000000* +L036252 111111111111111111111111111111111111111111111111111111111111111111* +L036318 111111111111111111111111111111111111111111111111111111111111111111* +L036384 111111111111111111111111111111111111111111111111111111111111111111* +L036450 111111111111111111111111111111111111111111111111111111111111111111* +L036516 111111111111111111111111111111111111111111111111111111111111111111* L036582 000000000000000000000000000000000000000000000000000000000000000000* -L036648 111111111111111111101111111111111111111111011111111111111111111111* +L036648 111111111111111111111111111111111111111111111111111111111111111111* L036714 111111111111111111111111111111111111111111111111111111111111111111* L036780 111111111111111111111111111111111111111111111111111111111111111111* L036846 111111111111111111111111111111111111111111111111111111111111111111* @@ -765,12 +765,12 @@ L037176 111111111111111111111111111111111111111111111111111111111111111111* L037242 111111111111111111111111111111111111111111111111111111111111111111* L037308 000000000000000000000000000000000000000000000000000000000000000000* -L037374 111111111011110111111111111111111111111111011111111111111101111111* -L037440 110101111111111110111111111111111111111111011111111111111111111111* -L037506 111111111011110111111101111111111111111111011111110111111111111111* +L037374 011111101111111111111111111111111111111110111111111111111111111111* +L037440 011110111111111111111111111111111111111101111111111101111111111111* +L037506 000000000000000000000000000000000000000000000000000000000000000000* L037572 000000000000000000000000000000000000000000000000000000000000000000* L037638 000000000000000000000000000000000000000000000000000000000000000000* -L037704 111111111111011111111111111111111111111111111111111111111111111111* +L037704 111111111111111111111111111111111111111111111111111111111111111111* L037770 111111111111111111111111111111111111111111111111111111111111111111* L037836 111111111111111111111111111111111111111111111111111111111111111111* L037902 111111111111111111111111111111111111111111111111111111111111111111* @@ -789,16 +789,16 @@ L038628 111111111111111111111111111111111111111111111111111111111111111111* L038694 111111111111111111111111111111111111111111111111111111111111111111* L038760 000000000000000000000000000000000000000000000000000000000000000000* -L038826 111111111111111111111111111111111111111111101111111111111111111111* -L038892 111111111111111111111110111111111101111111111111111111111111111111* -L038958 011111111111111111111101111111111111101111111111111111101111111111* +L038826 011111011111111111111111111111111111111110111111111111111111111111* +L038892 011011111111111111111111111111111111111101111111111101111111111111* +L038958 000000000000000000000000000000000000000000000000000000000000000000* L039024 000000000000000000000000000000000000000000000000000000000000000000* L039090 000000000000000000000000000000000000000000000000000000000000000000* -L039156 111111111111011111111111111111101111111111111111111111111111111111* -L039222 111111111111101111111111111111011111111111111111111111111111111111* -L039288 000000000000000000000000000000000000000000000000000000000000000000* -L039354 000000000000000000000000000000000000000000000000000000000000000000* -L039420 000000000000000000000000000000000000000000000000000000000000000000* +L039156 111111111111111111111111111111111111111111111111111111111111111111* +L039222 111111111111111111111111111111111111111111111111111111111111111111* +L039288 111111111111111111111111111111111111111111111111111111111111111111* +L039354 111111111111111111111111111111111111111111111111111111111111111111* +L039420 111111111111111111111111111111111111111111111111111111111111111111* L039486 000000000000000000000000000000000000000000000000000000000000000000* L039552 111111111111111111111111111111111111111111111111111111111111111111* @@ -816,49 +816,49 @@ L040212 000000000000000000000000000000000000000000000000000000000000000000* L040344 0010* L040348 10100110011110* -L040362 10100110010010* -L040376 00010110011110* -L040390 11100011110011* -L040404 10100110011111* -L040418 11100110010011* -L040432 01010110011110* -L040446 11101111110011* -L040460 10100110011111* -L040474 00000110010011* -L040488 11011011111110* -L040502 11111111111110* -L040516 10100110011110* -L040530 10100110011111* -L040544 11010011111110* -L040558 11111011111110* +L040362 01110110010010* +L040376 11011111111110* +L040390 11111011110011* +L040404 10100110011110* +L040418 11001011110010* +L040432 11110011111111* +L040446 11111111110011* +L040460 11100110011110* +L040474 11001011110010* +L040488 11111111111111* +L040502 11110011111111* +L040516 11100110011110* +L040530 11001011111111* +L040544 11110111111110* +L040558 11111111111110* NOTE BLOCK 6 * L040572 - 111111111111111111101111111111111111111111111111111111111111111111 - 111011011101111111111111101111111111111111111011111111111111111111 - 111111111111111111111011111111111111111111111110111111111111111111 - 111111111011111111111111111111111111111111111111111111111111111110 - 111111111111111111111110111111111110111111111111111111111111111111 - 111110111111111111111111111111111111111111011111111111111111111111 - 111111111111100111111111111111111111111111111111011111111111111111 - 111111111111111101111111111010111111111110111111111111111111111111 - 101111111111111111111111111111110111111111111111111111111111111111* + 111111111111111111110110101111111111111111111111111111111111111111 + 111111111101111111111111111111111111111111111011011111101111111111 + 111111111111111111111111111011111111111111111110111111111111111111 + 111101111111111111111111111111111111111111111111111111111111111010 + 111111111111111111111111111111111110111111111111111111111111111111 + 110111111111111111111111111111111111111111111111111111111111111111 + 011111111111100111111111111111111111011111111111111111111111111111 + 111111101011111101111111111111111111111110111111111111111111111111 + 111111111111111111011111111110111011111111101111111111111111111111* L041166 111111111111111111111111111111111111111111111111111111111111111111* -L041232 011111111111111111111111111101111110111101111111111111111111111111* -L041298 011111111111101111111111111111111111111110111111111111111111111111* +L041232 111111011111111111111111111111111110111101011111111111111111111111* +L041298 111111111111101111111111111111111111111110011111111111111111111111* L041364 000000000000000000000000000000000000000000000000000000000000000000* L041430 000000000000000000000000000000000000000000000000000000000000000000* L041496 000000000000000000000000000000000000000000000000000000000000000000* -L041562 110111111111111111111111111111111111111111111111111111111111111111* +L041562 111111111111111111111111111101111111111111111111111111111111111111* L041628 000000000000000000000000000000000000000000000000000000000000000000* L041694 000000000000000000000000000000000000000000000000000000000000000000* L041760 000000000000000000000000000000000000000000000000000000000000000000* L041826 000000000000000000000000000000000000000000000000000000000000000000* L041892 111111111111111111111111111111111111111111111111111111111111111111* -L041958 011111111111111111111111111110111111111101111111111111111111111111* -L042024 011111111011111111111111111111111111111101111111111111111111111111* -L042090 011111111111111110111111111111111111111110111111101111111111111111* +L041958 111111110111111111111111111111111011111110011111111111111111110111* +L042024 111111111011111111110111111111111011111110011111111111111111111011* +L042090 111111111111111111111011111111111011111110011111111111111111110111* L042156 000000000000000000000000000000000000000000000000000000000000000000* L042222 000000000000000000000000000000000000000000000000000000000000000000* L042288 111111111111111111111111111111111111111111111111111111111111111111* @@ -868,20 +868,20 @@ L042486 111111111111111111111111111111111111111111111111111111111111111111* L042552 111111111111111111111111111111111111111111111111111111111111111111* L042618 000000000000000000000000000000000000000000000000000000000000000000* -L042684 111111111111111111111111101111111111111111011111111111111111111110* -L042750 111111111111111111111111011111111111111111101111111111111111111101* +L042684 111111111111111011111111111111111111111111111101111111011111111111* +L042750 111111111111110111111111111111111111111111111110111111101111111111* L042816 000000000000000000000000000000000000000000000000000000000000000000* L042882 000000000000000000000000000000000000000000000000000000000000000000* L042948 000000000000000000000000000000000000000000000000000000000000000000* -L043014 111111111111111111111111111111111011111111111111111111111111111101* -L043080 111111111111111111111111111011111111111111111111111111111111111101* -L043146 111111111111110111111111111111111111111111111111111111111111111101* -L043212 111111111111111011111111110111110111111111101111111111111111111110* +L043014 111111111111111111111111111111111011111110011111111111111111111001* +L043080 111111110111111111111111111111111011111110011111111111111111111101* +L043146 111111111011111111110111111111111011111110011111111111111111110110* +L043212 111111111111111111111011111111111011111110011111111111111111111101* L043278 000000000000000000000000000000000000000000000000000000000000000000* L043344 000000000000000000000000000000000000000000000000000000000000000000* -L043410 011111111111111111111110111111111111111110111111111111111111111111* -L043476 011111111111111111111111111101111111111101111110111111111111111111* +L043410 111111110111111111111011111111111111111111111111011111011111111111* +L043476 111111111111111111111111111111111111111111111101111111111111111111* L043542 000000000000000000000000000000000000000000000000000000000000000000* L043608 000000000000000000000000000000000000000000000000000000000000000000* L043674 000000000000000000000000000000000000000000000000000000000000000000* @@ -891,22 +891,22 @@ L043872 111111111111111111111111111111111111111111111111111111111111111111* L043938 111111111111111111111111111111111111111111111111111111111111111111* L044004 111111111111111111111111111111111111111111111111111111111111111111* L044070 - 111111011110111111111111111111111111111110111111111111111111111111* -L044136 101111111111111111111111111111111111111111111111111111111111111111* -L044202 111111111111111111110111111101111111111101111111111111111111111111* + 111111111110111111111111111111111111011110111111111111111111111111* +L044136 111111111111111111111111111111111111111111101111111111111111111111* +L044202 111111011111111111111111110111111111111101111111111111111111111111* L044268 111111111111111101111111111111111111111110111111111111111111111111* L044334 000000000000000000000000000000000000000000000000000000000000000000* L044400 000000000000000000000000000000000000000000000000000000000000000000* -L044466 111111111111111111111111011111111111111111111111111111111111111111* -L044532 111111111111111011111111110111110111111111111111111111111111111101* -L044598 000000000000000000000000000000000000000000000000000000000000000000* -L044664 000000000000000000000000000000000000000000000000000000000000000000* +L044466 111111111111111111111111111111111111111111111111101111011111111111* +L044532 111111111011111111111111111111111111111111111111111111011111111111* +L044598 111111111111111111110111111111111111111111111111111111011111111111* +L044664 111111110111111011111011111111111111111111111111011111101111111111* L044730 000000000000000000000000000000000000000000000000000000000000000000* L044796 111111111110111111111111111111111111111110111111111111111111111111* -L044862 011111111111111111111101111111111111111110111111111111111111111111* -L044928 011111111111111111111111111101111111111101111011111111111111111111* -L044994 000000000000000000000000000000000000000000000000000000000000000000* +L044862 111111111111111111111111111111111111111111101111111111111111111111* +L044928 111111011111111111111111111111111111111101110111111111111111111111* +L044994 101111111111111110111111111111111111111110111111111111111111111111* L045060 000000000000000000000000000000000000000000000000000000000000000000* L045126 000000000000000000000000000000000000000000000000000000000000000000* L045192 111111111111111111111111111111111111111111111111111111111111111111* @@ -915,24 +915,24 @@ L045324 111111111111111111111111111111111111111111111111111111111111111111* L045390 111111111111111111111111111111111111111111111111111111111111111111* L045456 111111111111111111111111111111111111111111111111111111111111111111* L045522 - 111111011111111111111111111111111111111110111111111111111111111111* -L045588 111111110111111111101111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111011110111111111111111111111111* +L045588 111101111111111111111111111111111111111111111011111111111111111111* L045654 111111111111111111111111111111111111111111111111111111111111111111* L045720 111111111111111111111111111111111111111111111111111111111111111111* L045786 111111111111111111111111111111111111111111111111111111111111111111* L045852 111111111111111111111111111111111111111111111111111111111111111111* -L045918 101111111111111111111111111111111111111111111111111111111111111111* -L045984 111111111111111111011111111101111111111101111111111111111111111111* -L046050 111111111111111110111111111111111111111110111111101111111111111111* +L045918 111111110111111111111111011111111111111111011111111111111111111111* +L045984 111111111111111111111011011111111111111111011111111111111111111111* +L046050 110111110111111111111011111111111111111111011111111111111111111111* L046116 000000000000000000000000000000000000000000000000000000000000000000* L046182 000000000000000000000000000000000000000000000000000000000000000000* L046248 000000000000000000000000000000000000000000000000000000000000000000* -L046314 111101111110111111111111111111111111111111111111111111111111111111* -L046380 111111111111111111111111111111111111111111111111111111111111111111* -L046446 111111111111111111111111111111111111111111111111111111111111111111* -L046512 111111111111111111111111111111111111111111111111111111111111111111* -L046578 111111111111111111111111111111111111111111111111111111111111111111* +L046314 111111111111111111101101111111111111111111111111111111111111111111* +L046380 111111111111111111011110111111111111111111111111111111111111111111* +L046446 000000000000000000000000000000000000000000000000000000000000000000* +L046512 000000000000000000000000000000000000000000000000000000000000000000* +L046578 000000000000000000000000000000000000000000000000000000000000000000* L046644 111111111111111111111111111111111111111111111111111111111111111111* L046710 111111111111111111111111111111111111111111111111111111111111111111* L046776 111111111111111111111111111111111111111111111111111111111111111111* @@ -944,46 +944,46 @@ L046974 L047106 0010* L047110 11100110011000* L047124 00100110011110* -L047138 11100110010100* +L047138 10100110010100* L047152 11100011111111* L047166 10101111111001* L047180 10100110010011* -L047194 11100110010000* +L047194 00100110010000* L047208 11100011110011* L047222 10100110010000* -L047236 00100110010010* -L047250 11100110010100* +L047236 10100110010010* +L047250 10100110010100* L047264 11101111110011* L047278 00110011110011* L047292 10100110010011* -L047306 01011011110000* -L047320 11101111111111* +L047306 10100110010000* +L047320 11101011111111* NOTE BLOCK 7 * L047334 - 011111111111111111111111111111111111111111111111111011111111111111 - 111111011111111111111110111111011111111111111111111111111111111111 - 111101111111111111111111111111111110111111111111111111111111111111 - 111111111111111111111111101011111111111111111110111111111111111111 + 111111111111111111110110111111111111111101111111111111111111111111 + 111111011111111111111111111111011111111111111011111011111111111111 + 111111111111111111111111111111111110111111111101111111111111111111 + 111001111111111111111111111011111111111111111111111111111111111111 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111111111011011111101 - 111111111110010111111111111111111011011111111111111111111111110111 - 111111111011111111111111111111111111111010111111111111111111111111 - 111011111111111101011111111111111111111111101111111110111111101111* + 111111110111111011011111011111111111111111111111111111111111111111 + 111111111110111111111111111101111111011111111111111101111111100111 + 101111111111111111111111111111111111111011111111111111101111111111 + 111111111111101101111111111111111011111111101111111111111111111111* L047928 000000000000000000000000000000000000000000000000000000000000000000* -L047994 111111110111111111111111111111111111011111011111111111111111101111* -L048060 111111111111111011111111111111111111011111011111111111111111101111* -L048126 101111111111111111111111111111111111011111011111111111111011101111* -L048192 011111111011110111111110111111111111011111011111111111111011111111* +L047994 111111111111101111111111111111111111111101011111111111011111111111* +L048060 111111111111101111111011111111111111111101011111111111111111111111* +L048126 111111111111101011111111111111111111111101011110111111111111111111* +L048192 111111111111111011110111111111111111111101011101111011101111111111* L048258 000000000000000000000000000000000000000000000000000000000000000000* -L048324 111111111111101110111111010111111101111011111111111111101111111001* -L048390 111111111111111111111111111111111111111111111111111111111111111111* -L048456 111111111111111111111111111111111111111111111111111111111111111111* -L048522 111111111111111111111111111111111111111111111111111111111111111111* -L048588 111111111111111111111111111111111111111111111111111111111111111111* +L048324 110111110111111110111111100111111101111011111111111110111111111011* +L048390 000000000000000000000000000000000000000000000000000000000000000000* +L048456 000000000000000000000000000000000000000000000000000000000000000000* +L048522 000000000000000000000000000000000000000000000000000000000000000000* +L048588 000000000000000000000000000000000000000000000000000000000000000000* L048654 000000000000000000000000000000000000000000000000000000000000000000* -L048720 111111111111111111111111111111111111111111111111111111111111111111* +L048720 111111111111111111111101111111111111111111111111111111111111111111* L048786 111111111111111111111111111111111111111111111111111111111111111111* L048852 111111111111111111111111111111111111111111111111111111111111111111* L048918 111111111111111111111111111111111111111111111111111111111111111111* @@ -996,18 +996,18 @@ L049314 111111111111111111111111111111111111111111111111111111111111111111* L049380 111111111111111111111111111111111111111111111111111111111111111111* L049446 111111111111111111111111111111111111111111101111111111111111111111* -L049512 111111111111111111111111111111111111111101111111111111111111111101* -L049578 111111111011110111111111111111111111111111111111111101111111111101* +L049512 011111110111111111111111111111111111111111111111111111111111111111* +L049578 111111110111111111110111111111110111111111111111111111101111111111* L049644 000000000000000000000000000000000000000000000000000000000000000000* L049710 000000000000000000000000000000000000000000000000000000000000000000* -L049776 111111111111110111111111111111111111111111111111111111111111111111* +L049776 111111111111111111110111111111111111111111111111111111111111111111* L049842 111111111111111111111111111111111111111111111111111111111111111111* L049908 111111111111111111111111111111111111111111111111111111111111111111* L049974 111111111111111111111111111111111111111111111111111111111111111111* L050040 111111111111111111111111111111111111111111111111111111111111111111* L050106 - 111111011111111111111111111111101111111110111111111111111111111111* -L050172 111111111111111111111111111111111111111111111111111111111111111111* + 101111111111111111111111111111101111011111111111111111111111111111* +L050172 111111111111111111111111111110111111111111011111111111111111111111* L050238 111111111111111111111111111111111111111111111111111111111111111111* L050304 111111111111111111111111111111111111111111111111111111111111111111* L050370 111111111111111111111111111111111111111111111111111111111111111111* @@ -1019,18 +1019,18 @@ L050700 111111111111111111111111111111111111111111111111111111111111111111* L050766 111111111111111111111111111111111111111111111111111111111111111111* L050832 111111111111111111111111111111011111111111111111111111111111111111* -L050898 111110111111111111111111111111111111111111111111111110111111111111* +L050898 111111101111111111111111111111111011111111111111111111111111111111* L050964 111111111111111111111111111111111111111111111111111111111111111111* L051030 111111111111111111111111111111111111111111111111111111111111111111* L051096 111111111111111111111111111111111111111111111111111111111111111111* L051162 111111111111111111111111111111111111111111111111111111111111111111* -L051228 111111110111111011011111111111111111111111011111111111111111111111* -L051294 110111111110111111111111111111111011111111011111111111111111111111* +L051228 111111111110111111111111111111111111111111011111111111111111101111* +L051294 111111111111111111011011111111111111111111011111111111011111111111* L051360 000000000000000000000000000000000000000000000000000000000000000000* L051426 000000000000000000000000000000000000000000000000000000000000000000* L051492 000000000000000000000000000000000000000000000000000000000000000000* L051558 - 111111011111111111111111111111111111111101111111111111111111111111* + 011111111111111111111111111111111111011111111111111111111111111111* L051624 111111111111111111111111111111111111111111111111111111111111111111* L051690 111111111111111111111111111111111111111111111111111111111111111111* L051756 111111111111111111111111111111111111111111111111111111111111111111* @@ -1042,15 +1042,15 @@ L052086 111111111111111111111111111111111111111111111111111111111111111111* L052152 111111111111111111111111111111111111111111111111111111111111111111* L052218 111111111111111111111111111111111111111111111111111111111111111111* L052284 - 111111111111111111111111111111101111111110111111111111111111111111* -L052350 111111111111111111111111111111111111111111111110110111111111111111* + 101111111111111111111111111111101111111111111111111111111111111111* +L052350 111110111111111111111111111111111111111111110111111111111111111111* L052416 111111111111111111111111111111111111111111111111111111111111111111* L052482 111111111111111111111111111111111111111111111111111111111111111111* L052548 111111111111111111111111111111111111111111111111111111111111111111* L052614 111111111111111111111111111111111111111111111111111111111111111111* -L052680 111111110111111011011111111111111111111111011111111111111111111111* -L052746 110111110111111111111111111111111111111111011111111111110111111111* -L052812 110111111111111011111111111111111111111111011111111111110111111111* +L052680 111111111111111111011011111111111111111111011111111111011111111111* +L052746 111111111111110111111111111111111111111111011111111111011111111111* +L052812 111111111111110111111011111111111111111111011111111111111111111111* L052878 000000000000000000000000000000000000000000000000000000000000000000* L052944 000000000000000000000000000000000000000000000000000000000000000000* L053010 @@ -1070,21 +1070,21 @@ L053736 000000000000000000000000000000000000000000000000000000000000000000* L053868 0010* L053872 11100110011100* -L053886 01011011110010* -L053900 11010011110001* -L053914 11111111110011* +L053886 01101011110010* +L053900 00010110010001* +L053914 11101011110011* L053928 10100110010000* -L053942 00000110011111* -L053956 11010011110100* -L053970 11111111110010* -L053984 01111011111000* -L053998 11100110011111* -L054012 11011111110111* -L054026 11110011110011* -L054040 00111011110000* +L053942 00000110011110* +L053956 01010110010101* +L053970 11100011110011* +L053984 01111111111000* +L053998 11100110011110* +L054012 11010011110110* +L054026 11111011110011* +L054040 00111111110001* L054054 10100110010011* -L054068 11010111111100* -L054082 11111111111110* +L054068 11010011111100* +L054082 11111011111111* E1 1 00000000 @@ -1104,6 +1104,6 @@ E1 00000000 1 * -C1DFA* +CD04F* U00000000000000000000000000000000* -9EA4 +9B79 diff --git a/Logic/68030_tk.jid b/Logic/68030_tk.jid index 7e63140..6a57d8e 100644 --- a/Logic/68030_tk.jid +++ b/Logic/68030_tk.jid @@ -1 +1 @@ -. BUS68030 68030-68000-bus.vhd c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd +. BUS68030 68030-68000-bus.vhd c:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd diff --git a/Logic/68030_tk.lco b/Logic/68030_tk.lco index f7333dd..7251cb9 100644 --- a/Logic/68030_tk.lco +++ b/Logic/68030_tk.lco @@ -16,8 +16,8 @@ RCS = "$Revision: 1.2 $"; Parent = m4a5.lci; SDS_File = m4a5.sds; Design = 68030_tk.tt4; -DATE = 8/25/16; -TIME = 22:27:55; +DATE = 9/14/16; +TIME = 23:54:30; Source_Format = Pure_VHDL; Type = TT2; Pre_Fit_Time = 1; @@ -76,24 +76,10 @@ Usercode_Format = Hex; [LOCATION ASSIGNMENTS] Layer = OFF; -AHIGH_30_ = pin,5,-,B,-; -AHIGH_29_ = pin,6,-,B,-; SIZE_1_ = pin,79,-,H,-; -AHIGH_28_ = pin,15,-,C,-; -AHIGH_27_ = pin,16,-,C,-; AHIGH_31_ = pin,4,-,B,-; -AHIGH_26_ = pin,17,-,C,-; -AHIGH_25_ = pin,18,-,C,-; A_DECODE_23_ = pin,85,-,H,-; -AHIGH_24_ = pin,19,-,C,-; -A_DECODE_22_ = pin,84,-,H,-; -A_DECODE_21_ = pin,94,-,A,-; -A_DECODE_20_ = pin,93,-,A,-; -A_DECODE_19_ = pin,97,-,A,-; -A_DECODE_18_ = pin,95,-,A,-; IPL_2_ = pin,68,-,G,-; -A_DECODE_17_ = pin,59,-,F,-; -A_DECODE_16_ = pin,96,-,A,-; FC_1_ = pin,58,-,F,-; AS_030 = pin,82,-,H,-; AS_000 = pin,42,-,E,-; @@ -106,81 +92,95 @@ BG_030 = pin,21,-,C,-; BGACK_000 = pin,28,-,D,-; CLK_030 = pin,64,-,-,-; CLK_000 = pin,11,-,-,-; +SIZE_0_ = pin,70,-,G,-; CLK_OSZI = pin,61,-,-,-; +AHIGH_30_ = pin,5,-,B,-; CLK_DIV_OUT = pin,65,-,G,-; -IPL_1_ = pin,56,-,F,-; +AHIGH_29_ = pin,6,-,B,-; +AHIGH_28_ = pin,15,-,C,-; FPU_CS = pin,78,-,H,-; -IPL_0_ = pin,67,-,G,-; +AHIGH_27_ = pin,16,-,C,-; FPU_SENSE = pin,91,-,A,-; -FC_0_ = pin,57,-,F,-; -A_1_ = pin,60,-,F,-; +AHIGH_26_ = pin,17,-,C,-; +AHIGH_25_ = pin,18,-,C,-; DTACK = pin,30,-,D,-; +AHIGH_24_ = pin,19,-,C,-; AVEC = pin,92,-,A,-; +A_DECODE_22_ = pin,84,-,H,-; E = pin,66,-,G,-; +A_DECODE_21_ = pin,94,-,A,-; VPA = pin,36,-,-,-; +A_DECODE_20_ = pin,93,-,A,-; +A_DECODE_19_ = pin,97,-,A,-; RST = pin,86,-,-,-; +A_DECODE_18_ = pin,95,-,A,-; RESET = pin,3,-,B,-; +A_DECODE_17_ = pin,59,-,F,-; +A_DECODE_16_ = pin,96,-,A,-; AMIGA_ADDR_ENABLE = pin,33,-,D,-; AMIGA_BUS_DATA_DIR = pin,48,-,E,-; AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-; AMIGA_BUS_ENABLE_HIGH = pin,34,-,D,-; CIIN = pin,47,-,E,-; -SIZE_0_ = pin,70,-,G,-; +IPL_1_ = pin,56,-,F,-; +IPL_0_ = pin,67,-,G,-; +FC_0_ = pin,57,-,F,-; +A_1_ = pin,60,-,F,-; IPL_030_2_ = pin,9,-,B,-; RW_000 = pin,80,-,H,-; BG_000 = pin,29,-,D,-; BGACK_030 = pin,83,-,H,-; -A_0_ = pin,69,-,G,-; -IPL_030_1_ = pin,7,-,B,-; -IPL_030_0_ = pin,8,-,B,-; CLK_EXP = pin,10,-,B,-; DSACK1 = pin,81,-,H,-; VMA = pin,35,-,D,-; RW = pin,71,-,G,-; -un10_ciin_i = node,-,-,E,13; -cpu_est_0_ = node,-,-,D,2; -cpu_est_1_ = node,-,-,G,5; -cpu_est_2_ = node,-,-,G,9; -cpu_est_3_ = node,-,-,D,13; -inst_AS_000_INT = node,-,-,F,5; -inst_AMIGA_BUS_ENABLE_DMA_LOW = node,-,-,G,10; +A_0_ = pin,69,-,G,-; +IPL_030_1_ = pin,7,-,B,-; +IPL_030_0_ = pin,8,-,B,-; +cpu_est_2_ = node,-,-,G,6; +cpu_est_3_ = node,-,-,D,9; +cpu_est_0_ = node,-,-,B,10; +cpu_est_1_ = node,-,-,G,9; +inst_AS_000_INT = node,-,-,D,2; +inst_AMIGA_BUS_ENABLE_DMA_LOW = node,-,-,F,12; inst_AS_030_D0 = node,-,-,E,8; -inst_AS_030_000_SYNC = node,-,-,C,2; +inst_AS_030_000_SYNC = node,-,-,C,13; inst_BGACK_030_INT_D = node,-,-,E,5; -inst_AS_000_DMA = node,-,-,B,6; -inst_DS_000_DMA = node,-,-,B,13; -CYCLE_DMA_0_ = node,-,-,F,1; -CYCLE_DMA_1_ = node,-,-,F,0; -SIZE_DMA_0_ = node,-,-,G,2; -SIZE_DMA_1_ = node,-,-,G,13; -inst_VPA_D = node,-,-,A,5; -inst_DTACK_D0 = node,-,-,F,6; -inst_RESET_OUT = node,-,-,C,9; +inst_AS_000_DMA = node,-,-,C,9; +inst_DS_000_DMA = node,-,-,F,0; +CYCLE_DMA_0_ = node,-,-,G,2; +CYCLE_DMA_1_ = node,-,-,G,5; +SIZE_DMA_0_ = node,-,-,C,2; +SIZE_DMA_1_ = node,-,-,G,10; +inst_VPA_D = node,-,-,F,1; CLK_000_D_1_ = node,-,-,H,5; -CLK_000_D_0_ = node,-,-,D,9; -inst_CLK_OUT_PRE_50 = node,-,-,F,2; -inst_CLK_OUT_PRE_25 = node,-,-,F,13; -inst_CLK_OUT_PRE_D = node,-,-,F,9; -IPL_D0_0_ = node,-,-,B,14; -IPL_D0_1_ = node,-,-,E,9; -IPL_D0_2_ = node,-,-,D,10; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = node,-,-,G,6; -inst_LDS_000_INT = node,-,-,F,12; -inst_DS_000_ENABLE = node,-,-,F,8; -inst_UDS_000_INT = node,-,-,D,6; -SM_AMIGA_6_ = node,-,-,C,13; -SM_AMIGA_4_ = node,-,-,F,4; -SM_AMIGA_1_ = node,-,-,A,1; +inst_DTACK_D0 = node,-,-,H,6; +inst_RESET_OUT = node,-,-,A,8; +CLK_000_D_0_ = node,-,-,B,13; +inst_CLK_OUT_PRE_50 = node,-,-,A,1; +inst_CLK_OUT_PRE_25 = node,-,-,G,14; +inst_CLK_OUT_PRE_D = node,-,-,H,2; +IPL_D0_0_ = node,-,-,C,14; +IPL_D0_1_ = node,-,-,D,14; +IPL_D0_2_ = node,-,-,C,10; +CLK_000_D_2_ = node,-,-,E,13; +inst_AMIGA_BUS_ENABLE_DMA_HIGH = node,-,-,F,8; +inst_LDS_000_INT = node,-,-,F,4; +inst_DS_000_ENABLE = node,-,-,D,6; +inst_UDS_000_INT = node,-,-,D,10; +SM_AMIGA_6_ = node,-,-,B,6; +SM_AMIGA_4_ = node,-,-,G,13; +SM_AMIGA_1_ = node,-,-,A,12; SM_AMIGA_0_ = node,-,-,H,13; -RST_DLY_0_ = node,-,-,C,6; -RST_DLY_1_ = node,-,-,C,14; -RST_DLY_2_ = node,-,-,C,10; -inst_CLK_030_H = node,-,-,B,10; -SM_AMIGA_5_ = node,-,-,A,12; -SM_AMIGA_3_ = node,-,-,A,13; -SM_AMIGA_2_ = node,-,-,A,9; -SM_AMIGA_i_7_ = node,-,-,A,8; -CIIN_0 = node,-,-,G,14; +RST_DLY_0_ = node,-,-,A,13; +RST_DLY_1_ = node,-,-,A,6; +RST_DLY_2_ = node,-,-,A,2; +inst_CLK_030_H = node,-,-,C,6; +SM_AMIGA_5_ = node,-,-,D,13; +SM_AMIGA_3_ = node,-,-,A,9; +SM_AMIGA_2_ = node,-,-,A,5; +SM_AMIGA_i_7_ = node,-,-,B,14; +CIIN_0 = node,-,-,E,9; [GROUP ASSIGNMENTS] Layer = OFF; diff --git a/Logic/68030_tk.out b/Logic/68030_tk.out index 7edcc69..76c05b7 100644 --- a/Logic/68030_tk.out +++ b/Logic/68030_tk.out @@ -1515,4 +1515,1443 @@ 35 VPA 1 -1 -1 1 0 35 -1 29 DTACK 1 -1 -1 1 5 29 -1 20 BG_030 1 -1 -1 1 3 20 -1 - 10 CLK_000 1 -1 -1 1 3 10 -1 \ No newline at end of file + 10 CLK_000 1 -1 -1 1 3 10 -1 +114 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 41 AS_000 5 -1 4 5 0 1 4 6 7 41 -1 1 0 21 + 40 BERR 5 -1 4 5 0 1 2 5 7 40 -1 1 0 21 + 79 RW_000 5 337 7 2 4 6 79 -1 4 0 21 + 70 RW 5 345 6 2 5 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 68 A_0_ 5 340 6 1 5 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 5 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 5 69 -1 1 0 21 + 31 UDS_000 5 -1 3 1 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 1 6 30 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 336 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 342 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 341 1 0 6 -1 10 0 21 + 82 BGACK_030 5 339 7 0 82 -1 3 0 21 + 34 VMA 5 344 3 0 34 -1 3 0 21 + 80 DSACK1 5 343 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 338 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 339 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 3 0 21 + 311 inst_RESET_OUT 3 -1 3 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 313 CLK_000_D_0_ 3 -1 6 5 0 1 3 5 7 -1 -1 1 0 21 + 312 CLK_000_D_1_ 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 + 300 inst_AS_030_D0 3 -1 4 5 2 3 4 5 7 -1 -1 1 0 21 + 301 inst_AS_030_000_SYNC 3 -1 2 4 0 2 3 5 -1 -1 7 0 21 + 334 SM_AMIGA_i_7_ 3 -1 0 3 2 5 7 -1 -1 13 1 21 + 296 cpu_est_3_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 331 SM_AMIGA_5_ 3 -1 5 3 0 1 5 -1 -1 3 0 21 + 324 SM_AMIGA_4_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 + 323 SM_AMIGA_6_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 1 1 21 + 304 inst_DS_000_DMA 3 -1 6 2 0 6 -1 -1 9 0 21 + 303 inst_AS_000_DMA 3 -1 6 2 6 7 -1 -1 7 0 21 + 327 RST_DLY_0_ 3 -1 5 2 3 5 -1 -1 4 0 21 + 306 CYCLE_DMA_1_ 3 -1 1 2 1 6 -1 -1 4 0 21 + 344 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 326 SM_AMIGA_0_ 3 -1 7 2 0 7 -1 -1 3 0 21 + 325 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 321 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 320 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 305 CYCLE_DMA_0_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 297 cpu_est_0_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 329 RST_DLY_2_ 3 -1 3 2 3 5 -1 -1 2 0 21 + 328 RST_DLY_1_ 3 -1 5 2 3 5 -1 -1 2 1 21 + 322 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 319 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 + 298 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 2 2 0 3 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 + 342 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 341 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 336 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 330 inst_CLK_030_H 3 -1 6 1 6 -1 -1 8 0 21 + 337 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 333 SM_AMIGA_2_ 3 -1 0 1 0 -1 -1 4 0 21 + 332 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 1 21 + 340 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 345 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 343 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 338 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 299 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 335 CIIN_0 3 -1 5 1 4 -1 -1 1 0 21 + 318 IPL_D0_2_ 3 -1 3 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 2 1 1 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 310 inst_DTACK_D0 3 -1 2 1 0 -1 -1 1 0 21 + 293 un10_ciin_i 3 -1 4 1 5 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 2 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 3 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 2 66 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 6 63 -1 + 59 A_1_ 1 -1 -1 1 2 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 2 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 +115 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 41 AS_000 5 -1 4 5 0 1 4 5 7 41 -1 1 0 21 + 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 + 79 RW_000 5 338 7 3 1 4 6 79 -1 4 0 21 + 68 A_0_ 5 341 6 2 3 5 68 -1 3 0 21 + 70 RW 5 346 6 2 5 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 1 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 1 6 30 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 5 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 5 69 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 337 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 343 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 342 1 0 6 -1 10 0 21 + 82 BGACK_030 5 340 7 0 82 -1 3 0 21 + 34 VMA 5 345 3 0 34 -1 3 0 21 + 80 DSACK1 5 344 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 339 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 340 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 311 inst_RESET_OUT 3 -1 2 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 313 CLK_000_D_0_ 3 -1 3 6 0 2 3 5 6 7 -1 -1 1 0 21 + 312 CLK_000_D_1_ 3 -1 7 6 0 2 3 5 6 7 -1 -1 1 0 21 + 324 SM_AMIGA_6_ 3 -1 2 5 0 2 3 5 7 -1 -1 3 0 21 + 300 inst_AS_030_D0 3 -1 4 5 2 3 4 5 7 -1 -1 1 0 21 + 301 inst_AS_030_000_SYNC 3 -1 2 3 0 2 3 -1 -1 7 0 21 + 297 cpu_est_3_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 + 295 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 + 294 cpu_est_0_ 3 -1 3 3 0 3 6 -1 -1 3 0 21 + 296 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 1 1 21 + 335 SM_AMIGA_i_7_ 3 -1 0 2 2 7 -1 -1 13 1 21 + 304 inst_DS_000_DMA 3 -1 1 2 0 1 -1 -1 9 0 21 + 303 inst_AS_000_DMA 3 -1 1 2 1 7 -1 -1 7 0 21 + 306 CYCLE_DMA_1_ 3 -1 5 2 1 5 -1 -1 4 0 21 + 345 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 332 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 3 0 21 + 327 SM_AMIGA_0_ 3 -1 7 2 0 7 -1 -1 3 0 21 + 326 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 325 SM_AMIGA_4_ 3 -1 5 2 0 5 -1 -1 3 0 21 + 322 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 321 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 305 CYCLE_DMA_0_ 3 -1 5 2 1 5 -1 -1 3 0 21 + 320 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 299 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 298 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 + 316 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 0 2 0 3 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 4 2 2 6 -1 -1 1 0 21 + 343 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 342 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 337 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 331 inst_CLK_030_H 3 -1 1 1 1 -1 -1 8 0 21 + 338 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 334 SM_AMIGA_2_ 3 -1 0 1 0 -1 -1 4 0 21 + 333 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 1 21 + 328 RST_DLY_0_ 3 -1 2 1 2 -1 -1 4 0 21 + 341 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 346 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 344 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 339 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 330 RST_DLY_2_ 3 -1 2 1 2 -1 -1 2 0 21 + 329 RST_DLY_1_ 3 -1 2 1 2 -1 -1 2 1 21 + 323 inst_UDS_000_INT 3 -1 3 1 3 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_25 3 -1 5 1 5 -1 -1 2 0 21 + 336 CIIN_0 3 -1 6 1 4 -1 -1 1 0 21 + 319 IPL_D0_2_ 3 -1 3 1 1 -1 -1 1 0 21 + 318 IPL_D0_1_ 3 -1 4 1 1 -1 -1 1 0 21 + 317 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 5 1 5 -1 -1 1 0 21 + 310 inst_DTACK_D0 3 -1 5 1 0 -1 -1 1 0 21 + 293 un10_ciin_i 3 -1 4 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 2 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 3 67 -1 + 55 IPL_1_ 1 -1 -1 2 1 4 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 1 63 -1 + 59 A_1_ 1 -1 -1 1 6 59 -1 + 35 VPA 1 -1 -1 1 0 35 -1 + 29 DTACK 1 -1 -1 1 5 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 +114 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 41 AS_000 5 -1 4 5 0 1 4 6 7 41 -1 1 0 21 + 40 BERR 5 -1 4 5 0 1 2 5 7 40 -1 1 0 21 + 79 RW_000 5 337 7 2 4 6 79 -1 4 0 21 + 70 RW 5 345 6 2 5 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 68 A_0_ 5 340 6 1 5 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 5 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 5 69 -1 1 0 21 + 31 UDS_000 5 -1 3 1 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 1 6 30 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 336 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 342 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 341 1 0 6 -1 10 0 21 + 82 BGACK_030 5 339 7 0 82 -1 3 0 21 + 34 VMA 5 344 3 0 34 -1 3 0 21 + 80 DSACK1 5 343 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 338 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 339 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 3 0 21 + 311 inst_RESET_OUT 3 -1 3 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 313 CLK_000_D_0_ 3 -1 6 5 0 1 3 5 7 -1 -1 1 0 21 + 312 CLK_000_D_1_ 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 + 300 inst_AS_030_D0 3 -1 4 5 2 3 4 5 7 -1 -1 1 0 21 + 301 inst_AS_030_000_SYNC 3 -1 2 4 0 2 3 5 -1 -1 7 0 21 + 334 SM_AMIGA_i_7_ 3 -1 0 3 2 5 7 -1 -1 13 1 21 + 296 cpu_est_3_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 331 SM_AMIGA_5_ 3 -1 5 3 0 1 5 -1 -1 3 0 21 + 324 SM_AMIGA_4_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 + 323 SM_AMIGA_6_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 1 1 21 + 304 inst_DS_000_DMA 3 -1 6 2 0 6 -1 -1 9 0 21 + 303 inst_AS_000_DMA 3 -1 6 2 6 7 -1 -1 7 0 21 + 327 RST_DLY_0_ 3 -1 5 2 3 5 -1 -1 4 0 21 + 306 CYCLE_DMA_1_ 3 -1 1 2 1 6 -1 -1 4 0 21 + 344 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 326 SM_AMIGA_0_ 3 -1 7 2 0 7 -1 -1 3 0 21 + 325 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 321 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 320 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 305 CYCLE_DMA_0_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 297 cpu_est_0_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 329 RST_DLY_2_ 3 -1 3 2 3 5 -1 -1 2 0 21 + 328 RST_DLY_1_ 3 -1 5 2 3 5 -1 -1 2 1 21 + 322 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 319 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 + 298 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 2 2 0 3 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 + 342 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 341 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 336 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 330 inst_CLK_030_H 3 -1 6 1 6 -1 -1 8 0 21 + 337 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 333 SM_AMIGA_2_ 3 -1 0 1 0 -1 -1 4 0 21 + 332 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 1 21 + 340 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 345 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 343 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 338 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 299 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 335 CIIN_0 3 -1 5 1 4 -1 -1 1 0 21 + 318 IPL_D0_2_ 3 -1 3 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 2 1 1 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 310 inst_DTACK_D0 3 -1 2 1 0 -1 -1 1 0 21 + 293 un10_ciin_i 3 -1 4 1 5 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 2 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 3 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 2 66 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 6 63 -1 + 59 A_1_ 1 -1 -1 1 2 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 2 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 +111 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 + 79 RW_000 5 334 7 3 0 4 6 79 -1 4 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 342 6 2 0 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A_0_ 5 336 6 1 1 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 333 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 339 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 338 1 0 6 -1 10 0 21 + 82 BGACK_030 5 337 7 0 82 -1 3 0 21 + 34 VMA 5 341 3 0 34 -1 3 0 21 + 80 DSACK1 5 340 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 335 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 inst_RESET_OUT 3 -1 5 8 0 1 2 3 4 5 6 7 -1 -1 2 0 21 + 337 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 3 0 21 + 312 CLK_000_D_0_ 3 -1 3 6 0 2 3 4 5 7 -1 -1 1 0 21 + 320 SM_AMIGA_6_ 3 -1 2 5 0 1 2 5 7 -1 -1 3 0 21 + 311 CLK_000_D_1_ 3 -1 4 5 0 2 3 5 7 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 4 5 0 2 3 4 7 -1 -1 1 0 21 + 300 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 7 0 21 + 296 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 294 cpu_est_3_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 + 293 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 1 1 21 + 331 SM_AMIGA_i_7_ 3 -1 5 2 2 7 -1 -1 13 1 21 + 302 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 330 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 4 0 21 + 341 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 328 SM_AMIGA_5_ 3 -1 7 2 5 7 -1 -1 3 0 21 + 323 SM_AMIGA_0_ 3 -1 7 2 5 7 -1 -1 3 0 21 + 322 SM_AMIGA_1_ 3 -1 7 2 5 7 -1 -1 3 0 21 + 321 SM_AMIGA_4_ 3 -1 5 2 0 5 -1 -1 3 0 21 + 318 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 + 317 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 3 0 21 + 307 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 306 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 295 cpu_est_0_ 3 -1 5 2 3 5 -1 -1 3 0 21 + 319 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 316 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 297 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 + 308 inst_VPA_D 3 -1 2 2 3 5 -1 -1 1 0 21 + 301 inst_BGACK_030_INT_D 3 -1 4 2 2 6 -1 -1 1 0 21 + 339 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 338 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 333 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 303 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 327 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 334 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 329 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 4 1 21 + 324 RST_DLY_0_ 3 -1 5 1 5 -1 -1 4 0 21 + 305 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 4 0 21 + 336 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 304 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 3 0 21 + 342 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 340 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 335 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 332 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 326 RST_DLY_2_ 3 -1 5 1 5 -1 -1 2 0 21 + 325 RST_DLY_1_ 3 -1 5 1 5 -1 -1 2 1 21 + 315 IPL_D0_2_ 3 -1 2 1 1 -1 -1 1 0 21 + 314 IPL_D0_1_ 3 -1 2 1 1 -1 -1 1 0 21 + 313 IPL_D0_0_ 3 -1 6 1 1 -1 -1 1 0 21 + 309 inst_DTACK_D0 3 -1 3 1 5 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 2 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 2 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 6 66 -1 + 63 CLK_030 1 -1 -1 2 0 1 63 -1 + 55 IPL_1_ 1 -1 -1 2 1 2 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 59 A_1_ 1 -1 -1 1 6 59 -1 + 35 VPA 1 -1 -1 1 2 35 -1 + 29 DTACK 1 -1 -1 1 3 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 +114 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 41 AS_000 5 -1 4 5 0 1 4 6 7 41 -1 1 0 21 + 40 BERR 5 -1 4 5 0 1 2 5 7 40 -1 1 0 21 + 79 RW_000 5 337 7 2 4 6 79 -1 4 0 21 + 70 RW 5 345 6 2 5 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 68 A_0_ 5 340 6 1 5 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 5 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 5 69 -1 1 0 21 + 31 UDS_000 5 -1 3 1 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 1 6 30 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 336 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 342 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 341 1 0 6 -1 10 0 21 + 82 BGACK_030 5 339 7 0 82 -1 3 0 21 + 34 VMA 5 344 3 0 34 -1 3 0 21 + 80 DSACK1 5 343 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 338 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 339 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 3 0 21 + 311 inst_RESET_OUT 3 -1 3 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 313 CLK_000_D_0_ 3 -1 6 5 0 1 3 5 7 -1 -1 1 0 21 + 312 CLK_000_D_1_ 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 + 300 inst_AS_030_D0 3 -1 4 5 2 3 4 5 7 -1 -1 1 0 21 + 301 inst_AS_030_000_SYNC 3 -1 2 4 0 2 3 5 -1 -1 7 0 21 + 334 SM_AMIGA_i_7_ 3 -1 0 3 2 5 7 -1 -1 13 1 21 + 296 cpu_est_3_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 331 SM_AMIGA_5_ 3 -1 5 3 0 1 5 -1 -1 3 0 21 + 324 SM_AMIGA_4_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 + 323 SM_AMIGA_6_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 1 1 21 + 304 inst_DS_000_DMA 3 -1 6 2 0 6 -1 -1 9 0 21 + 303 inst_AS_000_DMA 3 -1 6 2 6 7 -1 -1 7 0 21 + 327 RST_DLY_0_ 3 -1 5 2 3 5 -1 -1 4 0 21 + 306 CYCLE_DMA_1_ 3 -1 1 2 1 6 -1 -1 4 0 21 + 344 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 326 SM_AMIGA_0_ 3 -1 7 2 0 7 -1 -1 3 0 21 + 325 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 321 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 320 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 305 CYCLE_DMA_0_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 297 cpu_est_0_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 329 RST_DLY_2_ 3 -1 3 2 3 5 -1 -1 2 0 21 + 328 RST_DLY_1_ 3 -1 5 2 3 5 -1 -1 2 1 21 + 322 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 319 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 + 298 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 2 2 0 3 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 + 342 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 341 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 336 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 330 inst_CLK_030_H 3 -1 6 1 6 -1 -1 8 0 21 + 337 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 333 SM_AMIGA_2_ 3 -1 0 1 0 -1 -1 4 0 21 + 332 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 1 21 + 340 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 345 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 343 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 338 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 299 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 335 CIIN_0 3 -1 5 1 4 -1 -1 1 0 21 + 318 IPL_D0_2_ 3 -1 3 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 2 1 1 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 310 inst_DTACK_D0 3 -1 2 1 0 -1 -1 1 0 21 + 293 un10_ciin_i 3 -1 4 1 5 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 2 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 3 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 2 66 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 6 63 -1 + 59 A_1_ 1 -1 -1 1 2 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 2 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 +111 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 + 79 RW_000 5 334 7 3 0 4 6 79 -1 4 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 342 6 2 0 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A_0_ 5 336 6 1 1 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 333 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 339 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 338 1 0 6 -1 10 0 21 + 82 BGACK_030 5 337 7 0 82 -1 3 0 21 + 34 VMA 5 341 3 0 34 -1 3 0 21 + 80 DSACK1 5 340 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 335 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 310 inst_RESET_OUT 3 -1 5 8 0 1 2 3 4 5 6 7 -1 -1 2 0 21 + 337 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 3 0 21 + 312 CLK_000_D_0_ 3 -1 3 6 0 2 3 4 5 7 -1 -1 1 0 21 + 320 SM_AMIGA_6_ 3 -1 2 5 0 1 2 5 7 -1 -1 3 0 21 + 311 CLK_000_D_1_ 3 -1 4 5 0 2 3 5 7 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 4 5 0 2 3 4 7 -1 -1 1 0 21 + 300 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 7 0 21 + 296 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 294 cpu_est_3_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 + 293 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 1 1 21 + 331 SM_AMIGA_i_7_ 3 -1 5 2 2 7 -1 -1 13 1 21 + 302 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 330 SM_AMIGA_2_ 3 -1 5 2 5 7 -1 -1 4 0 21 + 341 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 328 SM_AMIGA_5_ 3 -1 7 2 5 7 -1 -1 3 0 21 + 323 SM_AMIGA_0_ 3 -1 7 2 5 7 -1 -1 3 0 21 + 322 SM_AMIGA_1_ 3 -1 7 2 5 7 -1 -1 3 0 21 + 321 SM_AMIGA_4_ 3 -1 5 2 0 5 -1 -1 3 0 21 + 318 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 + 317 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 3 0 21 + 307 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 306 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 295 cpu_est_0_ 3 -1 5 2 3 5 -1 -1 3 0 21 + 319 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 316 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 297 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 + 308 inst_VPA_D 3 -1 2 2 3 5 -1 -1 1 0 21 + 301 inst_BGACK_030_INT_D 3 -1 4 2 2 6 -1 -1 1 0 21 + 339 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 338 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 333 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 303 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 327 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 334 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 329 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 4 1 21 + 324 RST_DLY_0_ 3 -1 5 1 5 -1 -1 4 0 21 + 305 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 4 0 21 + 336 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 304 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 3 0 21 + 342 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 340 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 335 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 332 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 326 RST_DLY_2_ 3 -1 5 1 5 -1 -1 2 0 21 + 325 RST_DLY_1_ 3 -1 5 1 5 -1 -1 2 1 21 + 315 IPL_D0_2_ 3 -1 2 1 1 -1 -1 1 0 21 + 314 IPL_D0_1_ 3 -1 2 1 1 -1 -1 1 0 21 + 313 IPL_D0_0_ 3 -1 6 1 1 -1 -1 1 0 21 + 309 inst_DTACK_D0 3 -1 3 1 5 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 2 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 2 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 6 66 -1 + 63 CLK_030 1 -1 -1 2 0 1 63 -1 + 55 IPL_1_ 1 -1 -1 2 1 2 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 59 A_1_ 1 -1 -1 1 6 59 -1 + 35 VPA 1 -1 -1 1 2 35 -1 + 29 DTACK 1 -1 -1 1 3 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 +114 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 41 AS_000 5 -1 4 5 0 1 4 6 7 41 -1 1 0 21 + 40 BERR 5 -1 4 5 0 1 2 5 7 40 -1 1 0 21 + 79 RW_000 5 337 7 2 4 6 79 -1 4 0 21 + 70 RW 5 345 6 2 5 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 68 A_0_ 5 340 6 1 5 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 5 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 5 69 -1 1 0 21 + 31 UDS_000 5 -1 3 1 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 1 6 30 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 336 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 342 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 341 1 0 6 -1 10 0 21 + 82 BGACK_030 5 339 7 0 82 -1 3 0 21 + 34 VMA 5 344 3 0 34 -1 3 0 21 + 80 DSACK1 5 343 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 338 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 339 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 3 0 21 + 311 inst_RESET_OUT 3 -1 3 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 313 CLK_000_D_0_ 3 -1 6 5 0 1 3 5 7 -1 -1 1 0 21 + 312 CLK_000_D_1_ 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 + 300 inst_AS_030_D0 3 -1 4 5 2 3 4 5 7 -1 -1 1 0 21 + 301 inst_AS_030_000_SYNC 3 -1 2 4 0 2 3 5 -1 -1 7 0 21 + 334 SM_AMIGA_i_7_ 3 -1 0 3 2 5 7 -1 -1 13 1 21 + 296 cpu_est_3_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 331 SM_AMIGA_5_ 3 -1 5 3 0 1 5 -1 -1 3 0 21 + 324 SM_AMIGA_4_ 3 -1 1 3 0 1 5 -1 -1 3 0 21 + 323 SM_AMIGA_6_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 1 1 21 + 304 inst_DS_000_DMA 3 -1 6 2 0 6 -1 -1 9 0 21 + 303 inst_AS_000_DMA 3 -1 6 2 6 7 -1 -1 7 0 21 + 327 RST_DLY_0_ 3 -1 5 2 3 5 -1 -1 4 0 21 + 306 CYCLE_DMA_1_ 3 -1 1 2 1 6 -1 -1 4 0 21 + 344 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 326 SM_AMIGA_0_ 3 -1 7 2 0 7 -1 -1 3 0 21 + 325 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 321 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 320 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 305 CYCLE_DMA_0_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 297 cpu_est_0_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 329 RST_DLY_2_ 3 -1 3 2 3 5 -1 -1 2 0 21 + 328 RST_DLY_1_ 3 -1 5 2 3 5 -1 -1 2 1 21 + 322 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 319 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 + 298 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 2 2 0 3 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 + 342 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 341 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 336 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 330 inst_CLK_030_H 3 -1 6 1 6 -1 -1 8 0 21 + 337 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 333 SM_AMIGA_2_ 3 -1 0 1 0 -1 -1 4 0 21 + 332 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 4 1 21 + 340 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 345 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 343 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 338 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 299 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 335 CIIN_0 3 -1 5 1 4 -1 -1 1 0 21 + 318 IPL_D0_2_ 3 -1 3 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 2 1 1 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 310 inst_DTACK_D0 3 -1 2 1 0 -1 -1 1 0 21 + 293 un10_ciin_i 3 -1 4 1 5 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 2 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 3 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 2 66 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 6 63 -1 + 59 A_1_ 1 -1 -1 1 2 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 2 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 +114 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 5 0 1 2 5 7 40 -1 1 0 21 + 41 AS_000 5 -1 4 4 0 3 4 7 41 -1 1 0 21 + 79 RW_000 5 337 7 3 0 4 6 79 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 2 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 2 6 30 -1 1 0 21 + 70 RW 5 345 6 2 1 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 68 A_0_ 5 340 6 1 0 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 336 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 343 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 342 1 0 6 -1 10 0 21 + 82 BGACK_030 5 339 7 0 82 -1 3 0 21 + 34 VMA 5 344 3 0 34 -1 3 0 21 + 80 DSACK1 5 341 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 338 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 339 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 3 0 21 + 311 inst_RESET_OUT 3 -1 6 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 312 CLK_000_D_0_ 3 -1 6 6 0 1 3 5 6 7 -1 -1 1 0 21 + 309 CLK_000_D_1_ 3 -1 7 6 0 1 3 5 6 7 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 4 5 1 2 3 4 7 -1 -1 1 0 21 + 323 SM_AMIGA_6_ 3 -1 5 4 0 1 5 7 -1 -1 3 0 21 + 334 SM_AMIGA_i_7_ 3 -1 5 3 2 5 7 -1 -1 13 1 21 + 300 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 7 0 21 + 296 cpu_est_3_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 307 SIZE_DMA_1_ 3 -1 2 3 2 6 7 -1 -1 3 0 21 + 295 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 1 1 21 + 302 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 327 RST_DLY_0_ 3 -1 1 2 1 6 -1 -1 4 0 21 + 344 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 331 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 3 0 21 + 326 SM_AMIGA_0_ 3 -1 7 2 5 7 -1 -1 3 0 21 + 325 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 324 SM_AMIGA_4_ 3 -1 5 2 1 5 -1 -1 3 0 21 + 321 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 + 320 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 + 306 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 304 CYCLE_DMA_0_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 293 cpu_est_0_ 3 -1 5 2 3 5 -1 -1 3 0 21 + 329 RST_DLY_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 328 RST_DLY_1_ 3 -1 6 2 1 6 -1 -1 2 1 21 + 322 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 319 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 + 297 inst_AS_000_INT 3 -1 1 2 1 4 -1 -1 2 0 21 + 314 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 308 inst_VPA_D 3 -1 3 2 3 5 -1 -1 1 0 21 + 301 inst_BGACK_030_INT_D 3 -1 4 2 2 6 -1 -1 1 0 21 + 343 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 342 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 336 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 303 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 330 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 337 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 333 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 + 332 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 4 1 21 + 305 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 4 0 21 + 340 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 345 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 341 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 338 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 335 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 318 CLK_000_D_2_ 3 -1 7 1 5 -1 -1 1 0 21 + 317 IPL_D0_2_ 3 -1 5 1 1 -1 -1 1 0 21 + 316 IPL_D0_1_ 3 -1 3 1 1 -1 -1 1 0 21 + 315 IPL_D0_0_ 3 -1 2 1 1 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 310 inst_DTACK_D0 3 -1 6 1 5 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 2 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 5 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 2 66 -1 + 55 IPL_1_ 1 -1 -1 2 1 3 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 0 63 -1 + 59 A_1_ 1 -1 -1 1 2 59 -1 + 35 VPA 1 -1 -1 1 3 35 -1 + 29 DTACK 1 -1 -1 1 6 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 +115 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 41 AS_000 5 -1 4 5 0 1 3 4 7 41 -1 1 0 21 + 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 + 79 RW_000 5 338 7 3 1 4 6 79 -1 4 0 21 + 68 A_0_ 5 341 6 2 2 6 68 -1 3 0 21 + 70 RW 5 346 6 2 2 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 1 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 1 6 30 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 337 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 343 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 342 1 0 6 -1 10 0 21 + 82 BGACK_030 5 340 7 0 82 -1 3 0 21 + 34 VMA 5 345 3 0 34 -1 3 0 21 + 80 DSACK1 5 344 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 339 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 340 RN_BGACK_030 3 82 7 7 0 1 2 3 4 6 7 82 -1 3 0 21 + 311 inst_RESET_OUT 3 -1 0 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 324 SM_AMIGA_6_ 3 -1 0 5 0 2 5 6 7 -1 -1 3 0 21 + 312 CLK_000_D_0_ 3 -1 5 5 0 2 3 5 7 -1 -1 1 0 21 + 309 CLK_000_D_1_ 3 -1 7 5 0 2 3 5 7 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 4 5 0 2 3 4 7 -1 -1 1 0 21 + 300 inst_AS_030_000_SYNC 3 -1 0 3 0 3 5 -1 -1 7 0 21 + 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 293 cpu_est_3_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 + 301 inst_BGACK_030_INT_D 3 -1 4 3 0 2 6 -1 -1 1 0 21 + 296 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 1 1 21 + 335 SM_AMIGA_i_7_ 3 -1 5 2 0 7 -1 -1 13 1 21 + 303 inst_DS_000_DMA 3 -1 1 2 0 1 -1 -1 9 0 21 + 302 inst_AS_000_DMA 3 -1 1 2 1 7 -1 -1 7 0 21 + 305 CYCLE_DMA_1_ 3 -1 3 2 1 3 -1 -1 4 0 21 + 345 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 327 SM_AMIGA_0_ 3 -1 7 2 5 7 -1 -1 3 0 21 + 326 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 325 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 322 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 321 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 307 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 306 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 304 CYCLE_DMA_0_ 3 -1 3 2 1 3 -1 -1 3 0 21 + 294 cpu_est_0_ 3 -1 3 2 3 5 -1 -1 3 0 21 + 323 inst_UDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 + 320 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 + 314 inst_CLK_OUT_PRE_25 3 -1 2 2 2 4 -1 -1 2 0 21 + 297 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 319 CLK_000_D_2_ 3 -1 7 2 0 5 -1 -1 1 0 21 + 315 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_50 3 -1 6 2 2 6 -1 -1 1 0 21 + 308 inst_VPA_D 3 -1 0 2 3 5 -1 -1 1 0 21 + 343 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 342 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 337 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 331 inst_CLK_030_H 3 -1 1 1 1 -1 -1 8 0 21 + 338 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 334 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 + 333 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 4 1 21 + 328 RST_DLY_0_ 3 -1 0 1 0 -1 -1 4 0 21 + 341 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 332 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 3 0 21 + 346 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 344 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 339 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 336 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 330 RST_DLY_2_ 3 -1 0 1 0 -1 -1 2 0 21 + 329 RST_DLY_1_ 3 -1 0 1 0 -1 -1 2 1 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 318 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 4 1 1 -1 -1 1 0 21 + 310 inst_DTACK_D0 3 -1 5 1 5 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 0 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 0 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 0 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 0 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 0 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 0 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 4 66 -1 + 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 1 63 -1 + 59 A_1_ 1 -1 -1 1 2 59 -1 + 35 VPA 1 -1 -1 1 0 35 -1 + 29 DTACK 1 -1 -1 1 5 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 5 10 -1 +115 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 41 AS_000 5 -1 4 4 0 3 4 7 41 -1 1 0 21 + 79 RW_000 5 338 7 3 0 4 6 79 -1 4 0 21 + 81 AS_030 5 -1 7 3 4 5 7 81 -1 1 0 21 + 40 BERR 5 -1 4 3 1 5 7 40 -1 1 0 21 + 68 A_0_ 5 344 6 2 1 2 68 -1 3 0 21 + 70 RW 5 343 6 2 1 7 70 -1 2 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 337 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 346 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 345 1 0 6 -1 10 0 21 + 82 BGACK_030 5 340 7 0 82 -1 3 0 21 + 34 VMA 5 342 3 0 34 -1 3 0 21 + 80 DSACK1 5 341 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 339 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 340 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 311 inst_RESET_OUT 3 -1 6 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 312 CLK_000_D_0_ 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 309 CLK_000_D_1_ 3 -1 3 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 324 SM_AMIGA_6_ 3 -1 0 5 0 1 2 5 7 -1 -1 3 0 21 + 299 inst_AS_030_D0 3 -1 5 5 1 3 4 5 7 -1 -1 1 0 21 + 300 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 7 0 21 + 295 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 293 cpu_est_3_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 335 SM_AMIGA_i_7_ 3 -1 0 3 0 5 7 -1 -1 3 1 21 + 325 SM_AMIGA_4_ 3 -1 5 3 1 2 5 -1 -1 3 0 21 + 294 cpu_est_0_ 3 -1 5 3 2 3 5 -1 -1 3 0 21 + 296 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 1 1 21 + 302 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 342 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 332 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 3 0 21 + 327 SM_AMIGA_0_ 3 -1 7 2 0 7 -1 -1 3 0 21 + 326 SM_AMIGA_1_ 3 -1 2 2 2 7 -1 -1 3 0 21 + 322 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 + 321 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 307 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 306 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 304 CYCLE_DMA_0_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 323 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 320 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 5 2 3 5 -1 -1 2 0 21 + 314 inst_CLK_OUT_PRE_25 3 -1 0 2 0 4 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 297 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 308 inst_VPA_D 3 -1 5 2 2 3 -1 -1 1 0 21 + 301 inst_BGACK_030_INT_D 3 -1 3 2 5 6 -1 -1 1 0 21 + 346 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 345 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 337 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 303 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 331 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 338 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 334 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 4 0 21 + 333 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 4 0 21 + 328 RST_DLY_0_ 3 -1 6 1 6 -1 -1 4 0 21 + 305 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 4 0 21 + 344 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 343 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 341 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 339 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 336 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 330 RST_DLY_2_ 3 -1 6 1 6 -1 -1 2 0 21 + 329 RST_DLY_1_ 3 -1 6 1 6 -1 -1 2 1 21 + 319 CLK_000_D_2_ 3 -1 7 1 0 -1 -1 1 0 21 + 318 IPL_D0_2_ 3 -1 3 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_50 3 -1 0 1 0 -1 -1 1 0 21 + 310 inst_DTACK_D0 3 -1 0 1 2 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 3 67 -1 + 59 A_1_ 1 -1 -1 2 5 6 59 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 0 63 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 29 DTACK 1 -1 -1 1 0 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 +115 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 41 AS_000 5 -1 4 4 0 1 4 7 41 -1 1 0 21 + 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 + 79 RW_000 5 338 7 3 0 4 6 79 -1 4 0 21 + 81 AS_030 5 -1 7 3 3 4 7 81 -1 1 0 21 + 68 A_0_ 5 344 6 2 2 3 68 -1 3 0 21 + 70 RW 5 343 6 2 2 7 70 -1 2 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 337 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 346 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 345 1 0 6 -1 10 0 21 + 82 BGACK_030 5 340 7 0 82 -1 3 0 21 + 34 VMA 5 342 3 0 34 -1 3 0 21 + 80 DSACK1 5 341 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 339 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 340 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 311 inst_RESET_OUT 3 -1 1 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 312 CLK_000_D_0_ 3 -1 4 6 0 1 2 3 5 7 -1 -1 1 0 21 + 309 CLK_000_D_1_ 3 -1 7 6 0 1 2 3 5 7 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 3 5 2 3 4 5 7 -1 -1 1 0 21 + 324 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 3 0 21 + 295 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 + 293 cpu_est_3_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 + 296 cpu_est_2_ 3 -1 0 3 0 3 6 -1 -1 1 1 21 + 302 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 300 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 7 0 21 + 334 SM_AMIGA_2_ 3 -1 0 2 0 2 -1 -1 5 0 21 + 328 RST_DLY_0_ 3 -1 5 2 1 5 -1 -1 4 0 21 + 305 CYCLE_DMA_1_ 3 -1 1 2 0 1 -1 -1 4 0 21 + 342 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 335 SM_AMIGA_i_7_ 3 -1 5 2 5 7 -1 -1 3 1 21 + 327 SM_AMIGA_0_ 3 -1 7 2 5 7 -1 -1 3 0 21 + 326 SM_AMIGA_1_ 3 -1 2 2 2 7 -1 -1 3 0 21 + 325 SM_AMIGA_4_ 3 -1 2 2 0 2 -1 -1 3 0 21 + 322 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 321 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 307 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 306 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 304 CYCLE_DMA_0_ 3 -1 0 2 0 1 -1 -1 3 0 21 + 294 cpu_est_0_ 3 -1 0 2 0 3 -1 -1 3 0 21 + 330 RST_DLY_2_ 3 -1 5 2 1 5 -1 -1 2 0 21 + 329 RST_DLY_1_ 3 -1 5 2 1 5 -1 -1 2 1 21 + 320 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 314 inst_CLK_OUT_PRE_25 3 -1 1 2 1 6 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 297 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_D 3 -1 6 2 1 6 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_50 3 -1 6 2 1 6 -1 -1 1 0 21 + 308 inst_VPA_D 3 -1 3 2 0 3 -1 -1 1 0 21 + 301 inst_BGACK_030_INT_D 3 -1 5 2 5 6 -1 -1 1 0 21 + 346 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 345 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 337 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 303 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 331 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 333 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 5 0 21 + 338 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 344 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 332 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 3 0 21 + 343 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 341 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 339 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 336 N_262 3 -1 4 1 4 -1 -1 2 0 21 + 323 inst_UDS_000_INT 3 -1 3 1 3 -1 -1 2 0 21 + 319 CLK_000_D_2_ 3 -1 7 1 5 -1 -1 1 0 21 + 318 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 3 1 1 -1 -1 1 0 21 + 310 inst_DTACK_D0 3 -1 2 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 66 IPL_0_ 1 -1 -1 2 1 3 66 -1 + 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 63 CLK_030 1 -1 -1 1 0 63 -1 + 59 A_1_ 1 -1 -1 1 6 59 -1 + 35 VPA 1 -1 -1 1 3 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 4 10 -1 +115 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 41 AS_000 5 -1 4 6 0 2 4 5 6 7 41 -1 1 0 21 + 79 RW_000 5 338 7 3 4 5 6 79 -1 4 0 21 + 31 UDS_000 5 -1 3 3 2 5 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 2 5 6 30 -1 1 0 21 + 68 A_0_ 5 344 6 2 3 5 68 -1 3 0 21 + 70 RW 5 343 6 2 3 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 5 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 5 69 -1 1 0 21 + 40 BERR 5 -1 4 1 0 40 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 337 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 346 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 345 1 0 6 -1 10 0 21 + 82 BGACK_030 5 340 7 0 82 -1 3 0 21 + 34 VMA 5 342 3 0 34 -1 3 0 21 + 80 DSACK1 5 341 7 0 80 -1 2 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 339 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 340 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 311 inst_RESET_OUT 3 -1 0 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 309 CLK_000_D_1_ 3 -1 7 6 0 1 3 4 6 7 -1 -1 1 0 21 + 312 CLK_000_D_0_ 3 -1 1 5 0 1 3 6 7 -1 -1 1 0 21 + 324 SM_AMIGA_6_ 3 -1 1 4 1 3 5 7 -1 -1 3 0 21 + 295 cpu_est_0_ 3 -1 1 4 0 1 3 6 -1 -1 3 0 21 + 299 inst_AS_030_D0 3 -1 4 4 2 3 4 7 -1 -1 1 0 21 + 302 inst_AS_000_DMA 3 -1 2 3 2 5 7 -1 -1 7 0 21 + 300 inst_AS_030_000_SYNC 3 -1 2 3 1 2 3 -1 -1 7 0 21 + 305 CYCLE_DMA_1_ 3 -1 6 3 2 5 6 -1 -1 4 0 21 + 296 cpu_est_1_ 3 -1 6 3 0 3 6 -1 -1 4 0 21 + 294 cpu_est_3_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 + 335 SM_AMIGA_i_7_ 3 -1 1 3 1 2 7 -1 -1 3 1 21 + 325 SM_AMIGA_4_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 + 306 SIZE_DMA_0_ 3 -1 2 3 2 6 7 -1 -1 3 0 21 + 304 CYCLE_DMA_0_ 3 -1 6 3 2 5 6 -1 -1 3 0 21 + 301 inst_BGACK_030_INT_D 3 -1 4 3 2 5 6 -1 -1 1 0 21 + 293 cpu_est_2_ 3 -1 6 3 0 3 6 -1 -1 1 1 21 + 303 inst_DS_000_DMA 3 -1 5 2 0 5 -1 -1 9 0 21 + 331 inst_CLK_030_H 3 -1 2 2 2 5 -1 -1 8 0 21 + 342 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 332 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 327 SM_AMIGA_0_ 3 -1 7 2 1 7 -1 -1 3 0 21 + 326 SM_AMIGA_1_ 3 -1 0 2 0 7 -1 -1 3 0 21 + 321 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 + 307 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 320 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 5 2 3 5 -1 -1 2 0 21 + 314 inst_CLK_OUT_PRE_25 3 -1 6 2 6 7 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 5 2 2 5 -1 -1 2 0 21 + 297 inst_AS_000_INT 3 -1 3 2 3 4 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_D 3 -1 7 2 1 6 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_50 3 -1 0 2 0 6 -1 -1 1 0 21 + 308 inst_VPA_D 3 -1 5 2 0 3 -1 -1 1 0 21 + 346 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 345 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 337 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 334 SM_AMIGA_2_ 3 -1 0 1 0 -1 -1 5 0 21 + 333 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 5 0 21 + 338 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 328 RST_DLY_0_ 3 -1 0 1 0 -1 -1 4 0 21 + 344 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 322 inst_DS_000_ENABLE 3 -1 3 1 3 -1 -1 3 0 21 + 343 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 341 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 339 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 336 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 330 RST_DLY_2_ 3 -1 0 1 0 -1 -1 2 0 21 + 329 RST_DLY_1_ 3 -1 0 1 0 -1 -1 2 1 21 + 323 inst_UDS_000_INT 3 -1 3 1 3 -1 -1 2 0 21 + 319 CLK_000_D_2_ 3 -1 4 1 1 -1 -1 1 0 21 + 318 IPL_D0_2_ 3 -1 2 1 1 -1 -1 1 0 21 + 317 IPL_D0_1_ 3 -1 3 1 1 -1 -1 1 0 21 + 316 IPL_D0_0_ 3 -1 2 1 1 -1 -1 1 0 21 + 310 inst_DTACK_D0 3 -1 7 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 2 4 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 2 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 2 66 -1 + 63 CLK_030 1 -1 -1 2 2 5 63 -1 + 55 IPL_1_ 1 -1 -1 2 1 3 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 59 A_1_ 1 -1 -1 1 5 59 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 29 DTACK 1 -1 -1 1 7 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 \ No newline at end of file diff --git a/Logic/68030_tk.plc b/Logic/68030_tk.plc index 2493d5b..e59fe0e 100644 --- a/Logic/68030_tk.plc +++ b/Logic/68030_tk.plc @@ -8,27 +8,13 @@ ; Source file 68030_tk.tt4 ; FITTER-generated Placements. ; DEVICE mach447a -; DATE Thu Aug 25 22:27:55 2016 +; DATE Wed Sep 14 23:54:30 2016 -Pin 5 AHIGH_30_ Comb ; S6=1 S9=1 Pair 125 -Pin 6 AHIGH_29_ Comb ; S6=1 S9=1 Pair 137 Pin 79 SIZE_1_ Comb ; S6=1 S9=1 Pair 287 -Pin 15 AHIGH_28_ Comb ; S6=1 S9=1 Pair 149 -Pin 16 AHIGH_27_ Comb ; S6=1 S9=1 Pair 157 Pin 4 AHIGH_31_ Comb ; S6=1 S9=1 Pair 143 -Pin 17 AHIGH_26_ Comb ; S6=1 S9=1 Pair 155 -Pin 18 AHIGH_25_ Comb ; S6=1 S9=1 Pair 167 Pin 85 A_DECODE_23_ -Pin 19 AHIGH_24_ Comb ; S6=1 S9=1 Pair 161 -Pin 84 A_DECODE_22_ -Pin 94 A_DECODE_21_ -Pin 93 A_DECODE_20_ -Pin 97 A_DECODE_19_ -Pin 95 A_DECODE_18_ Pin 68 IPL_2_ -Pin 59 A_DECODE_17_ -Pin 96 A_DECODE_16_ Pin 58 FC_1_ Pin 82 AS_030 Comb ; S6=1 S9=1 Pair 281 Pin 42 AS_000 Comb ; S6=1 S9=1 Pair 203 @@ -41,105 +27,119 @@ Pin 21 BG_030 Pin 28 BGACK_000 Pin 64 CLK_030 Pin 11 CLK_000 +Pin 70 SIZE_0_ Comb ; S6=1 S9=1 Pair 263 Pin 61 CLK_OSZI +Pin 5 AHIGH_30_ Comb ; S6=1 S9=1 Pair 125 Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 247 -Pin 56 IPL_1_ +Pin 6 AHIGH_29_ Comb ; S6=1 S9=1 Pair 137 +Pin 15 AHIGH_28_ Comb ; S6=1 S9=1 Pair 149 Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 271 -Pin 67 IPL_0_ +Pin 16 AHIGH_27_ Comb ; S6=1 S9=1 Pair 157 Pin 91 FPU_SENSE -Pin 57 FC_0_ -Pin 60 A_1_ +Pin 17 AHIGH_26_ Comb ; S6=1 S9=1 Pair 155 +Pin 18 AHIGH_25_ Comb ; S6=1 S9=1 Pair 167 Pin 30 DTACK +Pin 19 AHIGH_24_ Comb ; S6=1 S9=1 Pair 161 Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 +Pin 84 A_DECODE_22_ Pin 66 E Comb ; S6=1 S9=1 Pair 251 +Pin 94 A_DECODE_21_ Pin 36 VPA +Pin 93 A_DECODE_20_ +Pin 97 A_DECODE_19_ Pin 86 RST +Pin 95 A_DECODE_18_ Pin 3 RESET Comb ; S6=1 S9=1 Pair 128 +Pin 59 A_DECODE_17_ +Pin 96 A_DECODE_16_ Pin 33 AMIGA_ADDR_ENABLE Comb ; S6=1 S9=1 Pair 181 Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 199 Pin 20 AMIGA_BUS_ENABLE_LOW Comb ; S6=1 S9=1 Pair 151 Pin 34 AMIGA_BUS_ENABLE_HIGH Comb ; S6=1 S9=1 Pair 179 Pin 47 CIIN Comb ; S6=1 S9=1 Pair 215 -Pin 70 SIZE_0_ Comb ; S6=1 S9=1 Pair 263 +Pin 56 IPL_1_ +Pin 67 IPL_0_ +Pin 57 FC_0_ +Pin 60 A_1_ Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 131 Pin 80 RW_000 Reg ; S6=1 S9=1 Pair 269 Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 175 Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275 -Pin 69 A_0_ Reg ; S6=1 S9=1 Pair 257 -Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 139 -Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 133 Pin 10 CLK_EXP Reg ; S6=1 S9=1 Pair 127 Pin 81 DSACK1 Reg ; S6=1 S9=1 Pair 283 Pin 35 VMA Reg ; S6=1 S9=1 Pair 173 Pin 71 RW Reg ; S6=1 S9=1 Pair 245 -Node 125 RN_AHIGH_30_ Comb ; S6=1 S9=1 -Node 137 RN_AHIGH_29_ Comb ; S6=1 S9=1 +Pin 69 A_0_ Reg ; S6=1 S9=1 Pair 257 +Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 139 +Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 133 Node 287 RN_SIZE_1_ Comb ; S6=1 S9=1 -Node 149 RN_AHIGH_28_ Comb ; S6=1 S9=1 -Node 157 RN_AHIGH_27_ Comb ; S6=1 S9=1 Node 143 RN_AHIGH_31_ Comb ; S6=1 S9=1 -Node 155 RN_AHIGH_26_ Comb ; S6=1 S9=1 -Node 167 RN_AHIGH_25_ Comb ; S6=1 S9=1 -Node 161 RN_AHIGH_24_ Comb ; S6=1 S9=1 Node 281 RN_AS_030 Comb ; S6=1 S9=1 Node 203 RN_AS_000 Comb ; S6=1 S9=1 Node 185 RN_UDS_000 Comb ; S6=1 S9=1 Node 191 RN_LDS_000 Comb ; S6=1 S9=1 Node 197 RN_BERR Comb ; S6=1 S9=1 Node 263 RN_SIZE_0_ Comb ; S6=1 S9=1 +Node 125 RN_AHIGH_30_ Comb ; S6=1 S9=1 +Node 137 RN_AHIGH_29_ Comb ; S6=1 S9=1 +Node 149 RN_AHIGH_28_ Comb ; S6=1 S9=1 +Node 157 RN_AHIGH_27_ Comb ; S6=1 S9=1 +Node 155 RN_AHIGH_26_ Comb ; S6=1 S9=1 +Node 167 RN_AHIGH_25_ Comb ; S6=1 S9=1 +Node 161 RN_AHIGH_24_ Comb ; S6=1 S9=1 Node 131 RN_IPL_030_2_ Reg ; S6=1 S9=1 Node 269 RN_RW_000 Reg ; S6=1 S9=1 Node 175 RN_BG_000 Reg ; S6=1 S9=1 Node 275 RN_BGACK_030 Reg ; S6=1 S9=1 -Node 257 RN_A_0_ Reg ; S6=1 S9=1 -Node 139 RN_IPL_030_1_ Reg ; S6=1 S9=1 -Node 133 RN_IPL_030_0_ Reg ; S6=1 S9=1 Node 283 RN_DSACK1 Reg ; S6=1 S9=1 Node 173 RN_VMA Reg ; S6=1 S9=1 Node 245 RN_RW Reg ; S6=1 S9=1 -Node 217 un10_ciin_i Comb ; S6=1 S9=1 -Node 176 cpu_est_0_ Reg ; S6=1 S9=1 -Node 253 cpu_est_1_ Reg ; S6=1 S9=1 -Node 259 cpu_est_2_ Reg ; S6=1 S9=1 -Node 193 cpu_est_3_ Reg ; S6=1 S9=1 -Node 229 inst_AS_000_INT Reg ; S6=1 S9=1 -Node 260 inst_AMIGA_BUS_ENABLE_DMA_LOW Reg ; S6=1 S9=1 +Node 257 RN_A_0_ Reg ; S6=1 S9=1 +Node 139 RN_IPL_030_1_ Reg ; S6=1 S9=1 +Node 133 RN_IPL_030_0_ Reg ; S6=1 S9=1 +Node 254 cpu_est_2_ Reg ; S6=1 S9=1 +Node 187 cpu_est_3_ Reg ; S6=1 S9=1 +Node 140 cpu_est_0_ Reg ; S6=1 S9=1 +Node 259 cpu_est_1_ Reg ; S6=1 S9=1 +Node 176 inst_AS_000_INT Reg ; S6=1 S9=1 +Node 239 inst_AMIGA_BUS_ENABLE_DMA_LOW Reg ; S6=1 S9=1 Node 209 inst_AS_030_D0 Reg ; S6=1 S9=1 -Node 152 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 +Node 169 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 Node 205 inst_BGACK_030_INT_D Reg ; S6=1 S9=1 -Node 134 inst_AS_000_DMA Reg ; S6=1 S9=1 -Node 145 inst_DS_000_DMA Reg ; S6=1 S9=1 -Node 223 CYCLE_DMA_0_ Reg ; S6=1 S9=1 -Node 221 CYCLE_DMA_1_ Reg ; S6=1 S9=1 -Node 248 SIZE_DMA_0_ Reg ; S6=1 S9=1 -Node 265 SIZE_DMA_1_ Reg ; S6=1 S9=1 -Node 109 inst_VPA_D Reg ; S6=1 S9=1 -Node 230 inst_DTACK_D0 Reg ; S6=1 S9=1 -Node 163 inst_RESET_OUT Reg ; S6=1 S9=1 +Node 163 inst_AS_000_DMA Reg ; S6=1 S9=1 +Node 221 inst_DS_000_DMA Reg ; S6=1 S9=1 +Node 248 CYCLE_DMA_0_ Reg ; S6=1 S9=1 +Node 253 CYCLE_DMA_1_ Reg ; S6=1 S9=1 +Node 152 SIZE_DMA_0_ Reg ; S6=1 S9=1 +Node 260 SIZE_DMA_1_ Reg ; S6=1 S9=1 +Node 223 inst_VPA_D Reg ; S6=1 S9=1 Node 277 CLK_000_D_1_ Reg ; S6=1 S9=1 -Node 187 CLK_000_D_0_ Reg ; S6=1 S9=1 -Node 224 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1 -Node 241 inst_CLK_OUT_PRE_25 Reg ; S6=1 S9=1 -Node 235 inst_CLK_OUT_PRE_D Reg ; S6=1 S9=1 -Node 146 IPL_D0_0_ Reg ; S6=1 S9=1 -Node 211 IPL_D0_1_ Reg ; S6=1 S9=1 -Node 188 IPL_D0_2_ Reg ; S6=1 S9=1 -Node 254 inst_AMIGA_BUS_ENABLE_DMA_HIGH Reg ; S6=1 S9=1 -Node 239 inst_LDS_000_INT Reg ; S6=1 S9=1 -Node 233 inst_DS_000_ENABLE Reg ; S6=1 S9=1 -Node 182 inst_UDS_000_INT Reg ; S6=1 S9=1 -Node 169 SM_AMIGA_6_ Reg ; S6=1 S9=1 -Node 227 SM_AMIGA_4_ Reg ; S6=1 S9=1 -Node 103 SM_AMIGA_1_ Reg ; S6=1 S9=1 +Node 278 inst_DTACK_D0 Reg ; S6=1 S9=1 +Node 113 inst_RESET_OUT Reg ; S6=1 S9=1 +Node 145 CLK_000_D_0_ Reg ; S6=1 S9=1 +Node 103 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1 +Node 266 inst_CLK_OUT_PRE_25 Reg ; S6=1 S9=1 +Node 272 inst_CLK_OUT_PRE_D Reg ; S6=1 S9=1 +Node 170 IPL_D0_0_ Reg ; S6=1 S9=1 +Node 194 IPL_D0_1_ Reg ; S6=1 S9=1 +Node 164 IPL_D0_2_ Reg ; S6=1 S9=1 +Node 217 CLK_000_D_2_ Reg ; S6=1 S9=1 +Node 233 inst_AMIGA_BUS_ENABLE_DMA_HIGH Reg ; S6=1 S9=1 +Node 227 inst_LDS_000_INT Reg ; S6=1 S9=1 +Node 182 inst_DS_000_ENABLE Reg ; S6=1 S9=1 +Node 188 inst_UDS_000_INT Reg ; S6=1 S9=1 +Node 134 SM_AMIGA_6_ Reg ; S6=1 S9=1 +Node 265 SM_AMIGA_4_ Reg ; S6=1 S9=1 +Node 119 SM_AMIGA_1_ Reg ; S6=1 S9=1 Node 289 SM_AMIGA_0_ Reg ; S6=1 S9=1 -Node 158 RST_DLY_0_ Reg ; S6=1 S9=1 -Node 170 RST_DLY_1_ Reg ; S6=1 S9=1 -Node 164 RST_DLY_2_ Reg ; S6=1 S9=1 -Node 140 inst_CLK_030_H Reg ; S6=1 S9=1 -Node 119 SM_AMIGA_5_ Reg ; S6=1 S9=1 -Node 121 SM_AMIGA_3_ Reg ; S6=1 S9=1 -Node 115 SM_AMIGA_2_ Reg ; S6=1 S9=1 -Node 113 SM_AMIGA_i_7_ Reg ; S6=1 S9=1 -Node 266 CIIN_0 Comb ; S6=1 S9=1 +Node 121 RST_DLY_0_ Reg ; S6=1 S9=1 +Node 110 RST_DLY_1_ Reg ; S6=1 S9=1 +Node 104 RST_DLY_2_ Reg ; S6=1 S9=1 +Node 158 inst_CLK_030_H Reg ; S6=1 S9=1 +Node 193 SM_AMIGA_5_ Reg ; S6=1 S9=1 +Node 115 SM_AMIGA_3_ Reg ; S6=1 S9=1 +Node 109 SM_AMIGA_2_ Reg ; S6=1 S9=1 +Node 146 SM_AMIGA_i_7_ Reg ; S6=1 S9=1 +Node 211 CIIN_0 Comb ; S6=1 S9=1 ; Unused Pins & Nodes ; -> None Found. diff --git a/Logic/68030_tk.prd b/Logic/68030_tk.prd index 88c326d..739fedf 100644 --- a/Logic/68030_tk.prd +++ b/Logic/68030_tk.prd @@ -5,8 +5,8 @@ |--------------------------------------------| -Start: Thu Aug 25 22:27:55 2016 -End : Thu Aug 25 22:27:55 2016 $$$ Elapsed time: 00:00:00 +Start: Wed Sep 14 23:54:30 2016 +End : Wed Sep 14 23:54:30 2016 $$$ Elapsed time: 00:00:00 =========================================================================== Part [E:/ispLEVER_Classic2_0/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] @@ -21,16 +21,16 @@ Part [E:/ispLEVER_Classic2_0/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] | | +- Signals to Place | | +----- Logic Array Inputs | | | +- Placed | | | +- Array Inputs Used _|____|____|____|_______________|____|_____________|___|________________ - 0 | 16 | 8 | 8 => 100% | 8 | 8 => 100% | 33 | 25 => 75% - 1 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 24 => 72% - 2 | 16 | 12 | 12 => 100% | 8 | 7 => 87% | 33 | 22 => 66% - 3 | 16 | 11 | 11 => 100% | 8 | 8 => 100% | 33 | 24 => 72% + 0 | 16 | 10 | 10 => 100% | 8 | 8 => 100% | 33 | 24 => 72% + 1 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 23 => 69% + 2 | 16 | 12 | 12 => 100% | 8 | 7 => 87% | 33 | 26 => 78% + 3 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 27 => 81% 4 | 16 | 8 | 8 => 100% | 8 | 4 => 50% | 33 | 31 => 93% - 5 | 16 | 10 | 10 => 100% | 8 | 5 => 62% | 33 | 22 => 66% - 6 | 16 | 12 | 12 => 100% | 8 | 7 => 87% | 33 | 23 => 69% - 7 | 16 | 8 | 8 => 100% | 8 | 8 => 100% | 33 | 28 => 84% + 5 | 16 | 5 | 5 => 100% | 8 | 5 => 62% | 33 | 22 => 66% + 6 | 16 | 12 | 12 => 100% | 8 | 7 => 87% | 33 | 26 => 78% + 7 | 16 | 10 | 10 => 100% | 8 | 8 => 100% | 33 | 29 => 87% ---|----|----|------------|-------|------------|-----|------------------ - | Avg number of array inputs in used blocks : 24.88 => 75% + | Avg number of array inputs in used blocks : 26.00 => 78% * Input/Clock Signal count: 24 -> placed: 24 = 100% @@ -42,8 +42,8 @@ _|____|____|____|_______________|____|_____________|___|________________ Clock/Input Pins : 4 4 => 100% Logic Blocks : 8 8 => 100% Macrocells : 128 81 => 63% - PT Clusters : 128 53 => 41% - - Single PT Clusters : 128 37 => 28% + PT Clusters : 128 52 => 40% + - Single PT Clusters : 128 36 => 28% Input Registers : 0 * Routing Completion: 100% @@ -69,12 +69,12 @@ ___|__|__|____|____________________________________________________________ 10| 4|OUT| 48|=> ....|....| AMIGA_BUS_DATA_DIR 11| 3|OUT| 34|=> ....|....| AMIGA_BUS_ENABLE_HIGH 12| 2|OUT| 20|=> ....|....| AMIGA_BUS_ENABLE_LOW - 13| 4| IO| 42|=> 01..|45.7| AS_000 + 13| 4| IO| 42|=> 0.2.|4567| AS_000 14| 7| IO| 82|=> ....|4..7| AS_030 15| 0|OUT| 92|=> ....|....| AVEC 16| 6| IO| 69|=> ...3|.5..| A_0_ |=> Paired w/: RN_A_0_ - 17| 5|INP| 60|=> ....|..6.| A_1_ + 17| 5|INP| 60|=> ....|.5..| A_1_ 18| 0|INP| 96|=> ..2.|4..7| A_DECODE_16_ 19| 5|INP| 59|=> ..2.|4..7| A_DECODE_17_ 20| 0|INP| 95|=> ..2.|4..7| A_DECODE_18_ @@ -83,7 +83,7 @@ ___|__|__|____|____________________________________________________________ 23| 0|INP| 94|=> ....|4...| A_DECODE_21_ 24| 7|INP| 84|=> ....|4...| A_DECODE_22_ 25| 7|INP| 85|=> ....|4...| A_DECODE_23_ - 26| 4| IO| 41|=> 0.2.|.5.7| BERR + 26| 4| IO| 41|=> 0...|....| BERR 27| 3|INP| 28|=> ....|4..7| BGACK_000 28| 7| IO| 83|=> ....|....| BGACK_030 |=> Paired w/: RN_BGACK_030 @@ -91,107 +91,107 @@ ___|__|__|____|____________________________________________________________ |=> Paired w/: RN_BG_000 30| 2|INP| 21|=> ...3|....| BG_030 31| 4|OUT| 47|=> ....|....| CIIN - 32| 6|NOD| . |=> ....|4...| CIIN_0 - 33| +|INP| 11|=> ...3|....| CLK_000 - 34| 3|NOD| . |=> 0.23|.567| CLK_000_D_0_ - 35| 7|NOD| . |=> 0.23|.567| CLK_000_D_1_ - 36| +|INP| 64|=> .1..|....| CLK_030 - 37| 6|OUT| 65|=> ....|....| CLK_DIV_OUT - 38| 1|OUT| 10|=> ....|....| CLK_EXP - 39| +|Cin| 61|=> ....|....| CLK_OSZI - 40| 5|NOD| . |=> .1..|.5..| CYCLE_DMA_0_ - 41| 5|NOD| . |=> .1..|.5..| CYCLE_DMA_1_ - 42| 7| IO| 81|=> ....|....| DSACK1 + 32| 4|NOD| . |=> ....|4...| CIIN_0 + 33| +|INP| 11|=> .1..|....| CLK_000 + 34| 1|NOD| . |=> 01.3|..67| CLK_000_D_0_ + 35| 7|NOD| . |=> 01.3|4.67| CLK_000_D_1_ + 36| 4|NOD| . |=> .1..|....| CLK_000_D_2_ + 37| +|INP| 64|=> ..2.|.5..| CLK_030 + 38| 6|OUT| 65|=> ....|....| CLK_DIV_OUT + 39| 1|OUT| 10|=> ....|....| CLK_EXP + 40| +|Cin| 61|=> ....|....| CLK_OSZI + 41| 6|NOD| . |=> ..2.|.56.| CYCLE_DMA_0_ + 42| 6|NOD| . |=> ..2.|.56.| CYCLE_DMA_1_ + 43| 7| IO| 81|=> ....|....| DSACK1 |=> Paired w/: RN_DSACK1 - 43| 0|OUT| 98|=> ....|....| DS_030 - 44| 3|INP| 30|=> ....|.5..| DTACK - 45| 6|OUT| 66|=> ....|....| E - 46| 5|INP| 57|=> ..2.|4..7| FC_0_ - 47| 5|INP| 58|=> ..2.|4..7| FC_1_ - 48| 7|OUT| 78|=> ....|....| FPU_CS - 49| 0|INP| 91|=> ....|4..7| FPU_SENSE - 50| 1| IO| 8|=> ....|....| IPL_030_0_ + 44| 0|OUT| 98|=> ....|....| DS_030 + 45| 3|INP| 30|=> ....|...7| DTACK + 46| 6|OUT| 66|=> ....|....| E + 47| 5|INP| 57|=> ..2.|4..7| FC_0_ + 48| 5|INP| 58|=> ..2.|4..7| FC_1_ + 49| 7|OUT| 78|=> ....|....| FPU_CS + 50| 0|INP| 91|=> ....|4..7| FPU_SENSE + 51| 1| IO| 8|=> ....|....| IPL_030_0_ |=> Paired w/: RN_IPL_030_0_ - 51| 1| IO| 7|=> ....|....| IPL_030_1_ + 52| 1| IO| 7|=> ....|....| IPL_030_1_ |=> Paired w/: RN_IPL_030_1_ - 52| 1| IO| 9|=> ....|....| IPL_030_2_ + 53| 1| IO| 9|=> ....|....| IPL_030_2_ |=> Paired w/: RN_IPL_030_2_ - 53| 6|INP| 67|=> .1..|....| IPL_0_ - 54| 5|INP| 56|=> .1..|4...| IPL_1_ - 55| 6|INP| 68|=> .1.3|....| IPL_2_ - 56| 1|NOD| . |=> .1..|....| IPL_D0_0_ - 57| 4|NOD| . |=> .1..|....| IPL_D0_1_ - 58| 3|NOD| . |=> .1..|....| IPL_D0_2_ - 59| 3| IO| 31|=> .1..|..6.| LDS_000 - 60| 1|OUT| 3|=> ....|....| RESET - 61| 6|NOD| . |=> ....|..6.| RN_A_0_ + 54| 6|INP| 67|=> .12.|....| IPL_0_ + 55| 5|INP| 56|=> .1.3|....| IPL_1_ + 56| 6|INP| 68|=> .12.|....| IPL_2_ + 57| 2|NOD| . |=> .1..|....| IPL_D0_0_ + 58| 3|NOD| . |=> .1..|....| IPL_D0_1_ + 59| 2|NOD| . |=> .1..|....| IPL_D0_2_ + 60| 3| IO| 31|=> ..2.|.56.| LDS_000 + 61| 1|OUT| 3|=> ....|....| RESET + 62| 6|NOD| . |=> ....|..6.| RN_A_0_ |=> Paired w/: A_0_ - 62| 7|NOD| . |=> 0123|4567| RN_BGACK_030 + 63| 7|NOD| . |=> 0123|4567| RN_BGACK_030 |=> Paired w/: BGACK_030 - 63| 3|NOD| . |=> ...3|....| RN_BG_000 + 64| 3|NOD| . |=> ...3|....| RN_BG_000 |=> Paired w/: BG_000 - 64| 7|NOD| . |=> ....|...7| RN_DSACK1 + 65| 7|NOD| . |=> ....|...7| RN_DSACK1 |=> Paired w/: DSACK1 - 65| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ + 66| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ |=> Paired w/: IPL_030_0_ - 66| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ + 67| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ |=> Paired w/: IPL_030_1_ - 67| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ + 68| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ |=> Paired w/: IPL_030_2_ - 68| 6|NOD| . |=> ....|..6.| RN_RW + 69| 6|NOD| . |=> ....|..6.| RN_RW |=> Paired w/: RW - 69| 7|NOD| . |=> ....|...7| RN_RW_000 + 70| 7|NOD| . |=> ....|...7| RN_RW_000 |=> Paired w/: RW_000 - 70| 3|NOD| . |=> 0..3|....| RN_VMA + 71| 3|NOD| . |=> 0..3|....| RN_VMA |=> Paired w/: VMA - 71| +|INP| 86|=> 0123|4567| RST - 72| 2|NOD| . |=> ..2.|....| RST_DLY_0_ - 73| 2|NOD| . |=> ..2.|....| RST_DLY_1_ - 74| 2|NOD| . |=> ..2.|....| RST_DLY_2_ - 75| 6| IO| 71|=> ....|.5.7| RW + 72| +|INP| 86|=> 0123|4567| RST + 73| 0|NOD| . |=> 0...|....| RST_DLY_0_ + 74| 0|NOD| . |=> 0...|....| RST_DLY_1_ + 75| 0|NOD| . |=> 0...|....| RST_DLY_2_ + 76| 6| IO| 71|=> ...3|...7| RW |=> Paired w/: RN_RW - 76| 7| IO| 80|=> .1..|4.6.| RW_000 + 77| 7| IO| 80|=> ....|456.| RW_000 |=> Paired w/: RN_RW_000 - 77| 6| IO| 70|=> ....|.5..| SIZE_0_ - 78| 7| IO| 79|=> ....|.5..| SIZE_1_ - 79| 6|NOD| . |=> ....|..67| SIZE_DMA_0_ - 80| 6|NOD| . |=> ....|..67| SIZE_DMA_1_ - 81| 7|NOD| . |=> 0...|...7| SM_AMIGA_0_ - 82| 0|NOD| . |=> 0...|...7| SM_AMIGA_1_ - 83| 0|NOD| . |=> 0...|....| SM_AMIGA_2_ - 84| 0|NOD| . |=> 0...|....| SM_AMIGA_3_ - 85| 5|NOD| . |=> 0...|.5..| SM_AMIGA_4_ - 86| 0|NOD| . |=> 0...|.5..| SM_AMIGA_5_ - 87| 2|NOD| . |=> 0.23|.5.7| SM_AMIGA_6_ - 88| 0|NOD| . |=> ..2.|...7| SM_AMIGA_i_7_ - 89| 3| IO| 32|=> .1..|..6.| UDS_000 - 90| 3| IO| 35|=> ....|....| VMA + 78| 6| IO| 70|=> ....|.5..| SIZE_0_ + 79| 7| IO| 79|=> ....|.5..| SIZE_1_ + 80| 2|NOD| . |=> ..2.|..67| SIZE_DMA_0_ + 81| 6|NOD| . |=> ....|..67| SIZE_DMA_1_ + 82| 7|NOD| . |=> .1..|...7| SM_AMIGA_0_ + 83| 0|NOD| . |=> 0...|...7| SM_AMIGA_1_ + 84| 0|NOD| . |=> 0...|....| SM_AMIGA_2_ + 85| 0|NOD| . |=> 0...|....| SM_AMIGA_3_ + 86| 6|NOD| . |=> 0..3|..6.| SM_AMIGA_4_ + 87| 3|NOD| . |=> ...3|..6.| SM_AMIGA_5_ + 88| 1|NOD| . |=> .1.3|.5.7| SM_AMIGA_6_ + 89| 1|NOD| . |=> .12.|...7| SM_AMIGA_i_7_ + 90| 3| IO| 32|=> ..2.|.56.| UDS_000 + 91| 3| IO| 35|=> ....|....| VMA |=> Paired w/: RN_VMA - 91| +|INP| 36|=> 0...|....| VPA - 92| 3|NOD| . |=> 0..3|..6.| cpu_est_0_ - 93| 6|NOD| . |=> 0..3|..6.| cpu_est_1_ - 94| 6|NOD| . |=> 0..3|..6.| cpu_est_2_ - 95| 3|NOD| . |=> 0..3|..6.| cpu_est_3_ - 96| 6|NOD| . |=> ...3|..6.| inst_AMIGA_BUS_ENABLE_DMA_HIGH - 97| 6|NOD| . |=> ..2.|..6.| inst_AMIGA_BUS_ENABLE_DMA_LOW - 98| 1|NOD| . |=> .1..|...7| inst_AS_000_DMA - 99| 5|NOD| . |=> ....|45..| inst_AS_000_INT - 100| 2|NOD| . |=> 0.23|....| inst_AS_030_000_SYNC - 101| 4|NOD| . |=> ..23|45.7| inst_AS_030_D0 - 102| 4|NOD| . |=> ..2.|..6.| inst_BGACK_030_INT_D - 103| 1|NOD| . |=> .1..|....| inst_CLK_030_H - 104| 5|NOD| . |=> ....|.5..| inst_CLK_OUT_PRE_25 - 105| 5|NOD| . |=> ....|.5..| inst_CLK_OUT_PRE_50 - 106| 5|NOD| . |=> .1..|..6.| inst_CLK_OUT_PRE_D - 107| 1|NOD| . |=> 01..|....| inst_DS_000_DMA - 108| 5|NOD| . |=> ...3|.5..| inst_DS_000_ENABLE - 109| 5|NOD| . |=> 0...|....| inst_DTACK_D0 - 110| 5|NOD| . |=> ...3|.5..| inst_LDS_000_INT - 111| 2|NOD| . |=> 0123|4.67| inst_RESET_OUT - 112| 3|NOD| . |=> ...3|....| inst_UDS_000_INT - 113| 0|NOD| . |=> 0..3|....| inst_VPA_D - 114| +|INP| 14|=> 0123|4.67| nEXP_SPACE - 115| 4|NOD| . |=> ....|..6.| un10_ciin_i + 92| +|INP| 36|=> ....|.5..| VPA + 93| 1|NOD| . |=> 01.3|..6.| cpu_est_0_ + 94| 6|NOD| . |=> 0..3|..6.| cpu_est_1_ + 95| 6|NOD| . |=> 0..3|..6.| cpu_est_2_ + 96| 3|NOD| . |=> 0..3|..6.| cpu_est_3_ + 97| 5|NOD| . |=> ...3|.5..| inst_AMIGA_BUS_ENABLE_DMA_HIGH + 98| 5|NOD| . |=> ..2.|.5..| inst_AMIGA_BUS_ENABLE_DMA_LOW + 99| 2|NOD| . |=> ..2.|.5.7| inst_AS_000_DMA + 100| 3|NOD| . |=> ...3|4...| inst_AS_000_INT + 101| 2|NOD| . |=> .123|....| inst_AS_030_000_SYNC + 102| 4|NOD| . |=> ..23|4..7| inst_AS_030_D0 + 103| 4|NOD| . |=> ..2.|.56.| inst_BGACK_030_INT_D + 104| 2|NOD| . |=> ..2.|.5..| inst_CLK_030_H + 105| 6|NOD| . |=> ....|..67| inst_CLK_OUT_PRE_25 + 106| 0|NOD| . |=> 0...|..6.| inst_CLK_OUT_PRE_50 + 107| 7|NOD| . |=> .1..|..6.| inst_CLK_OUT_PRE_D + 108| 5|NOD| . |=> 0...|.5..| inst_DS_000_DMA + 109| 3|NOD| . |=> ...3|....| inst_DS_000_ENABLE + 110| 7|NOD| . |=> 0...|....| inst_DTACK_D0 + 111| 5|NOD| . |=> ...3|.5..| inst_LDS_000_INT + 112| 0|NOD| . |=> 0123|4.67| inst_RESET_OUT + 113| 3|NOD| . |=> ...3|....| inst_UDS_000_INT + 114| 5|NOD| . |=> 0..3|....| inst_VPA_D + 115| +|INP| 14|=> 0123|4.67| nEXP_SPACE --------------------------------------------------------------------------- =========================================================================== < E:/ispLEVER_Classic2_0/ispcpld/dat/mach4a/mach447a Device Pin Assignments > @@ -312,19 +312,19 @@ ____|_____|_________|______________________________________________________ | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| DS_030|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| SM_AMIGA_1_|NOD| | S | 3 | 4 to [ 1]| 1 XOR free - 2| | ? | | S | | 4 free | 1 XOR free + 1|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig + 2| RST_DLY_2_|NOD| | S | 2 | 4 to [ 2]| 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free 4| AVEC|OUT| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6| | ? | | S | | 4 free | 1 XOR free - 7| | ? | | S | | 4 to [ 8]| 1 XOR free - 8| SM_AMIGA_i_7_|NOD| | S |13 :+: 1| 4 to [ 8]| 1 XOR to [ 8] - 9| SM_AMIGA_2_|NOD| | S | 4 | 4 to [ 9]| 1 XOR free -10| | ? | | S | | 4 to [ 8]| 1 XOR to [ 8] as logic PT + 5| SM_AMIGA_2_|NOD| | S | 5 | 4 to [ 5]| 1 XOR to [ 5] as logic PT + 6| RST_DLY_1_|NOD| | S | 2 :+: 1| 4 to [ 6]| 1 XOR to [ 6] + 7| | ? | | S | | 4 free | 1 XOR free + 8|inst_RESET_OUT|NOD| | S | 2 | 4 to [ 8]| 1 XOR free + 9| SM_AMIGA_3_|NOD| | S | 5 | 4 to [ 9]| 1 XOR to [ 9] as logic PT +10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12| SM_AMIGA_5_|NOD| | S | 3 | 4 to [12]| 1 XOR free -13| SM_AMIGA_3_|NOD| | S | 4 :+: 1| 4 to [13]| 1 XOR to [13] +12| SM_AMIGA_1_|NOD| | S | 3 | 4 to [12]| 1 XOR free +13| RST_DLY_0_|NOD| | S | 4 | 4 to [13]| 1 XOR free 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -338,20 +338,20 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| DS_030|OUT| | S | 1 |=> can support up to [ 10] logic PT(s) - 1| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 19] logic PT(s) - 2| | ? | | S | |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 18] logic PT(s) - 4| AVEC|OUT| | S | 1 |=> can support up to [ 19] logic PT(s) - 5| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 6| | ? | | S | |=> can support up to [ 9] logic PT(s) - 7| | ? | | S | |=> can support up to [ 6] logic PT(s) - 8| SM_AMIGA_i_7_|NOD| | S |13 :+: 1|=> can support up to [ 14] logic PT(s) - 9| SM_AMIGA_2_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) -10| | ? | | S | |=> can support up to [ 5] logic PT(s) -11| | ? | | S | |=> can support up to [ 5] logic PT(s) -12| SM_AMIGA_5_|NOD| | S | 3 |=> can support up to [ 15] logic PT(s) -13| SM_AMIGA_3_|NOD| | S | 4 :+: 1|=> can support up to [ 14] logic PT(s) + 0| DS_030|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) + 1|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 2| RST_DLY_2_|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) + 3| | ? | | S | |=> can support up to [ 9] logic PT(s) + 4| AVEC|OUT| | S | 1 |=> can support up to [ 10] logic PT(s) + 5| SM_AMIGA_2_|NOD| | S | 5 |=> can support up to [ 14] logic PT(s) + 6| RST_DLY_1_|NOD| | S | 2 :+: 1|=> can support up to [ 9] logic PT(s) + 7| | ? | | S | |=> can support up to [ 5] logic PT(s) + 8|inst_RESET_OUT|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) + 9| SM_AMIGA_3_|NOD| | S | 5 |=> can support up to [ 15] logic PT(s) +10| | ? | | S | |=> can support up to [ 10] logic PT(s) +11| | ? | | S | |=> can support up to [ 10] logic PT(s) +12| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 15] logic PT(s) +13| RST_DLY_0_|NOD| | S | 4 |=> can support up to [ 15] logic PT(s) 14| | ? | | S | |=> can support up to [ 10] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- @@ -364,19 +364,19 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0| DS_030|OUT| | => | 5 6 ( 7) 0 | 96 97 ( 98) 91 - 1| SM_AMIGA_1_|NOD| | => | 5 6 7 0 | 96 97 98 91 - 2| | | | => | 6 7 0 1 | 97 98 91 92 + 1|inst_CLK_OUT_PRE_50|NOD| | => | 5 6 7 0 | 96 97 98 91 + 2| RST_DLY_2_|NOD| | => | 6 7 0 1 | 97 98 91 92 3| | | | => | 6 7 0 1 | 97 98 91 92 4| AVEC|OUT| | => | 7 0 ( 1) 2 | 98 91 ( 92) 93 - 5| inst_VPA_D|NOD| | => | 7 0 1 2 | 98 91 92 93 - 6| | | | => | 0 1 2 3 | 91 92 93 94 + 5| SM_AMIGA_2_|NOD| | => | 7 0 1 2 | 98 91 92 93 + 6| RST_DLY_1_|NOD| | => | 0 1 2 3 | 91 92 93 94 7| | | | => | 0 1 2 3 | 91 92 93 94 - 8| SM_AMIGA_i_7_|NOD| | => | 1 2 3 4 | 92 93 94 95 - 9| SM_AMIGA_2_|NOD| | => | 1 2 3 4 | 92 93 94 95 + 8|inst_RESET_OUT|NOD| | => | 1 2 3 4 | 92 93 94 95 + 9| SM_AMIGA_3_|NOD| | => | 1 2 3 4 | 92 93 94 95 10| | | | => | 2 3 4 5 | 93 94 95 96 11| | | | => | 2 3 4 5 | 93 94 95 96 -12| SM_AMIGA_5_|NOD| | => | 3 4 5 6 | 94 95 96 97 -13| SM_AMIGA_3_|NOD| | => | 3 4 5 6 | 94 95 96 97 +12| SM_AMIGA_1_|NOD| | => | 3 4 5 6 | 94 95 96 97 +13| RST_DLY_0_|NOD| | => | 3 4 5 6 | 94 95 96 97 14| | | | => | 4 5 6 7 | 95 96 97 98 15| | | | => | 4 5 6 7 | 95 96 97 98 --------------------------------------------------------------------------- @@ -428,27 +428,27 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 91|INP FPU_SENSE|*|*] [RegIn 0 |102| -| | ] [MCell 0 |101|OUT DS_030| | ] - [MCell 1 |103|NOD SM_AMIGA_1_| |*] + [MCell 1 |103|NOD inst_CLK_OUT_PRE_50| |*] 1 [IOpin 1 | 92|OUT AVEC|*| ] [RegIn 1 |105| -| | ] - [MCell 2 |104| -| | ] + [MCell 2 |104|NOD RST_DLY_2_| |*] [MCell 3 |106| -| | ] 2 [IOpin 2 | 93|INP A_DECODE_20_|*|*] [RegIn 2 |108| -| | ] [MCell 4 |107|OUT AVEC| | ] - [MCell 5 |109|NOD inst_VPA_D| |*] + [MCell 5 |109|NOD SM_AMIGA_2_| |*] 3 [IOpin 3 | 94|INP A_DECODE_21_|*|*] [RegIn 3 |111| -| | ] - [MCell 6 |110| -| | ] + [MCell 6 |110|NOD RST_DLY_1_| |*] [MCell 7 |112| -| | ] 4 [IOpin 4 | 95|INP A_DECODE_18_|*|*] [RegIn 4 |114| -| | ] - [MCell 8 |113|NOD SM_AMIGA_i_7_| |*] - [MCell 9 |115|NOD SM_AMIGA_2_| |*] + [MCell 8 |113|NOD inst_RESET_OUT| |*] + [MCell 9 |115|NOD SM_AMIGA_3_| |*] 5 [IOpin 5 | 96|INP A_DECODE_16_|*|*] [RegIn 5 |117| -| | ] @@ -457,8 +457,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 97|INP A_DECODE_19_|*|*] [RegIn 6 |120| -| | ] - [MCell 12 |119|NOD SM_AMIGA_5_| |*] - [MCell 13 |121|NOD SM_AMIGA_3_| |*] + [MCell 12 |119|NOD SM_AMIGA_1_| |*] + [MCell 13 |121|NOD RST_DLY_0_| |*] 7 [IOpin 7 | 98|OUT DS_030|*| ] [RegIn 7 |123| -| | ] @@ -472,38 +472,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- Mux00| Input Pin ( 86)| RST -Mux01| Mcel 5 6 ( 230)| inst_DTACK_D0 -Mux02| Mcel 0 9 ( 115)| SM_AMIGA_2_ -Mux03| Mcel 3 2 ( 176)| cpu_est_0_ +Mux01| Mcel 3 0 ( 173)| RN_VMA +Mux02| Mcel 1 10 ( 140)| cpu_est_0_ +Mux03| Mcel 0 8 ( 113)| inst_RESET_OUT Mux04| Mcel 7 5 ( 277)| CLK_000_D_1_ Mux05| Input Pin ( 14)| nEXP_SPACE Mux06| ... | ... -Mux07| Mcel 3 9 ( 187)| CLK_000_D_0_ +Mux07| Mcel 3 9 ( 187)| cpu_est_3_ Mux08| ... | ... -Mux09| Mcel 0 1 ( 103)| SM_AMIGA_1_ -Mux10| Input Pin ( 36)| VPA -Mux11| Mcel 2 13 ( 169)| SM_AMIGA_6_ -Mux12| Mcel 6 9 ( 259)| cpu_est_2_ -Mux13| Mcel 2 9 ( 163)| inst_RESET_OUT -Mux14| Mcel 5 4 ( 227)| SM_AMIGA_4_ -Mux15| Mcel 0 12 ( 119)| SM_AMIGA_5_ +Mux09| Mcel 0 1 ( 103)| inst_CLK_OUT_PRE_50 +Mux10| Mcel 1 13 ( 145)| CLK_000_D_0_ +Mux11| ... | ... +Mux12| Mcel 6 13 ( 265)| SM_AMIGA_4_ +Mux13| ... | ... +Mux14| ... | ... +Mux15| Mcel 0 6 ( 110)| RST_DLY_1_ Mux16| IOPin 4 1 ( 42)| AS_000 Mux17| IOPin 4 0 ( 41)| BERR -Mux18| Mcel 0 5 ( 109)| inst_VPA_D -Mux19| Mcel 7 13 ( 289)| SM_AMIGA_0_ +Mux18| Mcel 0 5 ( 109)| SM_AMIGA_2_ +Mux19| Mcel 0 9 ( 115)| SM_AMIGA_3_ Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Mcel 1 13 ( 145)| inst_DS_000_DMA -Mux22| Mcel 6 5 ( 253)| cpu_est_1_ -Mux23| ... | ... -Mux24| ... | ... -Mux25| Mcel 0 13 ( 121)| SM_AMIGA_3_ -Mux26| Mcel 3 0 ( 173)| RN_VMA -Mux27| ... | ... +Mux21| Mcel 7 6 ( 278)| inst_DTACK_D0 +Mux22| Mcel 0 2 ( 104)| RST_DLY_2_ +Mux23| Mcel 6 6 ( 254)| cpu_est_2_ +Mux24| Mcel 0 12 ( 119)| SM_AMIGA_1_ +Mux25| Mcel 0 13 ( 121)| RST_DLY_0_ +Mux26| ... | ... +Mux27| Mcel 6 9 ( 259)| cpu_est_1_ Mux28| ... | ... -Mux29| Mcel 3 13 ( 193)| cpu_est_3_ -Mux30| ... | ... -Mux31| ... | ... -Mux32| Mcel 2 2 ( 152)| inst_AS_030_000_SYNC +Mux29| ... | ... +Mux30| Mcel 5 1 ( 223)| inst_VPA_D +Mux31| Mcel 5 0 ( 221)| inst_DS_000_DMA +Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Macrocell (MCell) Cluster Assignments @@ -519,17 +519,17 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 1| CLK_EXP|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig 2| RESET|OUT| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 4| IPL_030_2_| IO| | S |10 | 4 to [ 5]| 1 XOR to [ 5] as logic PT + 4| IPL_030_2_| IO| | S |10 | 4 to [ 4]| 1 XOR to [ 4] as logic PT 5| IPL_030_0_| IO| | S |10 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6|inst_AS_000_DMA|NOD| | S | 7 | 4 to [ 4]| 1 XOR to [ 4] as logic PT + 6| SM_AMIGA_6_|NOD| | S | 3 | 4 to [ 5]| 1 XOR to [ 5] as logic PT 7| | ? | | S | | 4 to [ 6]| 1 XOR free - 8| AHIGH_29_| IO| | S | 1 | 4 to [ 6]| 1 XOR to [ 8] for 1 PT sig + 8| AHIGH_29_| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig 9| IPL_030_1_| IO| | S |10 | 4 to [ 9]| 1 XOR to [ 9] as logic PT -10|inst_CLK_030_H|NOD| | S | 8 | 4 to [10]| 1 XOR to [10] as logic PT -11| | ? | | S | | 4 to [ 9]| 1 XOR to [ 9] as logic PT -12| AHIGH_31_| IO| | S | 1 | 4 to [10]| 1 XOR to [12] for 1 PT sig -13|inst_DS_000_DMA|NOD| | S | 9 | 4 to [13]| 1 XOR to [13] as logic PT -14| IPL_D0_0_|NOD| | S | 1 | 4 to [13]| 1 XOR to [14] for 1 PT sig +10| cpu_est_0_|NOD| | S | 3 | 4 to [ 9]| 1 XOR to [ 9] as logic PT +11| | ? | | S | | 4 to [10]| 1 XOR free +12| AHIGH_31_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig +13| CLK_000_D_0_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +14| SM_AMIGA_i_7_|NOD| | S | 3 :+: 1| 4 to [14]| 1 XOR to [14] 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -548,15 +548,15 @@ _|_________________|__|__|___|_____|_______________________________________ 3| | ? | | S | |=> can support up to [ 4] logic PT(s) 4| IPL_030_2_| IO| | S |10 |=> can support up to [ 10] logic PT(s) 5| IPL_030_0_| IO| | S |10 |=> can support up to [ 10] logic PT(s) - 6|inst_AS_000_DMA|NOD| | S | 7 |=> can support up to [ 9] logic PT(s) - 7| | ? | | S | |=> can support up to [ 1] logic PT(s) - 8| AHIGH_29_| IO| | S | 1 |=> can support up to [ 1] logic PT(s) - 9| IPL_030_1_| IO| | S |10 |=> can support up to [ 10] logic PT(s) -10|inst_CLK_030_H|NOD| | S | 8 |=> can support up to [ 9] logic PT(s) -11| | ? | | S | |=> [ 0] PT capacity -12| AHIGH_31_| IO| | S | 1 |=> can support up to [ 1] logic PT(s) -13|inst_DS_000_DMA|NOD| | S | 9 |=> can support up to [ 14] logic PT(s) -14| IPL_D0_0_|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) + 6| SM_AMIGA_6_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) + 7| | ? | | S | |=> can support up to [ 5] logic PT(s) + 8| AHIGH_29_| IO| | S | 1 |=> can support up to [ 5] logic PT(s) + 9| IPL_030_1_| IO| | S |10 |=> can support up to [ 14] logic PT(s) +10| cpu_est_0_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) +11| | ? | | S | |=> can support up to [ 9] logic PT(s) +12| AHIGH_31_| IO| | S | 1 |=> can support up to [ 9] logic PT(s) +13| CLK_000_D_0_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) +14| SM_AMIGA_i_7_|NOD| | S | 3 :+: 1|=> can support up to [ 13] logic PT(s) 15| | ? | | S | |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== @@ -573,15 +573,15 @@ _|_________________|__|_____|____________________|________________________ 3| | | | => | 6 7 0 1 | 4 3 10 9 4| IPL_030_2_| IO| | => | 7 0 ( 1) 2 | 3 10 ( 9) 8 5| IPL_030_0_| IO| | => | 7 0 1 ( 2)| 3 10 9 ( 8) - 6|inst_AS_000_DMA|NOD| | => | 0 1 2 3 | 10 9 8 7 + 6| SM_AMIGA_6_|NOD| | => | 0 1 2 3 | 10 9 8 7 7| | | | => | 0 1 2 3 | 10 9 8 7 8| AHIGH_29_| IO| | => | 1 2 3 ( 4)| 9 8 7 ( 6) 9| IPL_030_1_| IO| | => | 1 2 ( 3) 4 | 9 8 ( 7) 6 -10|inst_CLK_030_H|NOD| | => | 2 3 4 5 | 8 7 6 5 +10| cpu_est_0_|NOD| | => | 2 3 4 5 | 8 7 6 5 11| | | | => | 2 3 4 5 | 8 7 6 5 12| AHIGH_31_| IO| | => | 3 4 5 ( 6)| 7 6 5 ( 4) -13|inst_DS_000_DMA|NOD| | => | 3 4 5 6 | 7 6 5 4 -14| IPL_D0_0_|NOD| | => | 4 5 6 7 | 6 5 4 3 +13| CLK_000_D_0_|NOD| | => | 3 4 5 6 | 7 6 5 4 +14| SM_AMIGA_i_7_|NOD| | => | 4 5 6 7 | 6 5 4 3 15| | | | => | 4 5 6 7 | 6 5 4 3 --------------------------------------------------------------------------- =========================================================================== @@ -649,7 +649,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 7| IO IPL_030_1_|*| ] paired w/[ RN_IPL_030_1_] [RegIn 3 |135| -| | ] - [MCell 6 |134|NOD inst_AS_000_DMA| |*] + [MCell 6 |134|NOD SM_AMIGA_6_| |*] [MCell 7 |136| -| | ] 4 [IOpin 4 | 6| IO AHIGH_29_|*|*] @@ -659,17 +659,17 @@ IMX No. | +---- Block IO Pin or Macrocell Number 5 [IOpin 5 | 5| IO AHIGH_30_|*|*] [RegIn 5 |141| -| | ] - [MCell 10 |140|NOD inst_CLK_030_H| |*] + [MCell 10 |140|NOD cpu_est_0_| |*] [MCell 11 |142| -| | ] 6 [IOpin 6 | 4| IO AHIGH_31_|*|*] [RegIn 6 |144| -| | ] [MCell 12 |143| IO AHIGH_31_| | ] - [MCell 13 |145|NOD inst_DS_000_DMA| |*] + [MCell 13 |145|NOD CLK_000_D_0_| |*] 7 [IOpin 7 | 3|OUT RESET|*| ] [RegIn 7 |147| -| | ] - [MCell 14 |146|NOD IPL_D0_0_| |*] + [MCell 14 |146|NOD SM_AMIGA_i_7_| |*] [MCell 15 |148| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -679,31 +679,31 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- Mux00| IOPin 6 2 ( 67)| IPL_0_ -Mux01| Mcel 5 9 ( 235)| inst_CLK_OUT_PRE_D -Mux02| IOPin 4 1 ( 42)| AS_000 -Mux03| IOPin 5 4 ( 56)| IPL_1_ -Mux04| Input Pin ( 64)| CLK_030 +Mux01| ... | ... +Mux02| Mcel 4 13 ( 217)| CLK_000_D_2_ +Mux03| Input Pin ( 11)| CLK_000 +Mux04| IOPin 6 3 ( 68)| IPL_2_ Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| IOPin 7 5 ( 80)| RW_000 -Mux07| ... | ... -Mux08| IOPin 3 3 ( 32)| UDS_000 -Mux09| Mcel 1 14 ( 146)| IPL_D0_0_ -Mux10| Mcel 1 13 ( 145)| inst_DS_000_DMA -Mux11| Mcel 1 6 ( 134)| inst_AS_000_DMA -Mux12| Mcel 1 10 ( 140)| inst_CLK_030_H -Mux13| Mcel 2 9 ( 163)| inst_RESET_OUT -Mux14| Mcel 4 9 ( 211)| IPL_D0_1_ -Mux15| Mcel 5 1 ( 223)| CYCLE_DMA_0_ -Mux16| Mcel 1 9 ( 139)| RN_IPL_030_1_ -Mux17| ... | ... -Mux18| ... | ... -Mux19| ... | ... -Mux20| Mcel 3 10 ( 188)| IPL_D0_2_ -Mux21| Input Pin ( 86)| RST -Mux22| IOPin 6 3 ( 68)| IPL_2_ -Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| Mcel 5 0 ( 221)| CYCLE_DMA_1_ +Mux06| Mcel 1 9 ( 139)| RN_IPL_030_1_ +Mux07| Mcel 2 14 ( 170)| IPL_D0_0_ +Mux08| Mcel 2 10 ( 164)| IPL_D0_2_ +Mux09| Mcel 1 14 ( 146)| SM_AMIGA_i_7_ +Mux10| Mcel 1 13 ( 145)| CLK_000_D_0_ +Mux11| Mcel 2 13 ( 169)| inst_AS_030_000_SYNC +Mux12| Mcel 1 10 ( 140)| cpu_est_0_ +Mux13| Mcel 7 5 ( 277)| CLK_000_D_1_ +Mux14| Mcel 7 2 ( 272)| inst_CLK_OUT_PRE_D +Mux15| ... | ... +Mux16| ... | ... +Mux17| Mcel 3 14 ( 194)| IPL_D0_1_ +Mux18| Mcel 0 8 ( 113)| inst_RESET_OUT +Mux19| Mcel 7 13 ( 289)| SM_AMIGA_0_ +Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux21| IOPin 5 4 ( 56)| IPL_1_ +Mux22| ... | ... +Mux23| Mcel 1 6 ( 134)| SM_AMIGA_6_ +Mux24| Input Pin ( 86)| RST +Mux25| ... | ... Mux26| ... | ... Mux27| Mcel 1 4 ( 131)| RN_IPL_030_2_ Mux28| Mcel 1 5 ( 133)| RN_IPL_030_0_ @@ -724,19 +724,19 @@ Mux32| ... | ... _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| AHIGH_28_| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig 1|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [ 2]| 1 XOR to [ 2] as logic PT + 2| SIZE_DMA_0_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free - 4| AHIGH_26_| IO| | S | 1 | 4 to [ 2]| 1 XOR to [ 4] for 1 PT sig + 4| AHIGH_26_| IO| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig 5| AHIGH_27_| IO| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6| RST_DLY_0_|NOD| | S | 4 | 4 to [ 6]| 1 XOR free - 7| | ? | | S | | 4 free | 1 XOR free + 6|inst_CLK_030_H|NOD| | S | 8 | 4 to [ 6]| 1 XOR to [ 6] as logic PT + 7| | ? | | S | | 4 to [ 6]| 1 XOR free 8| AHIGH_24_| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9|inst_RESET_OUT|NOD| | S | 2 | 4 to [ 9]| 1 XOR free -10| RST_DLY_2_|NOD| | S | 2 | 4 to [10]| 1 XOR free + 9|inst_AS_000_DMA|NOD| | S | 7 | 4 to [ 9]| 1 XOR to [ 9] as logic PT +10| IPL_D0_2_|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [10] for 1 PT sig 11| | ? | | S | | 4 free | 1 XOR free -12| AHIGH_25_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| SM_AMIGA_6_|NOD| | S | 3 | 4 to [13]| 1 XOR free -14| RST_DLY_1_|NOD| | S | 2 :+: 1| 4 to [14]| 1 XOR to [14] +12| AHIGH_25_| IO| | S | 1 | 4 to [13]| 1 XOR to [12] for 1 PT sig +13|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [13]| 1 XOR to [13] as logic PT +14| IPL_D0_0_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -751,20 +751,20 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ _|_________________|__|__|___|_____|_______________________________________ 0| AHIGH_28_| IO| | S | 1 |=> can support up to [ 9] logic PT(s) 1|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) - 2|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 18] logic PT(s) - 3| | ? | | S | |=> can support up to [ 9] logic PT(s) - 4| AHIGH_26_| IO| | S | 1 |=> can support up to [ 10] logic PT(s) - 5| AHIGH_27_| IO| | S | 1 |=> can support up to [ 10] logic PT(s) - 6| RST_DLY_0_|NOD| | S | 4 |=> can support up to [ 18] logic PT(s) - 7| | ? | | S | |=> can support up to [ 9] logic PT(s) - 8| AHIGH_24_| IO| | S | 1 |=> can support up to [ 10] logic PT(s) - 9|inst_RESET_OUT|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) -10| RST_DLY_2_|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) -11| | ? | | S | |=> can support up to [ 9] logic PT(s) + 2| SIZE_DMA_0_|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) + 3| | ? | | S | |=> can support up to [ 13] logic PT(s) + 4| AHIGH_26_| IO| | S | 1 |=> can support up to [ 14] logic PT(s) + 5| AHIGH_27_| IO| | S | 1 |=> can support up to [ 9] logic PT(s) + 6|inst_CLK_030_H|NOD| | S | 8 |=> can support up to [ 18] logic PT(s) + 7| | ? | | S | |=> can support up to [ 5] logic PT(s) + 8| AHIGH_24_| IO| | S | 1 |=> can support up to [ 5] logic PT(s) + 9|inst_AS_000_DMA|NOD| | S | 7 |=> can support up to [ 18] logic PT(s) +10| IPL_D0_2_|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) +11| | ? | | S | |=> can support up to [ 5] logic PT(s) 12| AHIGH_25_| IO| | S | 1 |=> can support up to [ 10] logic PT(s) -13| SM_AMIGA_6_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) -14| RST_DLY_1_|NOD| | S | 2 :+: 1|=> can support up to [ 9] logic PT(s) -15| | ? | | S | |=> can support up to [ 5] logic PT(s) +13|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 18] logic PT(s) +14| IPL_D0_0_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +15| | ? | | S | |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 2] > Node-Pin Assignments @@ -776,19 +776,19 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| AHIGH_28_| IO| | => | 5 6 7 ( 0)| 20 21 22 ( 15) 1|AMIGA_BUS_ENABLE_LOW|OUT| | => |( 5) 6 7 0 |( 20) 21 22 15 - 2|inst_AS_030_000_SYNC|NOD| | => | 6 7 0 1 | 21 22 15 16 + 2| SIZE_DMA_0_|NOD| | => | 6 7 0 1 | 21 22 15 16 3| | | | => | 6 7 0 1 | 21 22 15 16 4| AHIGH_26_| IO| | => | 7 0 1 ( 2)| 22 15 16 ( 17) 5| AHIGH_27_| IO| | => | 7 0 ( 1) 2 | 22 15 ( 16) 17 - 6| RST_DLY_0_|NOD| | => | 0 1 2 3 | 15 16 17 18 + 6|inst_CLK_030_H|NOD| | => | 0 1 2 3 | 15 16 17 18 7| | | | => | 0 1 2 3 | 15 16 17 18 8| AHIGH_24_| IO| | => | 1 2 3 ( 4)| 16 17 18 ( 19) - 9|inst_RESET_OUT|NOD| | => | 1 2 3 4 | 16 17 18 19 -10| RST_DLY_2_|NOD| | => | 2 3 4 5 | 17 18 19 20 + 9|inst_AS_000_DMA|NOD| | => | 1 2 3 4 | 16 17 18 19 +10| IPL_D0_2_|NOD| | => | 2 3 4 5 | 17 18 19 20 11| | | | => | 2 3 4 5 | 17 18 19 20 12| AHIGH_25_| IO| | => |( 3) 4 5 6 |( 18) 19 20 21 -13| SM_AMIGA_6_|NOD| | => | 3 4 5 6 | 18 19 20 21 -14| RST_DLY_1_|NOD| | => | 4 5 6 7 | 19 20 21 22 +13|inst_AS_030_000_SYNC|NOD| | => | 3 4 5 6 | 18 19 20 21 +14| IPL_D0_0_|NOD| | => | 4 5 6 7 | 19 20 21 22 15| | | | => | 4 5 6 7 | 19 20 21 22 --------------------------------------------------------------------------- =========================================================================== @@ -843,7 +843,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 16| IO AHIGH_27_|*|*] [RegIn 1 |153| -| | ] - [MCell 2 |152|NOD inst_AS_030_000_SYNC| |*] + [MCell 2 |152|NOD SIZE_DMA_0_| |*] [MCell 3 |154| -| | ] 2 [IOpin 2 | 17| IO AHIGH_26_|*|*] @@ -853,27 +853,27 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 18| IO AHIGH_25_|*|*] [RegIn 3 |159| -| | ] - [MCell 6 |158|NOD RST_DLY_0_| |*] + [MCell 6 |158|NOD inst_CLK_030_H| |*] [MCell 7 |160| -| | ] 4 [IOpin 4 | 19| IO AHIGH_24_|*|*] [RegIn 4 |162| -| | ] [MCell 8 |161| IO AHIGH_24_| | ] - [MCell 9 |163|NOD inst_RESET_OUT| |*] + [MCell 9 |163|NOD inst_AS_000_DMA| |*] 5 [IOpin 5 | 20|OUT AMIGA_BUS_ENABLE_LOW|*| ] [RegIn 5 |165| -| | ] - [MCell 10 |164|NOD RST_DLY_2_| |*] + [MCell 10 |164|NOD IPL_D0_2_| |*] [MCell 11 |166| -| | ] 6 [IOpin 6 | 21|INP BG_030|*|*] [RegIn 6 |168| -| | ] [MCell 12 |167| IO AHIGH_25_| | ] - [MCell 13 |169|NOD SM_AMIGA_6_| |*] + [MCell 13 |169|NOD inst_AS_030_000_SYNC| |*] 7 [IOpin 7 | 22| -| | ] [RegIn 7 |171| -| | ] - [MCell 14 |170|NOD RST_DLY_1_| |*] + [MCell 14 |170|NOD IPL_D0_0_| |*] [MCell 15 |172| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -882,39 +882,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Mcel 2 13 ( 169)| SM_AMIGA_6_ +Mux00| IOPin 6 2 ( 67)| IPL_0_ Mux01| IOPin 5 2 ( 58)| FC_1_ -Mux02| Mcel 2 2 ( 152)| inst_AS_030_000_SYNC -Mux03| Mcel 4 5 ( 205)| inst_BGACK_030_INT_D -Mux04| IOPin 0 4 ( 95)| A_DECODE_18_ +Mux02| Mcel 2 2 ( 152)| SIZE_DMA_0_ +Mux03| Mcel 0 8 ( 113)| inst_RESET_OUT +Mux04| Input Pin ( 64)| CLK_030 Mux05| Input Pin ( 14)| nEXP_SPACE Mux06| IOPin 5 3 ( 57)| FC_0_ -Mux07| Mcel 2 14 ( 170)| RST_DLY_1_ -Mux08| IOPin 5 1 ( 59)| A_DECODE_17_ -Mux09| Mcel 2 6 ( 158)| RST_DLY_0_ +Mux07| ... | ... +Mux08| IOPin 3 3 ( 32)| UDS_000 +Mux09| Mcel 1 14 ( 146)| SM_AMIGA_i_7_ Mux10| ... | ... Mux11| IOPin 0 5 ( 96)| A_DECODE_16_ Mux12| IOPin 0 6 ( 97)| A_DECODE_19_ -Mux13| Mcel 7 5 ( 277)| CLK_000_D_1_ -Mux14| ... | ... +Mux13| Mcel 2 9 ( 163)| inst_AS_000_DMA +Mux14| Mcel 4 5 ( 205)| inst_BGACK_030_INT_D Mux15| ... | ... Mux16| Mcel 4 8 ( 209)| inst_AS_030_D0 -Mux17| IOPin 4 0 ( 41)| BERR -Mux18| Mcel 2 10 ( 164)| RST_DLY_2_ +Mux17| IOPin 0 4 ( 95)| A_DECODE_18_ +Mux18| Mcel 2 6 ( 158)| inst_CLK_030_H Mux19| ... | ... Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 Mux21| Input Pin ( 86)| RST -Mux22| Mcel 6 10 ( 260)| inst_AMIGA_BUS_ENABLE_DMA_LOW -Mux23| Mcel 2 9 ( 163)| inst_RESET_OUT -Mux24| ... | ... -Mux25| Mcel 3 9 ( 187)| CLK_000_D_0_ -Mux26| ... | ... -Mux27| ... | ... +Mux22| IOPin 6 3 ( 68)| IPL_2_ +Mux23| Mcel 6 2 ( 248)| CYCLE_DMA_0_ +Mux24| IOPin 3 4 ( 31)| LDS_000 +Mux25| ... | ... +Mux26| IOPin 4 1 ( 42)| AS_000 +Mux27| IOPin 5 1 ( 59)| A_DECODE_17_ Mux28| ... | ... Mux29| ... | ... -Mux30| Mcel 0 8 ( 113)| SM_AMIGA_i_7_ -Mux31| ... | ... -Mux32| ... | ... +Mux30| Mcel 2 13 ( 169)| inst_AS_030_000_SYNC +Mux31| Mcel 5 12 ( 239)| inst_AMIGA_BUS_ENABLE_DMA_LOW +Mux32| Mcel 6 5 ( 253)| CYCLE_DMA_1_ --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Macrocell (MCell) Cluster Assignments @@ -928,19 +928,19 @@ Mux32| ... | ... _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| VMA| IO| | S | 3 | 4 to [ 0]| 1 XOR free 1| BG_000| IO| | S | 2 | 4 to [ 1]| 1 XOR free - 2| cpu_est_0_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free + 2|inst_AS_000_INT|NOD| | S | 2 | 4 to [ 2]| 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 | 4 to [ 4]| 1 XOR free 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6|inst_UDS_000_INT|NOD| | S | 2 | 4 to [ 6]| 1 XOR free + 6|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [ 6]| 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free 8| UDS_000| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9| CLK_000_D_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10| IPL_D0_2_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig + 9| cpu_est_3_|NOD| | S | 4 | 4 to [ 9]| 1 XOR free +10|inst_UDS_000_INT|NOD| | S | 2 | 4 to [10]| 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| LDS_000| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| cpu_est_3_|NOD| | S | 4 | 4 to [13]| 1 XOR free -14| | ? | | S | | 4 free | 1 XOR free +13| SM_AMIGA_5_|NOD| | S | 3 | 4 to [13]| 1 XOR free +14| IPL_D0_1_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -955,20 +955,20 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ _|_________________|__|__|___|_____|_______________________________________ 0| VMA| IO| | S | 3 |=> can support up to [ 5] logic PT(s) 1| BG_000| IO| | S | 2 |=> can support up to [ 10] logic PT(s) - 2| cpu_est_0_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) + 2|inst_AS_000_INT|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) 3| | ? | | S | |=> can support up to [ 9] logic PT(s) 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 |=> can support up to [ 14] logic PT(s) 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 |=> can support up to [ 10] logic PT(s) - 6|inst_UDS_000_INT|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) - 7| | ? | | S | |=> can support up to [ 13] logic PT(s) - 8| UDS_000| IO| | S | 1 |=> can support up to [ 18] logic PT(s) - 9| CLK_000_D_0_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -10| IPL_D0_2_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -11| | ? | | S | |=> can support up to [ 13] logic PT(s) -12| LDS_000| IO| | S | 1 |=> can support up to [ 15] logic PT(s) -13| cpu_est_3_|NOD| | S | 4 |=> can support up to [ 19] logic PT(s) -14| | ? | | S | |=> can support up to [ 10] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) + 6|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) + 7| | ? | | S | |=> can support up to [ 9] logic PT(s) + 8| UDS_000| IO| | S | 1 |=> can support up to [ 10] logic PT(s) + 9| cpu_est_3_|NOD| | S | 4 |=> can support up to [ 14] logic PT(s) +10|inst_UDS_000_INT|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) +11| | ? | | S | |=> can support up to [ 9] logic PT(s) +12| LDS_000| IO| | S | 1 |=> can support up to [ 14] logic PT(s) +13| SM_AMIGA_5_|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) +14| IPL_D0_1_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +15| | ? | | S | |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Node-Pin Assignments @@ -980,19 +980,19 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| VMA| IO| | => | 5 6 7 ( 0)| 30 29 28 ( 35) 1| BG_000| IO| | => | 5 ( 6) 7 0 | 30 ( 29) 28 35 - 2| cpu_est_0_|NOD| | => | 6 7 0 1 | 29 28 35 34 + 2|inst_AS_000_INT|NOD| | => | 6 7 0 1 | 29 28 35 34 3| | | | => | 6 7 0 1 | 29 28 35 34 4|AMIGA_BUS_ENABLE_HIGH|OUT| | => | 7 0 ( 1) 2 | 28 35 ( 34) 33 5|AMIGA_ADDR_ENABLE|OUT| | => | 7 0 1 ( 2)| 28 35 34 ( 33) - 6|inst_UDS_000_INT|NOD| | => | 0 1 2 3 | 35 34 33 32 + 6|inst_DS_000_ENABLE|NOD| | => | 0 1 2 3 | 35 34 33 32 7| | | | => | 0 1 2 3 | 35 34 33 32 8| UDS_000| IO| | => | 1 2 ( 3) 4 | 34 33 ( 32) 31 - 9| CLK_000_D_0_|NOD| | => | 1 2 3 4 | 34 33 32 31 -10| IPL_D0_2_|NOD| | => | 2 3 4 5 | 33 32 31 30 + 9| cpu_est_3_|NOD| | => | 1 2 3 4 | 34 33 32 31 +10|inst_UDS_000_INT|NOD| | => | 2 3 4 5 | 33 32 31 30 11| | | | => | 2 3 4 5 | 33 32 31 30 12| LDS_000| IO| | => | 3 ( 4) 5 6 | 32 ( 31) 30 29 -13| cpu_est_3_|NOD| | => | 3 4 5 6 | 32 31 30 29 -14| | | | => | 4 5 6 7 | 31 30 29 28 +13| SM_AMIGA_5_|NOD| | => | 3 4 5 6 | 32 31 30 29 +14| IPL_D0_1_|NOD| | => | 4 5 6 7 | 31 30 29 28 15| | | | => | 4 5 6 7 | 31 30 29 28 --------------------------------------------------------------------------- =========================================================================== @@ -1049,7 +1049,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 34|OUT AMIGA_BUS_ENABLE_HIGH|*| ] [RegIn 1 |177| -| | ] - [MCell 2 |176|NOD cpu_est_0_| |*] + [MCell 2 |176|NOD inst_AS_000_INT| |*] [MCell 3 |178| -| | ] 2 [IOpin 2 | 33|OUT AMIGA_ADDR_ENABLE|*| ] @@ -1059,27 +1059,27 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 32| IO UDS_000|*|*] [RegIn 3 |183| -| | ] - [MCell 6 |182|NOD inst_UDS_000_INT| |*] + [MCell 6 |182|NOD inst_DS_000_ENABLE| |*] [MCell 7 |184| -| | ] 4 [IOpin 4 | 31| IO LDS_000|*|*] [RegIn 4 |186| -| | ] [MCell 8 |185| IO UDS_000| | ] - [MCell 9 |187|NOD CLK_000_D_0_| |*] + [MCell 9 |187|NOD cpu_est_3_| |*] 5 [IOpin 5 | 30|INP DTACK|*|*] [RegIn 5 |189| -| | ] - [MCell 10 |188|NOD IPL_D0_2_| |*] + [MCell 10 |188|NOD inst_UDS_000_INT| |*] [MCell 11 |190| -| | ] 6 [IOpin 6 | 29| IO BG_000|*| ] paired w/[ RN_BG_000] [RegIn 6 |192| -| | ] [MCell 12 |191| IO LDS_000| | ] - [MCell 13 |193|NOD cpu_est_3_| |*] + [MCell 13 |193|NOD SM_AMIGA_5_| |*] 7 [IOpin 7 | 28|INP BGACK_000|*|*] [RegIn 7 |195| -| | ] - [MCell 14 |194| -| | ] + [MCell 14 |194|NOD IPL_D0_1_| |*] [MCell 15 |196| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -1089,38 +1089,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux01| Mcel 3 13 ( 193)| cpu_est_3_ -Mux02| Mcel 3 1 ( 175)| RN_BG_000 -Mux03| Input Pin ( 11)| CLK_000 -Mux04| IOPin 6 3 ( 68)| IPL_2_ +Mux01| Mcel 3 0 ( 173)| RN_VMA +Mux02| Mcel 1 6 ( 134)| SM_AMIGA_6_ +Mux03| IOPin 5 4 ( 56)| IPL_1_ +Mux04| IOPin 2 6 ( 21)| BG_030 Mux05| Input Pin ( 14)| nEXP_SPACE Mux06| ... | ... -Mux07| Mcel 3 9 ( 187)| CLK_000_D_0_ +Mux07| Mcel 3 9 ( 187)| cpu_est_3_ Mux08| Mcel 4 8 ( 209)| inst_AS_030_D0 -Mux09| ... | ... -Mux10| Mcel 6 9 ( 259)| cpu_est_2_ -Mux11| Mcel 2 13 ( 169)| SM_AMIGA_6_ -Mux12| ... | ... -Mux13| Mcel 2 9 ( 163)| inst_RESET_OUT -Mux14| IOPin 2 6 ( 21)| BG_030 -Mux15| IOPin 6 4 ( 69)| A_0_ -Mux16| Mcel 3 6 ( 182)| inst_UDS_000_INT -Mux17| Mcel 5 12 ( 239)| inst_LDS_000_INT -Mux18| Mcel 0 5 ( 109)| inst_VPA_D +Mux09| Mcel 6 13 ( 265)| SM_AMIGA_4_ +Mux10| Mcel 1 13 ( 145)| CLK_000_D_0_ +Mux11| Mcel 2 13 ( 169)| inst_AS_030_000_SYNC +Mux12| Mcel 6 9 ( 259)| cpu_est_1_ +Mux13| Mcel 7 5 ( 277)| CLK_000_D_1_ +Mux14| Mcel 5 4 ( 227)| inst_LDS_000_INT +Mux15| Mcel 5 1 ( 223)| inst_VPA_D +Mux16| Mcel 3 6 ( 182)| inst_DS_000_ENABLE +Mux17| Mcel 3 1 ( 175)| RN_BG_000 +Mux18| IOPin 6 4 ( 69)| A_0_ Mux19| ... | ... -Mux20| Mcel 5 8 ( 233)| inst_DS_000_ENABLE +Mux20| Mcel 3 10 ( 188)| inst_UDS_000_INT Mux21| Input Pin ( 86)| RST -Mux22| Mcel 2 2 ( 152)| inst_AS_030_000_SYNC -Mux23| Mcel 6 6 ( 254)| inst_AMIGA_BUS_ENABLE_DMA_HIGH -Mux24| ... | ... -Mux25| ... | ... -Mux26| Mcel 3 0 ( 173)| RN_VMA -Mux27| Mcel 7 5 ( 277)| CLK_000_D_1_ -Mux28| Mcel 3 2 ( 176)| cpu_est_0_ -Mux29| ... | ... -Mux30| ... | ... +Mux22| ... | ... +Mux23| Mcel 6 6 ( 254)| cpu_est_2_ +Mux24| Mcel 1 10 ( 140)| cpu_est_0_ +Mux25| IOPin 6 6 ( 71)| RW +Mux26| ... | ... +Mux27| ... | ... +Mux28| Mcel 3 2 ( 176)| inst_AS_000_INT +Mux29| Mcel 3 13 ( 193)| SM_AMIGA_5_ +Mux30| Mcel 0 8 ( 113)| inst_RESET_OUT Mux31| ... | ... -Mux32| Mcel 6 5 ( 253)| cpu_est_1_ +Mux32| Mcel 5 8 ( 233)| inst_AMIGA_BUS_ENABLE_DMA_HIGH --------------------------------------------------------------------------- =========================================================================== < Block [ 4] > Macrocell (MCell) Cluster Assignments @@ -1141,11 +1141,11 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 6| | ? | | S | | 4 free | 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free 8|inst_AS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9| IPL_D0_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig + 9| CIIN_0|NOD| | S | 2 | 4 to [ 9]| 1 XOR free 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| CIIN|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| un10_ciin_i|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +13| CLK_000_D_2_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1166,13 +1166,13 @@ _|_________________|__|__|___|_____|_______________________________________ 4| AS_000| IO| | S | 1 |=> can support up to [ 19] logic PT(s) 5|inst_BGACK_030_INT_D|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) 6| | ? | | S | |=> can support up to [ 18] logic PT(s) - 7| | ? | | S | |=> can support up to [ 18] logic PT(s) - 8|inst_AS_030_D0|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) - 9| IPL_D0_1_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) -10| | ? | | S | |=> can support up to [ 18] logic PT(s) + 7| | ? | | S | |=> can support up to [ 14] logic PT(s) + 8|inst_AS_030_D0|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) + 9| CIIN_0|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) +10| | ? | | S | |=> can support up to [ 14] logic PT(s) 11| | ? | | S | |=> can support up to [ 18] logic PT(s) 12| CIIN|OUT| | S | 1 |=> can support up to [ 19] logic PT(s) -13| un10_ciin_i|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) +13| CLK_000_D_2_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) 14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- @@ -1193,11 +1193,11 @@ _|_________________|__|_____|____________________|________________________ 6| | | | => | 0 1 2 3 | 41 42 43 44 7| | | | => | 0 1 2 3 | 41 42 43 44 8|inst_AS_030_D0|NOD| | => | 1 2 3 4 | 42 43 44 45 - 9| IPL_D0_1_|NOD| | => | 1 2 3 4 | 42 43 44 45 + 9| CIIN_0|NOD| | => | 1 2 3 4 | 42 43 44 45 10| | | | => | 2 3 4 5 | 43 44 45 46 11| | | | => | 2 3 4 5 | 43 44 45 46 12| CIIN|OUT| | => | 3 4 5 ( 6)| 44 45 46 ( 47) -13| un10_ciin_i|NOD| | => | 3 4 5 6 | 44 45 46 47 +13| CLK_000_D_2_|NOD| | => | 3 4 5 6 | 44 45 46 47 14| | | | => | 4 5 6 7 | 45 46 47 48 15| | | | => | 4 5 6 7 | 45 46 47 48 --------------------------------------------------------------------------- @@ -1269,7 +1269,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 4 [IOpin 4 | 45| -| | ] [RegIn 4 |210| -| | ] [MCell 8 |209|NOD inst_AS_030_D0| |*] - [MCell 9 |211|NOD IPL_D0_1_| |*] + [MCell 9 |211|NOD CIIN_0| |*] 5 [IOpin 5 | 46| -| | ] [RegIn 5 |213| -| | ] @@ -1279,7 +1279,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 47|OUT CIIN|*| ] [RegIn 6 |216| -| | ] [MCell 12 |215|OUT CIIN| | ] - [MCell 13 |217|NOD un10_ciin_i| |*] + [MCell 13 |217|NOD CLK_000_D_2_| |*] 7 [IOpin 7 | 48|OUT AMIGA_BUS_DATA_DIR|*| ] [RegIn 7 |219| -| | ] @@ -1295,36 +1295,36 @@ IMX No. | +---- Block IO Pin or Macrocell Number Mux00| Input Pin ( 86)| RST Mux01| IOPin 5 2 ( 58)| FC_1_ Mux02| IOPin 4 1 ( 42)| AS_000 -Mux03| IOPin 2 1 ( 16)| AHIGH_27_ -Mux04| IOPin 0 4 ( 95)| A_DECODE_18_ +Mux03| Mcel 3 2 ( 176)| inst_AS_000_INT +Mux04| IOPin 1 4 ( 6)| AHIGH_29_ Mux05| IOPin 2 4 ( 19)| AHIGH_24_ Mux06| IOPin 7 5 ( 80)| RW_000 Mux07| IOPin 2 0 ( 15)| AHIGH_28_ -Mux08| IOPin 5 1 ( 59)| A_DECODE_17_ +Mux08| IOPin 0 0 ( 91)| FPU_SENSE Mux09| IOPin 7 1 ( 84)| A_DECODE_22_ -Mux10| Mcel 6 14 ( 266)| CIIN_0 -Mux11| IOPin 0 0 ( 91)| FPU_SENSE +Mux10| ... | ... +Mux11| IOPin 2 1 ( 16)| AHIGH_27_ Mux12| IOPin 0 6 ( 97)| A_DECODE_19_ -Mux13| IOPin 1 4 ( 6)| AHIGH_29_ -Mux14| Mcel 5 5 ( 229)| inst_AS_000_INT +Mux13| IOPin 5 1 ( 59)| A_DECODE_17_ +Mux14| Mcel 4 9 ( 211)| CIIN_0 Mux15| IOPin 0 3 ( 94)| A_DECODE_21_ Mux16| Mcel 4 8 ( 209)| inst_AS_030_D0 Mux17| IOPin 2 2 ( 17)| AHIGH_26_ -Mux18| IOPin 7 0 ( 85)| A_DECODE_23_ +Mux18| IOPin 3 7 ( 28)| BGACK_000 Mux19| IOPin 7 3 ( 82)| AS_030 Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 Mux21| Input Pin ( 14)| nEXP_SPACE Mux22| IOPin 2 3 ( 18)| AHIGH_25_ -Mux23| Mcel 2 9 ( 163)| inst_RESET_OUT +Mux23| ... | ... Mux24| IOPin 5 3 ( 57)| FC_0_ Mux25| IOPin 1 6 ( 4)| AHIGH_31_ Mux26| IOPin 0 5 ( 96)| A_DECODE_16_ -Mux27| ... | ... +Mux27| Mcel 7 5 ( 277)| CLK_000_D_1_ Mux28| IOPin 1 5 ( 5)| AHIGH_30_ Mux29| IOPin 0 2 ( 93)| A_DECODE_20_ -Mux30| ... | ... -Mux31| IOPin 5 4 ( 56)| IPL_1_ -Mux32| IOPin 3 7 ( 28)| BGACK_000 +Mux30| Mcel 0 8 ( 113)| inst_RESET_OUT +Mux31| IOPin 0 4 ( 95)| A_DECODE_18_ +Mux32| IOPin 7 0 ( 85)| A_DECODE_23_ --------------------------------------------------------------------------- =========================================================================== < Block [ 5] > Macrocell (MCell) Cluster Assignments @@ -1336,20 +1336,20 @@ Mux32| IOPin 3 7 ( 28)| BGACK_000 | Sig Type-+ | | | | | | | XOR to Mcell Assignment | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| CYCLE_DMA_1_|NOD| | S | 4 | 4 to [ 0]| 1 XOR free - 1| CYCLE_DMA_0_|NOD| | S | 3 | 4 to [ 1]| 1 XOR free - 2|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 0|inst_DS_000_DMA|NOD| | S | 9 | 4 to [ 0]| 1 XOR to [ 0] as logic PT + 1| inst_VPA_D|NOD| | S | 1 | 4 to [ 0]| 1 XOR to [ 1] for 1 PT sig + 2| | ? | | S | | 4 free | 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free - 4| SM_AMIGA_4_|NOD| | S | 3 | 4 to [ 4]| 1 XOR free - 5|inst_AS_000_INT|NOD| | S | 2 | 4 to [ 5]| 1 XOR free - 6| inst_DTACK_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 4|inst_LDS_000_INT|NOD| | S | 3 | 4 to [ 4]| 1 XOR free + 5| | ? | | S | | 4 free | 1 XOR free + 6| | ? | | S | | 4 free | 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [ 8]| 1 XOR free - 9|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig + 8|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 | 4 to [ 8]| 1 XOR free + 9| | ? | | S | | 4 free | 1 XOR free 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12|inst_LDS_000_INT|NOD| | S | 3 | 4 to [12]| 1 XOR free -13|inst_CLK_OUT_PRE_25|NOD| | S | 2 | 4 to [13]| 1 XOR free +12|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 | 4 to [12]| 1 XOR free +13| | ? | | S | | 4 free | 1 XOR free 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1363,21 +1363,21 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| CYCLE_DMA_1_|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) - 1| CYCLE_DMA_0_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) - 2|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 3| | ? | | S | |=> can support up to [ 9] logic PT(s) - 4| SM_AMIGA_4_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) - 5|inst_AS_000_INT|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) - 6| inst_DTACK_D0|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 7| | ? | | S | |=> can support up to [ 13] logic PT(s) - 8|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 19] logic PT(s) - 9|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) -10| | ? | | S | |=> can support up to [ 14] logic PT(s) -11| | ? | | S | |=> can support up to [ 10] logic PT(s) -12|inst_LDS_000_INT|NOD| | S | 3 |=> can support up to [ 15] logic PT(s) -13|inst_CLK_OUT_PRE_25|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) -14| | ? | | S | |=> can support up to [ 10] logic PT(s) + 0|inst_DS_000_DMA|NOD| | S | 9 |=> can support up to [ 14] logic PT(s) + 1| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 11] logic PT(s) + 2| | ? | | S | |=> can support up to [ 10] logic PT(s) + 3| | ? | | S | |=> can support up to [ 15] logic PT(s) + 4|inst_LDS_000_INT|NOD| | S | 3 |=> can support up to [ 20] logic PT(s) + 5| | ? | | S | |=> can support up to [ 15] logic PT(s) + 6| | ? | | S | |=> can support up to [ 15] logic PT(s) + 7| | ? | | S | |=> can support up to [ 15] logic PT(s) + 8|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 |=> can support up to [ 20] logic PT(s) + 9| | ? | | S | |=> can support up to [ 15] logic PT(s) +10| | ? | | S | |=> can support up to [ 15] logic PT(s) +11| | ? | | S | |=> can support up to [ 15] logic PT(s) +12|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 |=> can support up to [ 20] logic PT(s) +13| | ? | | S | |=> can support up to [ 15] logic PT(s) +14| | ? | | S | |=> can support up to [ 15] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- =========================================================================== @@ -1388,20 +1388,20 @@ _|_________________|__|__|___|_____|_______________________________________ | Sig Type---+ | to | Block [ 5] IO Pin | Device Pin | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ - 0| CYCLE_DMA_1_|NOD| | => | 5 6 7 0 | 55 54 53 60 - 1| CYCLE_DMA_0_|NOD| | => | 5 6 7 0 | 55 54 53 60 - 2|inst_CLK_OUT_PRE_50|NOD| | => | 6 7 0 1 | 54 53 60 59 + 0|inst_DS_000_DMA|NOD| | => | 5 6 7 0 | 55 54 53 60 + 1| inst_VPA_D|NOD| | => | 5 6 7 0 | 55 54 53 60 + 2| | | | => | 6 7 0 1 | 54 53 60 59 3| | | | => | 6 7 0 1 | 54 53 60 59 - 4| SM_AMIGA_4_|NOD| | => | 7 0 1 2 | 53 60 59 58 - 5|inst_AS_000_INT|NOD| | => | 7 0 1 2 | 53 60 59 58 - 6| inst_DTACK_D0|NOD| | => | 0 1 2 3 | 60 59 58 57 + 4|inst_LDS_000_INT|NOD| | => | 7 0 1 2 | 53 60 59 58 + 5| | | | => | 7 0 1 2 | 53 60 59 58 + 6| | | | => | 0 1 2 3 | 60 59 58 57 7| | | | => | 0 1 2 3 | 60 59 58 57 - 8|inst_DS_000_ENABLE|NOD| | => | 1 2 3 4 | 59 58 57 56 - 9|inst_CLK_OUT_PRE_D|NOD| | => | 1 2 3 4 | 59 58 57 56 + 8|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | => | 1 2 3 4 | 59 58 57 56 + 9| | | | => | 1 2 3 4 | 59 58 57 56 10| | | | => | 2 3 4 5 | 58 57 56 55 11| | | | => | 2 3 4 5 | 58 57 56 55 -12|inst_LDS_000_INT|NOD| | => | 3 4 5 6 | 57 56 55 54 -13|inst_CLK_OUT_PRE_25|NOD| | => | 3 4 5 6 | 57 56 55 54 +12|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | => | 3 4 5 6 | 57 56 55 54 +13| | | | => | 3 4 5 6 | 57 56 55 54 14| | | | => | 4 5 6 7 | 56 55 54 53 15| | | | => | 4 5 6 7 | 56 55 54 53 --------------------------------------------------------------------------- @@ -1452,28 +1452,28 @@ IMX No. | +---- Block IO Pin or Macrocell Number ---|-------|----|---|---|----------|------|-|------------------------------ 0 [IOpin 0 | 60|INP A_1_|*|*] [RegIn 0 |222| -| | ] - [MCell 0 |221|NOD CYCLE_DMA_1_| |*] - [MCell 1 |223|NOD CYCLE_DMA_0_| |*] + [MCell 0 |221|NOD inst_DS_000_DMA| |*] + [MCell 1 |223|NOD inst_VPA_D| |*] 1 [IOpin 1 | 59|INP A_DECODE_17_|*|*] [RegIn 1 |225| -| | ] - [MCell 2 |224|NOD inst_CLK_OUT_PRE_50| |*] + [MCell 2 |224| -| | ] [MCell 3 |226| -| | ] 2 [IOpin 2 | 58|INP FC_1_|*|*] [RegIn 2 |228| -| | ] - [MCell 4 |227|NOD SM_AMIGA_4_| |*] - [MCell 5 |229|NOD inst_AS_000_INT| |*] + [MCell 4 |227|NOD inst_LDS_000_INT| |*] + [MCell 5 |229| -| | ] 3 [IOpin 3 | 57|INP FC_0_|*|*] [RegIn 3 |231| -| | ] - [MCell 6 |230|NOD inst_DTACK_D0| |*] + [MCell 6 |230| -| | ] [MCell 7 |232| -| | ] 4 [IOpin 4 | 56|INP IPL_1_|*|*] [RegIn 4 |234| -| | ] - [MCell 8 |233|NOD inst_DS_000_ENABLE| |*] - [MCell 9 |235|NOD inst_CLK_OUT_PRE_D| |*] + [MCell 8 |233|NOD inst_AMIGA_BUS_ENABLE_DMA_HIGH| |*] + [MCell 9 |235| -| | ] 5 [IOpin 5 | 55| -| | ] [RegIn 5 |237| -| | ] @@ -1482,8 +1482,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 54| -| | ] [RegIn 6 |240| -| | ] - [MCell 12 |239|NOD inst_LDS_000_INT| |*] - [MCell 13 |241|NOD inst_CLK_OUT_PRE_25| |*] + [MCell 12 |239|NOD inst_AMIGA_BUS_ENABLE_DMA_LOW| |*] + [MCell 13 |241| -| | ] 7 [IOpin 7 | 53| -| | ] [RegIn 7 |243| -| | ] @@ -1496,36 +1496,36 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| IOPin 6 5 ( 70)| SIZE_0_ -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 5 8 ( 233)| inst_DS_000_ENABLE -Mux03| ... | ... -Mux04| Mcel 7 5 ( 277)| CLK_000_D_1_ -Mux05| Mcel 5 0 ( 221)| CYCLE_DMA_1_ -Mux06| Mcel 5 13 ( 241)| inst_CLK_OUT_PRE_25 -Mux07| Mcel 3 9 ( 187)| CLK_000_D_0_ -Mux08| Mcel 4 8 ( 209)| inst_AS_030_D0 -Mux09| IOPin 3 5 ( 30)| DTACK -Mux10| Mcel 5 1 ( 223)| CYCLE_DMA_0_ -Mux11| Mcel 2 13 ( 169)| SM_AMIGA_6_ +Mux00| Input Pin ( 86)| RST +Mux01| Mcel 5 12 ( 239)| inst_AMIGA_BUS_ENABLE_DMA_LOW +Mux02| Mcel 5 8 ( 233)| inst_AMIGA_BUS_ENABLE_DMA_HIGH +Mux03| IOPin 5 0 ( 60)| A_1_ +Mux04| Input Pin ( 64)| CLK_030 +Mux05| Mcel 5 0 ( 221)| inst_DS_000_DMA +Mux06| IOPin 7 6 ( 79)| SIZE_1_ +Mux07| ... | ... +Mux08| IOPin 3 3 ( 32)| UDS_000 +Mux09| Mcel 2 6 ( 158)| inst_CLK_030_H +Mux10| Input Pin ( 36)| VPA +Mux11| Mcel 1 6 ( 134)| SM_AMIGA_6_ Mux12| ... | ... -Mux13| ... | ... -Mux14| Mcel 5 5 ( 229)| inst_AS_000_INT -Mux15| Mcel 5 2 ( 224)| inst_CLK_OUT_PRE_50 +Mux13| Mcel 2 9 ( 163)| inst_AS_000_DMA +Mux14| Mcel 5 4 ( 227)| inst_LDS_000_INT +Mux15| IOPin 6 4 ( 69)| A_0_ Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| Mcel 5 12 ( 239)| inst_LDS_000_INT -Mux18| IOPin 6 4 ( 69)| A_0_ +Mux17| IOPin 6 5 ( 70)| SIZE_0_ +Mux18| ... | ... Mux19| ... | ... Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Input Pin ( 86)| RST -Mux22| ... | ... -Mux23| ... | ... -Mux24| Mcel 0 12 ( 119)| SM_AMIGA_5_ -Mux25| IOPin 6 6 ( 71)| RW -Mux26| ... | ... -Mux27| IOPin 7 6 ( 79)| SIZE_1_ +Mux21| IOPin 7 5 ( 80)| RW_000 +Mux22| Mcel 6 5 ( 253)| CYCLE_DMA_1_ +Mux23| Mcel 6 2 ( 248)| CYCLE_DMA_0_ +Mux24| IOPin 3 4 ( 31)| LDS_000 +Mux25| ... | ... +Mux26| Mcel 4 5 ( 205)| inst_BGACK_030_INT_D +Mux27| ... | ... Mux28| ... | ... -Mux29| Mcel 5 4 ( 227)| SM_AMIGA_4_ +Mux29| ... | ... Mux30| ... | ... Mux31| ... | ... Mux32| ... | ... @@ -1542,19 +1542,19 @@ Mux32| ... | ... _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| RW| IO| | S | 2 | 4 to [ 0]| 1 XOR free 1| CLK_DIV_OUT|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2| SIZE_DMA_0_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free + 2| CYCLE_DMA_0_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free 4| E|OUT| | S | 2 | 4 to [ 4]| 1 XOR free - 5| cpu_est_1_|NOD| | S | 4 | 4 to [ 5]| 1 XOR free - 6|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 | 4 to [ 6]| 1 XOR free + 5| CYCLE_DMA_1_|NOD| | S | 4 | 4 to [ 5]| 1 XOR free + 6| cpu_est_2_|NOD| | S | 1 :+: 1| 4 to [ 6]| 1 XOR to [ 6] 7| | ? | | S | | 4 free | 1 XOR free 8| A_0_| IO| | S | 3 | 4 to [ 8]| 1 XOR free - 9| cpu_est_2_|NOD| | S | 1 :+: 1| 4 to [ 9]| 1 XOR to [ 9] -10|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 | 4 to [10]| 1 XOR free + 9| cpu_est_1_|NOD| | S | 4 | 4 to [ 9]| 1 XOR free +10| SIZE_DMA_1_|NOD| | S | 3 | 4 to [10]| 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| SIZE_0_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| SIZE_DMA_1_|NOD| | S | 3 | 4 to [13]| 1 XOR free -14| CIIN_0|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig +13| SM_AMIGA_4_|NOD| | S | 3 | 4 to [13]| 1 XOR free +14|inst_CLK_OUT_PRE_25|NOD| | S | 2 | 4 to [14]| 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -1569,20 +1569,20 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ _|_________________|__|__|___|_____|_______________________________________ 0| RW| IO| | S | 2 |=> can support up to [ 9] logic PT(s) 1| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 10] logic PT(s) - 2| SIZE_DMA_0_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) + 2| CYCLE_DMA_0_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) 3| | ? | | S | |=> can support up to [ 5] logic PT(s) 4| E|OUT| | S | 2 |=> can support up to [ 10] logic PT(s) - 5| cpu_est_1_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) - 6|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) + 5| CYCLE_DMA_1_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) + 6| cpu_est_2_|NOD| | S | 1 :+: 1|=> can support up to [ 9] logic PT(s) 7| | ? | | S | |=> can support up to [ 5] logic PT(s) 8| A_0_| IO| | S | 3 |=> can support up to [ 10] logic PT(s) - 9| cpu_est_2_|NOD| | S | 1 :+: 1|=> can support up to [ 9] logic PT(s) -10|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) + 9| cpu_est_1_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) +10| SIZE_DMA_1_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) 11| | ? | | S | |=> can support up to [ 9] logic PT(s) -12| SIZE_0_| IO| | S | 1 |=> can support up to [ 14] logic PT(s) -13| SIZE_DMA_1_|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) -14| CIIN_0|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) -15| | ? | | S | |=> can support up to [ 9] logic PT(s) +12| SIZE_0_| IO| | S | 1 |=> can support up to [ 10] logic PT(s) +13| SM_AMIGA_4_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) +14|inst_CLK_OUT_PRE_25|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) +15| | ? | | S | |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Node-Pin Assignments @@ -1594,19 +1594,19 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| RW| IO| | => | 5 ( 6) 7 0 | 70 ( 71) 72 65 1| CLK_DIV_OUT|OUT| | => | 5 6 7 ( 0)| 70 71 72 ( 65) - 2| SIZE_DMA_0_|NOD| | => | 6 7 0 1 | 71 72 65 66 + 2| CYCLE_DMA_0_|NOD| | => | 6 7 0 1 | 71 72 65 66 3| | | | => | 6 7 0 1 | 71 72 65 66 4| E|OUT| | => | 7 0 ( 1) 2 | 72 65 ( 66) 67 - 5| cpu_est_1_|NOD| | => | 7 0 1 2 | 72 65 66 67 - 6|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | => | 0 1 2 3 | 65 66 67 68 + 5| CYCLE_DMA_1_|NOD| | => | 7 0 1 2 | 72 65 66 67 + 6| cpu_est_2_|NOD| | => | 0 1 2 3 | 65 66 67 68 7| | | | => | 0 1 2 3 | 65 66 67 68 8| A_0_| IO| | => | 1 2 3 ( 4)| 66 67 68 ( 69) - 9| cpu_est_2_|NOD| | => | 1 2 3 4 | 66 67 68 69 -10|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | => | 2 3 4 5 | 67 68 69 70 + 9| cpu_est_1_|NOD| | => | 1 2 3 4 | 66 67 68 69 +10| SIZE_DMA_1_|NOD| | => | 2 3 4 5 | 67 68 69 70 11| | | | => | 2 3 4 5 | 67 68 69 70 12| SIZE_0_| IO| | => | 3 4 ( 5) 6 | 68 69 ( 70) 71 -13| SIZE_DMA_1_|NOD| | => | 3 4 5 6 | 68 69 70 71 -14| CIIN_0|NOD| | => | 4 5 6 7 | 69 70 71 72 +13| SM_AMIGA_4_|NOD| | => | 3 4 5 6 | 68 69 70 71 +14|inst_CLK_OUT_PRE_25|NOD| | => | 4 5 6 7 | 69 70 71 72 15| | | | => | 4 5 6 7 | 69 70 71 72 --------------------------------------------------------------------------- =========================================================================== @@ -1663,37 +1663,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 66|OUT E|*| ] [RegIn 1 |249| -| | ] - [MCell 2 |248|NOD SIZE_DMA_0_| |*] + [MCell 2 |248|NOD CYCLE_DMA_0_| |*] [MCell 3 |250| -| | ] 2 [IOpin 2 | 67|INP IPL_0_|*|*] [RegIn 2 |252| -| | ] [MCell 4 |251|OUT E| | ] - [MCell 5 |253|NOD cpu_est_1_| |*] + [MCell 5 |253|NOD CYCLE_DMA_1_| |*] 3 [IOpin 3 | 68|INP IPL_2_|*|*] [RegIn 3 |255| -| | ] - [MCell 6 |254|NOD inst_AMIGA_BUS_ENABLE_DMA_HIGH| |*] + [MCell 6 |254|NOD cpu_est_2_| |*] [MCell 7 |256| -| | ] 4 [IOpin 4 | 69| IO A_0_|*|*] paired w/[ RN_A_0_] [RegIn 4 |258| -| | ] [MCell 8 |257|NOD RN_A_0_| |*] paired w/[ A_0_] - [MCell 9 |259|NOD cpu_est_2_| |*] + [MCell 9 |259|NOD cpu_est_1_| |*] 5 [IOpin 5 | 70| IO SIZE_0_|*|*] [RegIn 5 |261| -| | ] - [MCell 10 |260|NOD inst_AMIGA_BUS_ENABLE_DMA_LOW| |*] + [MCell 10 |260|NOD SIZE_DMA_1_| |*] [MCell 11 |262| -| | ] 6 [IOpin 6 | 71| IO RW|*|*] paired w/[ RN_RW] [RegIn 6 |264| -| | ] [MCell 12 |263| IO SIZE_0_| | ] - [MCell 13 |265|NOD SIZE_DMA_1_| |*] + [MCell 13 |265|NOD SM_AMIGA_4_| |*] 7 [IOpin 7 | 72| -| | ] [RegIn 7 |267| -| | ] - [MCell 14 |266|NOD CIIN_0| |*] + [MCell 14 |266|NOD inst_CLK_OUT_PRE_25| |*] [MCell 15 |268| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -1702,39 +1702,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| Mcel 5 9 ( 235)| inst_CLK_OUT_PRE_D -Mux02| Mcel 4 13 ( 217)| un10_ciin_i -Mux03| Mcel 2 9 ( 163)| inst_RESET_OUT -Mux04| Mcel 6 2 ( 248)| SIZE_DMA_0_ +Mux00| IOPin 3 4 ( 31)| LDS_000 +Mux01| Mcel 3 13 ( 193)| SM_AMIGA_5_ +Mux02| Mcel 2 2 ( 152)| SIZE_DMA_0_ +Mux03| Mcel 4 5 ( 205)| inst_BGACK_030_INT_D +Mux04| Mcel 7 5 ( 277)| CLK_000_D_1_ Mux05| Input Pin ( 14)| nEXP_SPACE Mux06| IOPin 7 5 ( 80)| RW_000 -Mux07| Mcel 3 9 ( 187)| CLK_000_D_0_ +Mux07| Mcel 3 9 ( 187)| cpu_est_3_ Mux08| IOPin 3 3 ( 32)| UDS_000 -Mux09| Mcel 6 13 ( 265)| SIZE_DMA_1_ -Mux10| Mcel 6 8 ( 257)| RN_A_0_ -Mux11| IOPin 5 0 ( 60)| A_1_ -Mux12| Mcel 6 9 ( 259)| cpu_est_2_ -Mux13| Mcel 7 5 ( 277)| CLK_000_D_1_ -Mux14| Mcel 4 5 ( 205)| inst_BGACK_030_INT_D +Mux09| Mcel 0 1 ( 103)| inst_CLK_OUT_PRE_50 +Mux10| Mcel 1 13 ( 145)| CLK_000_D_0_ +Mux11| Mcel 6 14 ( 266)| inst_CLK_OUT_PRE_25 +Mux12| Mcel 6 13 ( 265)| SM_AMIGA_4_ +Mux13| Mcel 6 8 ( 257)| RN_A_0_ +Mux14| Mcel 7 2 ( 272)| inst_CLK_OUT_PRE_D Mux15| ... | ... -Mux16| Mcel 3 2 ( 176)| cpu_est_0_ +Mux16| IOPin 4 1 ( 42)| AS_000 Mux17| Mcel 6 0 ( 245)| RN_RW -Mux18| ... | ... +Mux18| Mcel 0 8 ( 113)| inst_RESET_OUT Mux19| ... | ... Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Mcel 3 13 ( 193)| cpu_est_3_ -Mux22| Mcel 6 10 ( 260)| inst_AMIGA_BUS_ENABLE_DMA_LOW -Mux23| Mcel 6 6 ( 254)| inst_AMIGA_BUS_ENABLE_DMA_HIGH -Mux24| IOPin 3 4 ( 31)| LDS_000 +Mux21| Input Pin ( 86)| RST +Mux22| Mcel 6 10 ( 260)| SIZE_DMA_1_ +Mux23| Mcel 6 6 ( 254)| cpu_est_2_ +Mux24| Mcel 1 10 ( 140)| cpu_est_0_ Mux25| ... | ... Mux26| ... | ... -Mux27| ... | ... +Mux27| Mcel 6 9 ( 259)| cpu_est_1_ Mux28| ... | ... Mux29| ... | ... Mux30| ... | ... -Mux31| ... | ... -Mux32| Mcel 6 5 ( 253)| cpu_est_1_ +Mux31| Mcel 6 2 ( 248)| CYCLE_DMA_0_ +Mux32| Mcel 6 5 ( 253)| CYCLE_DMA_1_ --------------------------------------------------------------------------- =========================================================================== < Block [ 7] > Macrocell (MCell) Cluster Assignments @@ -1748,11 +1748,11 @@ Mux32| Mcel 6 5 ( 253)| cpu_est_1_ _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| RW_000| IO| | S | 4 | 4 to [ 0]| 1 XOR free 1| FPU_CS|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2| | ? | | S | | 4 free | 1 XOR free + 2|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 free | 1 XOR free 4| BGACK_030| IO| | S | 3 | 4 to [ 4]| 1 XOR free 5| CLK_000_D_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6| | ? | | S | | 4 free | 1 XOR free + 6| inst_DTACK_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig 7| | ? | | S | | 4 free | 1 XOR free 8| AS_030| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig 9| DSACK1| IO| | S | 2 | 4 to [ 9]| 1 XOR free @@ -1773,14 +1773,14 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| RW_000| IO| | S | 4 |=> can support up to [ 14] logic PT(s) - 1| FPU_CS|OUT| | S | 1 |=> can support up to [ 15] logic PT(s) - 2| | ? | | S | |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 14] logic PT(s) - 4| BGACK_030| IO| | S | 3 |=> can support up to [ 19] logic PT(s) - 5| CLK_000_D_1_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) - 6| | ? | | S | |=> can support up to [ 18] logic PT(s) - 7| | ? | | S | |=> can support up to [ 14] logic PT(s) + 0| RW_000| IO| | S | 4 |=> can support up to [ 13] logic PT(s) + 1| FPU_CS|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) + 2|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 3| | ? | | S | |=> can support up to [ 13] logic PT(s) + 4| BGACK_030| IO| | S | 3 |=> can support up to [ 18] logic PT(s) + 5| CLK_000_D_1_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 6| inst_DTACK_D0|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) + 7| | ? | | S | |=> can support up to [ 13] logic PT(s) 8| AS_030| IO| | S | 1 |=> can support up to [ 15] logic PT(s) 9| DSACK1| IO| | S | 2 |=> can support up to [ 19] logic PT(s) 10| | ? | | S | |=> can support up to [ 14] logic PT(s) @@ -1800,11 +1800,11 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| RW_000| IO| | => |( 5) 6 7 0 |( 80) 79 78 85 1| FPU_CS|OUT| | => | 5 6 ( 7) 0 | 80 79 ( 78) 85 - 2| | | | => | 6 7 0 1 | 79 78 85 84 + 2|inst_CLK_OUT_PRE_D|NOD| | => | 6 7 0 1 | 79 78 85 84 3| | | | => | 6 7 0 1 | 79 78 85 84 4| BGACK_030| IO| | => | 7 0 1 ( 2)| 78 85 84 ( 83) 5| CLK_000_D_1_|NOD| | => | 7 0 1 2 | 78 85 84 83 - 6| | | | => | 0 1 2 3 | 85 84 83 82 + 6| inst_DTACK_D0|NOD| | => | 0 1 2 3 | 85 84 83 82 7| | | | => | 0 1 2 3 | 85 84 83 82 8| AS_030| IO| | => | 1 2 ( 3) 4 | 84 83 ( 82) 81 9| DSACK1| IO| | => | 1 2 3 ( 4)| 84 83 82 ( 81) @@ -1870,7 +1870,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 84|INP A_DECODE_22_|*|*] [RegIn 1 |273| -| | ] - [MCell 2 |272| -| | ] + [MCell 2 |272|NOD inst_CLK_OUT_PRE_D| |*] [MCell 3 |274| -| | ] 2 [IOpin 2 | 83| IO BGACK_030|*| ] paired w/[ RN_BGACK_030] @@ -1880,7 +1880,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 82| IO AS_030|*|*] [RegIn 3 |279| -| | ] - [MCell 6 |278| -| | ] + [MCell 6 |278|NOD inst_DTACK_D0| |*] [MCell 7 |280| -| | ] 4 [IOpin 4 | 81| IO DSACK1|*| ] paired w/[ RN_DSACK1] @@ -1909,37 +1909,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Mcel 2 13 ( 169)| SM_AMIGA_6_ -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 1 6 ( 134)| inst_AS_000_DMA -Mux03| Mcel 2 9 ( 163)| inst_RESET_OUT -Mux04| Mcel 7 5 ( 277)| CLK_000_D_1_ +Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux01| IOPin 5 2 ( 58)| FC_1_ +Mux02| Mcel 2 2 ( 152)| SIZE_DMA_0_ +Mux03| Mcel 2 9 ( 163)| inst_AS_000_DMA +Mux04| IOPin 3 7 ( 28)| BGACK_000 Mux05| Mcel 7 9 ( 283)| RN_DSACK1 -Mux06| IOPin 0 5 ( 96)| A_DECODE_16_ -Mux07| Mcel 3 9 ( 187)| CLK_000_D_0_ +Mux06| Mcel 7 0 ( 269)| RN_RW_000 +Mux07| Mcel 7 13 ( 289)| SM_AMIGA_0_ Mux08| IOPin 0 0 ( 91)| FPU_SENSE -Mux09| Mcel 0 1 ( 103)| SM_AMIGA_1_ -Mux10| ... | ... -Mux11| IOPin 6 6 ( 71)| RW -Mux12| IOPin 5 2 ( 58)| FC_1_ +Mux09| Mcel 0 12 ( 119)| SM_AMIGA_1_ +Mux10| Mcel 1 13 ( 145)| CLK_000_D_0_ +Mux11| Mcel 6 14 ( 266)| inst_CLK_OUT_PRE_25 +Mux12| IOPin 0 6 ( 97)| A_DECODE_19_ Mux13| IOPin 5 1 ( 59)| A_DECODE_17_ -Mux14| ... | ... +Mux14| IOPin 3 5 ( 30)| DTACK Mux15| Input Pin ( 14)| nEXP_SPACE -Mux16| Mcel 4 8 ( 209)| inst_AS_030_D0 +Mux16| IOPin 4 1 ( 42)| AS_000 Mux17| IOPin 5 3 ( 57)| FC_0_ -Mux18| Mcel 0 8 ( 113)| SM_AMIGA_i_7_ +Mux18| Mcel 0 8 ( 113)| inst_RESET_OUT Mux19| IOPin 7 3 ( 82)| AS_030 -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux20| Mcel 1 14 ( 146)| SM_AMIGA_i_7_ Mux21| Input Pin ( 86)| RST -Mux22| ... | ... -Mux23| Mcel 6 2 ( 248)| SIZE_DMA_0_ +Mux22| Mcel 6 10 ( 260)| SIZE_DMA_1_ +Mux23| Mcel 1 6 ( 134)| SM_AMIGA_6_ Mux24| ... | ... -Mux25| Mcel 6 13 ( 265)| SIZE_DMA_1_ -Mux26| IOPin 4 1 ( 42)| AS_000 -Mux27| IOPin 0 6 ( 97)| A_DECODE_19_ -Mux28| Mcel 7 13 ( 289)| SM_AMIGA_0_ +Mux25| IOPin 6 6 ( 71)| RW +Mux26| IOPin 0 5 ( 96)| A_DECODE_16_ +Mux27| Mcel 7 5 ( 277)| CLK_000_D_1_ +Mux28| ... | ... Mux29| ... | ... -Mux30| Mcel 7 0 ( 269)| RN_RW_000 +Mux30| Mcel 4 8 ( 209)| inst_AS_030_D0 Mux31| IOPin 0 4 ( 95)| A_DECODE_18_ -Mux32| IOPin 3 7 ( 28)| BGACK_000 +Mux32| ... | ... --------------------------------------------------------------------------- \ No newline at end of file diff --git a/Logic/68030_tk.rpt b/Logic/68030_tk.rpt index b2d910d..3f03e3c 100644 --- a/Logic/68030_tk.rpt +++ b/Logic/68030_tk.rpt @@ -11,8 +11,8 @@ Project_Summary ~~~~~~~~~~~~~~~ Project Name : 68030_tk -Project Path : C:\Users\Matze\Documents\GitHub\68030tk\Logic -Project Fitted on : Thu Aug 25 22:27:55 2016 +Project Path : C:\Users\Matze\Amiga\Hardwarehacks\68030-TK\GitHub\Logic +Project Fitted on : Wed Sep 14 23:54:30 2016 Device : M4A5-128/64 Package : 100TQFP @@ -40,8 +40,8 @@ Design_Summary Total Input Pins : 24 Total Output Pins : 19 Total Bidir I/O Pins : 18 - Total Flip-Flops : 54 - Total Product Terms : 210 + Total Flip-Flops : 55 + Total Product Terms : 203 Total Reserved Pins : 0 Total Reserved Blocks : 0 @@ -54,13 +54,13 @@ Dedicated Pins Input-Only Pins 2 2 0 --> 100% Clock/Input Pins 4 4 0 --> 100% I/O Pins 64 55 9 --> 85% -Logic Macrocells 128 82 46 --> 64% +Logic Macrocells 128 81 47 --> 63% Input Registers 64 0 64 --> 0% - Unusable Macrocells .. 1 .. + Unusable Macrocells .. 0 .. -CSM Outputs/Total Block Inputs 264 199 65 --> 75% -Logical Product Terms 640 214 426 --> 33% -Product Term Clusters 128 53 75 --> 41% +CSM Outputs/Total Block Inputs 264 208 56 --> 78% +Logical Product Terms 640 206 434 --> 32% +Product Term Clusters 128 52 76 --> 40%  Blocks_Resource_Summary @@ -71,14 +71,14 @@ Blocks_Resource_Summary --------------------------------------------------------------------------------- Maximum 33 8 8 -- -- 16 80 16 - --------------------------------------------------------------------------------- -Block A 25 8 0 8 0 8 32 9 Lo -Block B 24 8 0 12 1 3 60 4 Lo -Block C 22 7 0 12 0 4 27 9 Lo -Block D 24 8 0 11 0 5 21 10 Lo -Block E 31 4 0 8 0 8 9 15 Lo -Block F 22 5 0 10 0 6 23 9 Lo -Block G 23 7 0 12 0 4 26 7 Lo -Block H 28 8 0 8 0 8 16 12 Lo +Block A 24 8 0 10 0 6 27 9 Lo +Block B 23 8 0 12 0 4 46 7 Lo +Block C 26 7 0 12 0 4 33 9 Lo +Block D 27 8 0 12 0 4 25 8 Lo +Block E 31 4 0 8 0 8 10 14 Lo +Block F 22 5 0 5 0 11 17 11 Lo +Block G 26 7 0 12 0 4 30 6 Lo +Block H 29 8 0 10 0 6 18 12 Lo --------------------------------------------------------------------------------- Four rightmost columns above reflect last status of the placement process. @@ -287,7 +287,7 @@ Input_Signal_List Pin r e O Input Pin Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - 60 F . I/O ------G- Low Slow A_1_ + 60 F . I/O -----F-- Low Slow A_1_ 96 A . I/O --C-E--H Low Slow A_DECODE_16_ 59 F . I/O --C-E--H Low Slow A_DECODE_17_ 95 A . I/O --C-E--H Low Slow A_DECODE_18_ @@ -298,18 +298,18 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 85 H . I/O ----E--- Low Slow A_DECODE_23_ 28 D . I/O ----E--H Low Slow BGACK_000 21 C . I/O ---D---- Low Slow BG_030 - 30 D . I/O -----F-- Low Slow DTACK + 30 D . I/O -------H Low Slow DTACK 57 F . I/O --C-E--H Low Slow FC_0_ 58 F . I/O --C-E--H Low Slow FC_1_ 91 A . I/O ----E--H Low Slow FPU_SENSE - 67 G . I/O -B------ Low Slow IPL_0_ - 56 F . I/O -B--E--- Low Slow IPL_1_ - 68 G . I/O -B-D---- Low Slow IPL_2_ - 11 . . Ck/I ---D---- - Slow CLK_000 + 67 G . I/O -BC----- Low Slow IPL_0_ + 56 F . I/O -B-D---- Low Slow IPL_1_ + 68 G . I/O -BC----- Low Slow IPL_2_ + 11 . . Ck/I -B------ - Slow CLK_000 14 . . Ck/I ABCDE-GH - Slow nEXP_SPACE - 36 . . Ded A------- - Slow VPA + 36 . . Ded -----F-- - Slow VPA 61 . . Ck/I ABCDEFGH - Slow CLK_OSZI - 64 . . Ck/I -B------ - Slow CLK_030 + 64 . . Ck/I --C--F-- - Slow CLK_030 86 . . Ded ABCDEFGH - Slow RST ---------------------------------------------------------------------- @@ -368,16 +368,16 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 6 B 1 COM ----E--- Low Fast AHIGH_29_ 5 B 1 COM ----E--- Low Fast AHIGH_30_ 4 B 1 COM ----E--- Low Fast AHIGH_31_ - 42 E 1 COM AB--EF-H Low Fast AS_000 + 42 E 1 COM A-C-EFGH Low Fast AS_000 82 H 1 COM ----E--H Low Fast AS_030 69 G 3 DFF ---D-F-- Low Fast A_0_ - 41 E 1 COM A-C--F-H Low Fast BERR - 31 D 1 COM -B----G- Low Fast LDS_000 - 71 G 2 DFF -----F-H Low Fast RW - 80 H 4 DFF -B--E-G- Low Fast RW_000 + 41 E 1 COM A------- Low Fast BERR + 31 D 1 COM --C--FG- Low Fast LDS_000 + 71 G 2 DFF ---D---H Low Fast RW + 80 H 4 DFF ----EFG- Low Fast RW_000 70 G 1 COM -----F-- Low Fast SIZE_0_ 79 H 1 COM -----F-- Low Fast SIZE_1_ - 32 D 1 COM -B----G- Low Fast UDS_000 + 32 D 1 COM --C--FG- Low Fast UDS_000 ---------------------------------------------------------------------- Power : Hi = High @@ -393,14 +393,15 @@ Buried_Signal_List Pin r e O Node #Mc Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - G14 G 1 COM ----E--- Low Slow CIIN_0 - D9 D 1 DFF A-CD-FGH Low Slow CLK_000_D_0_ - H5 H 1 DFF A-CD-FGH Low Slow CLK_000_D_1_ - F1 F 3 DFF -B---F-- Low Slow CYCLE_DMA_0_ - F0 F 4 DFF -B---F-- Low Slow CYCLE_DMA_1_ - B14 B 1 DFF -B------ Low Slow IPL_D0_0_ - E9 E 1 DFF -B------ Low Slow IPL_D0_1_ - D10 D 1 DFF -B------ Low Slow IPL_D0_2_ + E9 E 2 COM ----E--- Low Slow CIIN_0 + B13 B 1 DFF AB-D--GH Low Slow CLK_000_D_0_ + H5 H 1 DFF AB-DE-GH Low Slow CLK_000_D_1_ + E13 E 1 DFF -B------ Low Slow CLK_000_D_2_ + G2 G 3 DFF --C--FG- Low Slow CYCLE_DMA_0_ + G5 G 4 DFF --C--FG- Low Slow CYCLE_DMA_1_ + C14 C 1 DFF -B------ Low Slow IPL_D0_0_ + D14 D 1 DFF -B------ Low Slow IPL_D0_1_ + C10 C 1 DFF -B------ Low Slow IPL_D0_2_ G8 G 3 DFF ------G- Low - RN_A_0_ --> A_0_ H4 H 3 DFF ABCDEFGH Low - RN_BGACK_030 --> BGACK_030 D1 D 2 DFF ---D---- Low - RN_BG_000 --> BG_000 @@ -411,42 +412,41 @@ Buried_Signal_List G0 G 2 DFF ------G- Low - RN_RW --> RW H0 H 4 DFF -------H Low - RN_RW_000 --> RW_000 D0 D 3 TFF A--D---- Low - RN_VMA --> VMA - C6 C 4 DFF --C----- Low Slow RST_DLY_0_ - C14 C 2 DFF --C----- Low Slow RST_DLY_1_ - C10 C 2 DFF --C----- Low Slow RST_DLY_2_ - G2 G 3 DFF ------GH Low Slow SIZE_DMA_0_ - G13 G 3 DFF ------GH Low Slow SIZE_DMA_1_ - H13 H 3 DFF A------H Low Slow SM_AMIGA_0_ - A1 A 3 DFF A------H Low Slow SM_AMIGA_1_ - A9 A 4 DFF A------- Low Slow SM_AMIGA_2_ - A13 A 4 DFF A------- Low Slow SM_AMIGA_3_ - F4 F 3 DFF A----F-- Low Slow SM_AMIGA_4_ - A12 A 3 DFF A----F-- Low Slow SM_AMIGA_5_ - C13 C 3 DFF A-CD-F-H Low Slow SM_AMIGA_6_ - A8 A 13 DFF --C----H Low Slow SM_AMIGA_i_7_ - D2 D 3 DFF A--D--G- Low Slow cpu_est_0_ - G5 G 4 DFF A--D--G- Low Slow cpu_est_1_ - G9 G 1 DFF A--D--G- Low Slow cpu_est_2_ - D13 D 4 DFF A--D--G- Low Slow cpu_est_3_ - G6 G 2 DFF ---D--G- Low Slow inst_AMIGA_BUS_ENABLE_DMA_HIGH - G10 G 2 DFF --C---G- Low Slow inst_AMIGA_BUS_ENABLE_DMA_LOW - B6 B 7 DFF -B-----H Low Slow inst_AS_000_DMA - F5 F 2 DFF ----EF-- Low Slow inst_AS_000_INT - C2 C 7 DFF A-CD---- Low Slow inst_AS_030_000_SYNC - E8 E 1 DFF --CDEF-H Low Slow inst_AS_030_D0 - E5 E 1 DFF --C---G- Low Slow inst_BGACK_030_INT_D - B10 B 8 DFF -B------ Low Slow inst_CLK_030_H - F13 F 2 DFF -----F-- Low Slow inst_CLK_OUT_PRE_25 - F2 F 1 DFF -----F-- Low Slow inst_CLK_OUT_PRE_50 - F9 F 1 DFF -B----G- Low Slow inst_CLK_OUT_PRE_D - B13 B 9 DFF AB------ Low Slow inst_DS_000_DMA - F8 F 3 DFF ---D-F-- Low Slow inst_DS_000_ENABLE - F6 F 1 DFF A------- Low Slow inst_DTACK_D0 - F12 F 3 DFF ---D-F-- Low Slow inst_LDS_000_INT - C9 C 2 DFF ABCDE-GH Low Slow inst_RESET_OUT - D6 D 2 DFF ---D---- Low Slow inst_UDS_000_INT - A5 A 1 DFF A--D---- Low Slow inst_VPA_D - E13 E 1 COM ------G- Low Slow un10_ciin_i + A13 A 4 DFF A------- Low Slow RST_DLY_0_ + A6 A 2 DFF A------- Low Slow RST_DLY_1_ + A2 A 2 DFF A------- Low Slow RST_DLY_2_ + C2 C 3 DFF --C---GH Low Slow SIZE_DMA_0_ + G10 G 3 DFF ------GH Low Slow SIZE_DMA_1_ + H13 H 3 DFF -B-----H Low Slow SM_AMIGA_0_ + A12 A 3 DFF A------H Low Slow SM_AMIGA_1_ + A5 A 5 DFF A------- Low Slow SM_AMIGA_2_ + A9 A 5 TFF A------- Low Slow SM_AMIGA_3_ + G13 G 3 DFF A--D--G- Low Slow SM_AMIGA_4_ + D13 D 3 DFF ---D--G- Low Slow SM_AMIGA_5_ + B6 B 3 DFF -B-D-F-H Low Slow SM_AMIGA_6_ + B14 B 3 DFF -BC----H Low Slow SM_AMIGA_i_7_ + B10 B 3 DFF AB-D--G- Low Slow cpu_est_0_ + G9 G 4 DFF A--D--G- Low Slow cpu_est_1_ + G6 G 1 DFF A--D--G- Low Slow cpu_est_2_ + D9 D 4 DFF A--D--G- Low Slow cpu_est_3_ + F8 F 2 DFF ---D-F-- Low Slow inst_AMIGA_BUS_ENABLE_DMA_HIGH + F12 F 2 DFF --C--F-- Low Slow inst_AMIGA_BUS_ENABLE_DMA_LOW + C9 C 7 DFF --C--F-H Low Slow inst_AS_000_DMA + D2 D 2 DFF ---DE--- Low Slow inst_AS_000_INT + C13 C 7 DFF -BCD---- Low Slow inst_AS_030_000_SYNC + E8 E 1 DFF --CDE--H Low Slow inst_AS_030_D0 + E5 E 1 DFF --C--FG- Low Slow inst_BGACK_030_INT_D + C6 C 8 DFF --C--F-- Low Slow inst_CLK_030_H + G14 G 2 DFF ------GH Low Slow inst_CLK_OUT_PRE_25 + A1 A 1 DFF A-----G- Low Slow inst_CLK_OUT_PRE_50 + H2 H 1 DFF -B----G- Low Slow inst_CLK_OUT_PRE_D + F0 F 9 DFF A----F-- Low Slow inst_DS_000_DMA + D6 D 3 DFF ---D---- Low Slow inst_DS_000_ENABLE + H6 H 1 DFF A------- Low Slow inst_DTACK_D0 + F4 F 3 DFF ---D-F-- Low Slow inst_LDS_000_INT + A8 A 2 DFF ABCDE-GH Low Slow inst_RESET_OUT + D10 D 2 DFF ---D---- Low Slow inst_UDS_000_INT + F1 F 1 DFF A--D---- Low Slow inst_VPA_D ---------------------------------------------------------------------- Power : Hi = High @@ -461,187 +461,180 @@ Signals_Fanout_List ~~~~~~~~~~~~~~~~~~~ Signal Source : Fanout List ----------------------------------------------------------------------------- - AHIGH_30_{ C}: CIIN{ E} un10_ciin_i{ E} - AHIGH_29_{ C}: CIIN{ E} un10_ciin_i{ E} SIZE_1_{ I}:inst_LDS_000_INT{ F} - AHIGH_28_{ D}: CIIN{ E} un10_ciin_i{ E} - AHIGH_27_{ D}: CIIN{ E} un10_ciin_i{ E} - AHIGH_31_{ C}: CIIN{ E} un10_ciin_i{ E} - AHIGH_26_{ D}: CIIN{ E} un10_ciin_i{ E} - AHIGH_25_{ D}: CIIN{ E} un10_ciin_i{ E} -A_DECODE_23_{ I}: CIIN{ E} un10_ciin_i{ E} - AHIGH_24_{ D}: CIIN{ E} un10_ciin_i{ E} -A_DECODE_22_{ I}: CIIN{ E} un10_ciin_i{ E} -A_DECODE_21_{ B}: CIIN{ E} un10_ciin_i{ E} -A_DECODE_20_{ B}: CIIN{ E} un10_ciin_i{ E} -A_DECODE_19_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} -A_DECODE_18_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + AHIGH_31_{ C}: CIIN{ E} CIIN_0{ E} +A_DECODE_23_{ I}: CIIN{ E} CIIN_0{ E} IPL_2_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_2_{ D} -A_DECODE_17_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} -A_DECODE_16_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + : IPL_D0_2_{ C} FC_1_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} AS_030{ I}: AS_000{ E} BERR{ E} FPU_CS{ H} : inst_AS_030_D0{ E} AS_000{ F}: AS_030{ H} DS_030{ A}AMIGA_BUS_DATA_DIR{ E} - : BGACK_030{ H}inst_AS_000_DMA{ B}inst_DS_000_DMA{ B} - : CYCLE_DMA_0_{ F} CYCLE_DMA_1_{ F} inst_CLK_030_H{ B} - UDS_000{ E}: A_0_{ G}inst_AS_000_DMA{ B}inst_DS_000_DMA{ B} - : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} inst_CLK_030_H{ B} - LDS_000{ E}:inst_AS_000_DMA{ B}inst_DS_000_DMA{ B} SIZE_DMA_0_{ G} - : SIZE_DMA_1_{ G} inst_CLK_030_H{ B} - nEXP_SPACE{. }: AHIGH_30_{ B} AHIGH_29_{ B} SIZE_1_{ H} - : AHIGH_28_{ C} AHIGH_27_{ C} AHIGH_31_{ B} + : BGACK_030{ H}inst_AS_000_DMA{ C}inst_DS_000_DMA{ F} + : CYCLE_DMA_0_{ G} CYCLE_DMA_1_{ G} inst_CLK_030_H{ C} + UDS_000{ E}: A_0_{ G}inst_AS_000_DMA{ C}inst_DS_000_DMA{ F} + : SIZE_DMA_0_{ C} SIZE_DMA_1_{ G} inst_CLK_030_H{ C} + LDS_000{ E}:inst_AS_000_DMA{ C}inst_DS_000_DMA{ F} SIZE_DMA_0_{ C} + : SIZE_DMA_1_{ G} inst_CLK_030_H{ C} + nEXP_SPACE{. }: SIZE_1_{ H} AHIGH_31_{ B} AS_030{ H} + : DS_030{ A} SIZE_0_{ G} AHIGH_30_{ B} + : AHIGH_29_{ B} AHIGH_28_{ C} AHIGH_27_{ C} : AHIGH_26_{ C} AHIGH_25_{ C} AHIGH_24_{ C} - : AS_030{ H} DS_030{ A}AMIGA_BUS_DATA_DIR{ E} - : SIZE_0_{ G} BG_000{ D} A_0_{ G} - : DSACK1{ H}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ C} - : SM_AMIGA_i_7_{ A} CIIN_0{ G} - BERR{ F}: DSACK1{ H}inst_AS_000_INT{ F}inst_AS_030_000_SYNC{ C} - :inst_DS_000_ENABLE{ F} SM_AMIGA_6_{ C} SM_AMIGA_4_{ F} - : SM_AMIGA_1_{ A} SM_AMIGA_0_{ H} SM_AMIGA_5_{ A} - : SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} SM_AMIGA_i_7_{ A} + :AMIGA_BUS_DATA_DIR{ E} BG_000{ D} DSACK1{ H} + : A_0_{ G}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ B} + : SM_AMIGA_i_7_{ B} CIIN_0{ E} + BERR{ F}: SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} BG_030{ D}: BG_000{ D} BGACK_000{ E}: BERR{ E} FPU_CS{ H} BGACK_030{ H} - CLK_030{. }:inst_AS_000_DMA{ B}inst_DS_000_DMA{ B} inst_CLK_030_H{ B} - CLK_000{. }: CLK_000_D_0_{ D} - IPL_1_{ G}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_1_{ E} - IPL_0_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_0_{ B} - FPU_SENSE{ B}: BERR{ E} FPU_CS{ H} - FC_0_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} - A_1_{ G}:inst_AMIGA_BUS_ENABLE_DMA_LOW{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} - DTACK{ E}: inst_DTACK_D0{ F} - VPA{. }: inst_VPA_D{ A} - RST{. }: IPL_030_2_{ B} RW_000{ H} BG_000{ D} - : BGACK_030{ H} A_0_{ G} IPL_030_1_{ B} - : IPL_030_0_{ B} DSACK1{ H} VMA{ D} - : RW{ G}inst_AS_000_INT{ F}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} - : inst_AS_030_D0{ E}inst_AS_030_000_SYNC{ C}inst_BGACK_030_INT_D{ E} - :inst_AS_000_DMA{ B}inst_DS_000_DMA{ B} CYCLE_DMA_0_{ F} - : CYCLE_DMA_1_{ F} SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} - : inst_VPA_D{ A} inst_DTACK_D0{ F} inst_RESET_OUT{ C} - : IPL_D0_0_{ B} IPL_D0_1_{ E} IPL_D0_2_{ D} - :inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G}inst_LDS_000_INT{ F}inst_DS_000_ENABLE{ F} - :inst_UDS_000_INT{ D} SM_AMIGA_6_{ C} SM_AMIGA_4_{ F} - : SM_AMIGA_1_{ A} SM_AMIGA_0_{ H} RST_DLY_0_{ C} - : RST_DLY_1_{ C} RST_DLY_2_{ C} inst_CLK_030_H{ B} - : SM_AMIGA_5_{ A} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} - : SM_AMIGA_i_7_{ A} + CLK_030{. }:inst_AS_000_DMA{ C}inst_DS_000_DMA{ F} inst_CLK_030_H{ C} + CLK_000{. }: CLK_000_D_0_{ B} SIZE_0_{ H}:inst_LDS_000_INT{ F} + AHIGH_30_{ C}: CIIN{ E} CIIN_0{ E} + AHIGH_29_{ C}: CIIN{ E} CIIN_0{ E} + AHIGH_28_{ D}: CIIN{ E} CIIN_0{ E} + AHIGH_27_{ D}: CIIN{ E} CIIN_0{ E} + FPU_SENSE{ B}: BERR{ E} FPU_CS{ H} + AHIGH_26_{ D}: CIIN{ E} CIIN_0{ E} + AHIGH_25_{ D}: CIIN{ E} CIIN_0{ E} + DTACK{ E}: inst_DTACK_D0{ H} + AHIGH_24_{ D}: CIIN{ E} CIIN_0{ E} +A_DECODE_22_{ I}: CIIN{ E} CIIN_0{ E} +A_DECODE_21_{ B}: CIIN{ E} CIIN_0{ E} + VPA{. }: inst_VPA_D{ F} +A_DECODE_20_{ B}: CIIN{ E} CIIN_0{ E} +A_DECODE_19_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + RST{. }: IPL_030_2_{ B} RW_000{ H} BG_000{ D} + : BGACK_030{ H} DSACK1{ H} VMA{ D} + : RW{ G} A_0_{ G} IPL_030_1_{ B} + : IPL_030_0_{ B}inst_AS_000_INT{ D}inst_AMIGA_BUS_ENABLE_DMA_LOW{ F} + : inst_AS_030_D0{ E}inst_AS_030_000_SYNC{ C}inst_BGACK_030_INT_D{ E} + :inst_AS_000_DMA{ C}inst_DS_000_DMA{ F} CYCLE_DMA_0_{ G} + : CYCLE_DMA_1_{ G} SIZE_DMA_0_{ C} SIZE_DMA_1_{ G} + : inst_VPA_D{ F} inst_DTACK_D0{ H} inst_RESET_OUT{ A} + : IPL_D0_0_{ C} IPL_D0_1_{ D} IPL_D0_2_{ C} + :inst_AMIGA_BUS_ENABLE_DMA_HIGH{ F}inst_LDS_000_INT{ F}inst_DS_000_ENABLE{ D} + :inst_UDS_000_INT{ D} SM_AMIGA_6_{ B} SM_AMIGA_4_{ G} + : SM_AMIGA_1_{ A} SM_AMIGA_0_{ H} RST_DLY_0_{ A} + : RST_DLY_1_{ A} RST_DLY_2_{ A} inst_CLK_030_H{ C} + : SM_AMIGA_5_{ D} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} + : SM_AMIGA_i_7_{ B} +A_DECODE_18_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} +A_DECODE_17_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} +A_DECODE_16_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + IPL_1_{ G}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + : IPL_D0_1_{ D} + IPL_0_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + : IPL_D0_0_{ C} + FC_0_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + A_1_{ G}:inst_AMIGA_BUS_ENABLE_DMA_LOW{ F}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ F} RN_IPL_030_2_{ C}: IPL_030_2_{ B} - RW_000{ I}:AMIGA_BUS_DATA_DIR{ E} RW{ G}inst_DS_000_DMA{ B} + RW_000{ I}:AMIGA_BUS_DATA_DIR{ E} RW{ G}inst_DS_000_DMA{ F} RN_RW_000{ I}: RW_000{ H} RN_BG_000{ E}: BG_000{ D} -RN_BGACK_030{ I}: AHIGH_30_{ B} AHIGH_29_{ B} SIZE_1_{ H} - : AHIGH_28_{ C} AHIGH_27_{ C} AHIGH_31_{ B} +RN_BGACK_030{ I}: SIZE_1_{ H} AHIGH_31_{ B} AS_030{ H} + : AS_000{ E} DS_030{ A} UDS_000{ D} + : LDS_000{ D} SIZE_0_{ G} AHIGH_30_{ B} + : AHIGH_29_{ B} AHIGH_28_{ C} AHIGH_27_{ C} : AHIGH_26_{ C} AHIGH_25_{ C} AHIGH_24_{ C} - : AS_030{ H} AS_000{ E} DS_030{ A} - : UDS_000{ D} LDS_000{ D}AMIGA_BUS_DATA_DIR{ E} - :AMIGA_BUS_ENABLE_LOW{ C}AMIGA_BUS_ENABLE_HIGH{ D} SIZE_0_{ G} - : RW_000{ H} BGACK_030{ H} A_0_{ G} - : RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G}inst_AS_030_000_SYNC{ C} - :inst_BGACK_030_INT_D{ E}inst_AS_000_DMA{ B}inst_DS_000_DMA{ B} - : CYCLE_DMA_0_{ F} CYCLE_DMA_1_{ F} SIZE_DMA_0_{ G} - : SIZE_DMA_1_{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} inst_CLK_030_H{ B} + :AMIGA_BUS_DATA_DIR{ E}AMIGA_BUS_ENABLE_LOW{ C}AMIGA_BUS_ENABLE_HIGH{ D} + : RW_000{ H} BGACK_030{ H} RW{ G} + : A_0_{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ F}inst_AS_030_000_SYNC{ C} + :inst_BGACK_030_INT_D{ E}inst_AS_000_DMA{ C}inst_DS_000_DMA{ F} + : CYCLE_DMA_0_{ G} CYCLE_DMA_1_{ G} SIZE_DMA_0_{ C} + : SIZE_DMA_1_{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ F} inst_CLK_030_H{ C} + RN_DSACK1{ I}: DSACK1{ H} + RN_VMA{ E}: VMA{ D} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} + RW{ H}: RW_000{ H}inst_DS_000_ENABLE{ D} + RN_RW{ H}: RW{ G} A_0_{ H}:inst_LDS_000_INT{ F}inst_UDS_000_INT{ D} RN_A_0_{ H}: A_0_{ G} RN_IPL_030_1_{ C}: IPL_030_1_{ B} RN_IPL_030_0_{ C}: IPL_030_0_{ B} - RN_DSACK1{ I}: DSACK1{ H} - RN_VMA{ E}: VMA{ D} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} - : SM_AMIGA_i_7_{ A} - RW{ H}: RW_000{ H}inst_DS_000_ENABLE{ F} - RN_RW{ H}: RW{ G} -un10_ciin_i{ F}: CIIN_0{ G} - cpu_est_0_{ E}: VMA{ D} cpu_est_0_{ D} cpu_est_1_{ G} - : cpu_est_2_{ G} cpu_est_3_{ D} SM_AMIGA_3_{ A} - : SM_AMIGA_2_{ A} SM_AMIGA_i_7_{ A} - cpu_est_1_{ H}: E{ G} VMA{ D} cpu_est_1_{ G} - : cpu_est_2_{ G} cpu_est_3_{ D} SM_AMIGA_3_{ A} - : SM_AMIGA_2_{ A} SM_AMIGA_i_7_{ A} cpu_est_2_{ H}: E{ G} VMA{ D} cpu_est_2_{ G} : cpu_est_3_{ D} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} - : SM_AMIGA_i_7_{ A} - cpu_est_3_{ E}: E{ G} VMA{ D} cpu_est_1_{ G} - : cpu_est_3_{ D} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} - : SM_AMIGA_i_7_{ A} -inst_AS_000_INT{ G}: AS_000{ E}inst_AS_000_INT{ F} -inst_AMIGA_BUS_ENABLE_DMA_LOW{ H}:AMIGA_BUS_ENABLE_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} + cpu_est_3_{ E}: E{ G} VMA{ D} cpu_est_3_{ D} + : cpu_est_1_{ G} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} + cpu_est_0_{ C}: VMA{ D} cpu_est_2_{ G} cpu_est_3_{ D} + : cpu_est_0_{ B} cpu_est_1_{ G} SM_AMIGA_3_{ A} + : SM_AMIGA_2_{ A} + cpu_est_1_{ H}: E{ G} VMA{ D} cpu_est_2_{ G} + : cpu_est_3_{ D} cpu_est_1_{ G} SM_AMIGA_3_{ A} + : SM_AMIGA_2_{ A} +inst_AS_000_INT{ E}: AS_000{ E}inst_AS_000_INT{ D} +inst_AMIGA_BUS_ENABLE_DMA_LOW{ G}:AMIGA_BUS_ENABLE_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_LOW{ F} inst_AS_030_D0{ F}: CIIN{ E} BG_000{ D} DSACK1{ H} - : un10_ciin_i{ E}inst_AS_000_INT{ F}inst_AS_030_000_SYNC{ C} - :inst_DS_000_ENABLE{ F} -inst_AS_030_000_SYNC{ D}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ C} - : SM_AMIGA_i_7_{ A} -inst_BGACK_030_INT_D{ F}: A_0_{ G} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} - :inst_AS_030_000_SYNC{ C} SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} - :inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} -inst_AS_000_DMA{ C}: AS_030{ H}inst_AS_000_DMA{ B}inst_DS_000_DMA{ B} - : inst_CLK_030_H{ B} -inst_DS_000_DMA{ C}: DS_030{ A}inst_DS_000_DMA{ B} -CYCLE_DMA_0_{ G}:inst_AS_000_DMA{ B}inst_DS_000_DMA{ B} CYCLE_DMA_0_{ F} - : CYCLE_DMA_1_{ F} inst_CLK_030_H{ B} -CYCLE_DMA_1_{ G}:inst_AS_000_DMA{ B}inst_DS_000_DMA{ B} CYCLE_DMA_1_{ F} - : inst_CLK_030_H{ B} -SIZE_DMA_0_{ H}: SIZE_1_{ H} SIZE_0_{ G} SIZE_DMA_0_{ G} + :inst_AS_000_INT{ D}inst_AS_030_000_SYNC{ C}inst_DS_000_ENABLE{ D} + : CIIN_0{ E} +inst_AS_030_000_SYNC{ D}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ B} + : SM_AMIGA_i_7_{ B} +inst_BGACK_030_INT_D{ F}: RW{ G} A_0_{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ F} + :inst_AS_030_000_SYNC{ C} SIZE_DMA_0_{ C} SIZE_DMA_1_{ G} + :inst_AMIGA_BUS_ENABLE_DMA_HIGH{ F} +inst_AS_000_DMA{ D}: AS_030{ H}inst_AS_000_DMA{ C}inst_DS_000_DMA{ F} + : inst_CLK_030_H{ C} +inst_DS_000_DMA{ G}: DS_030{ A}inst_DS_000_DMA{ F} +CYCLE_DMA_0_{ H}:inst_AS_000_DMA{ C}inst_DS_000_DMA{ F} CYCLE_DMA_0_{ G} + : CYCLE_DMA_1_{ G} inst_CLK_030_H{ C} +CYCLE_DMA_1_{ H}:inst_AS_000_DMA{ C}inst_DS_000_DMA{ F} CYCLE_DMA_1_{ G} + : inst_CLK_030_H{ C} +SIZE_DMA_0_{ D}: SIZE_1_{ H} SIZE_0_{ G} SIZE_DMA_0_{ C} SIZE_DMA_1_{ H}: SIZE_1_{ H} SIZE_0_{ G} SIZE_DMA_1_{ G} - inst_VPA_D{ B}: VMA{ D} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} - : SM_AMIGA_i_7_{ A} -inst_DTACK_D0{ G}: SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} SM_AMIGA_i_7_{ A} -inst_RESET_OUT{ D}: AHIGH_30_{ B} AHIGH_29_{ B} AHIGH_28_{ C} - : AHIGH_27_{ C} AHIGH_31_{ B} AHIGH_26_{ C} - : AHIGH_25_{ C} AHIGH_24_{ C} AS_030{ H} - : AS_000{ E} DS_030{ A} UDS_000{ D} - : LDS_000{ D} RESET{ B} RW_000{ H} - : A_0_{ G} RW{ G} inst_RESET_OUT{ C} + inst_VPA_D{ G}: VMA{ D} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} CLK_000_D_1_{ I}: RW_000{ H} BGACK_030{ H} DSACK1{ H} - : VMA{ D} cpu_est_0_{ D} cpu_est_1_{ G} - : cpu_est_2_{ G} cpu_est_3_{ D}inst_AS_000_INT{ F} - : CYCLE_DMA_0_{ F} CYCLE_DMA_1_{ F} inst_RESET_OUT{ C} - :inst_DS_000_ENABLE{ F} SM_AMIGA_6_{ C} SM_AMIGA_4_{ F} - : SM_AMIGA_1_{ A} SM_AMIGA_0_{ H} RST_DLY_0_{ C} - : RST_DLY_1_{ C} RST_DLY_2_{ C} SM_AMIGA_5_{ A} - : SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} SM_AMIGA_i_7_{ A} -CLK_000_D_0_{ E}: RW_000{ H} BG_000{ D} BGACK_030{ H} - : DSACK1{ H} VMA{ D} cpu_est_0_{ D} - : cpu_est_1_{ G} cpu_est_2_{ G} cpu_est_3_{ D} - :inst_AS_000_INT{ F} CYCLE_DMA_0_{ F} CYCLE_DMA_1_{ F} - : inst_RESET_OUT{ C} CLK_000_D_1_{ H}inst_DS_000_ENABLE{ F} - : SM_AMIGA_6_{ C} SM_AMIGA_4_{ F} SM_AMIGA_1_{ A} - : SM_AMIGA_0_{ H} RST_DLY_0_{ C} RST_DLY_1_{ C} - : RST_DLY_2_{ C} SM_AMIGA_5_{ A} SM_AMIGA_3_{ A} - : SM_AMIGA_2_{ A} SM_AMIGA_i_7_{ A} -inst_CLK_OUT_PRE_50{ G}:inst_CLK_OUT_PRE_50{ F}inst_CLK_OUT_PRE_25{ F} -inst_CLK_OUT_PRE_25{ G}:inst_CLK_OUT_PRE_25{ F}inst_CLK_OUT_PRE_D{ F} -inst_CLK_OUT_PRE_D{ G}: CLK_DIV_OUT{ G} CLK_EXP{ B} - IPL_D0_0_{ C}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - IPL_D0_1_{ F}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - IPL_D0_2_{ E}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} -inst_AMIGA_BUS_ENABLE_DMA_HIGH{ H}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} + : VMA{ D} cpu_est_2_{ G} cpu_est_3_{ D} + : cpu_est_0_{ B} cpu_est_1_{ G}inst_AS_000_INT{ D} + : CYCLE_DMA_0_{ G} CYCLE_DMA_1_{ G} inst_RESET_OUT{ A} + : CLK_000_D_2_{ E}inst_DS_000_ENABLE{ D} SM_AMIGA_6_{ B} + : SM_AMIGA_4_{ G} SM_AMIGA_1_{ A} SM_AMIGA_0_{ H} + : RST_DLY_0_{ A} RST_DLY_1_{ A} RST_DLY_2_{ A} + : SM_AMIGA_5_{ D} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} + : SM_AMIGA_i_7_{ B} +inst_DTACK_D0{ I}: SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} +inst_RESET_OUT{ B}: AHIGH_31_{ B} AS_030{ H} AS_000{ E} + : DS_030{ A} UDS_000{ D} LDS_000{ D} + : AHIGH_30_{ B} AHIGH_29_{ B} AHIGH_28_{ C} + : AHIGH_27_{ C} AHIGH_26_{ C} AHIGH_25_{ C} + : AHIGH_24_{ C} RESET{ B} RW_000{ H} + : RW{ G} A_0_{ G} inst_RESET_OUT{ A} +CLK_000_D_0_{ C}: RW_000{ H} BG_000{ D} BGACK_030{ H} + : DSACK1{ H} VMA{ D} cpu_est_2_{ G} + : cpu_est_3_{ D} cpu_est_0_{ B} cpu_est_1_{ G} + :inst_AS_000_INT{ D} CYCLE_DMA_0_{ G} CYCLE_DMA_1_{ G} + : CLK_000_D_1_{ H} inst_RESET_OUT{ A}inst_DS_000_ENABLE{ D} + : SM_AMIGA_6_{ B} SM_AMIGA_4_{ G} SM_AMIGA_1_{ A} + : SM_AMIGA_0_{ H} RST_DLY_0_{ A} RST_DLY_1_{ A} + : RST_DLY_2_{ A} SM_AMIGA_5_{ D} SM_AMIGA_3_{ A} + : SM_AMIGA_2_{ A} SM_AMIGA_i_7_{ B} +inst_CLK_OUT_PRE_50{ B}:inst_CLK_OUT_PRE_50{ A}inst_CLK_OUT_PRE_25{ G} +inst_CLK_OUT_PRE_25{ H}:inst_CLK_OUT_PRE_25{ G}inst_CLK_OUT_PRE_D{ H} +inst_CLK_OUT_PRE_D{ I}: CLK_DIV_OUT{ G} CLK_EXP{ B} + IPL_D0_0_{ D}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + IPL_D0_1_{ E}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + IPL_D0_2_{ D}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} +CLK_000_D_2_{ F}: SM_AMIGA_6_{ B} SM_AMIGA_i_7_{ B} +inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ F} inst_LDS_000_INT{ G}: LDS_000{ D}inst_LDS_000_INT{ F} -inst_DS_000_ENABLE{ G}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ F} +inst_DS_000_ENABLE{ E}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ D} inst_UDS_000_INT{ E}: UDS_000{ D}inst_UDS_000_INT{ D} -SM_AMIGA_6_{ D}: RW_000{ H}inst_AS_000_INT{ F}inst_LDS_000_INT{ F} - :inst_DS_000_ENABLE{ F}inst_UDS_000_INT{ D} SM_AMIGA_6_{ C} - : SM_AMIGA_5_{ A} SM_AMIGA_i_7_{ A} -SM_AMIGA_4_{ G}:inst_DS_000_ENABLE{ F} SM_AMIGA_4_{ F} SM_AMIGA_3_{ A} - : SM_AMIGA_i_7_{ A} +SM_AMIGA_6_{ C}: RW_000{ H}inst_AS_000_INT{ D}inst_LDS_000_INT{ F} + :inst_DS_000_ENABLE{ D}inst_UDS_000_INT{ D} SM_AMIGA_6_{ B} + : SM_AMIGA_5_{ D} +SM_AMIGA_4_{ H}:inst_DS_000_ENABLE{ D} SM_AMIGA_4_{ G} SM_AMIGA_3_{ A} SM_AMIGA_1_{ B}: DSACK1{ H} SM_AMIGA_1_{ A} SM_AMIGA_0_{ H} - : SM_AMIGA_i_7_{ A} -SM_AMIGA_0_{ I}: RW_000{ H} SM_AMIGA_0_{ H} SM_AMIGA_i_7_{ A} - RST_DLY_0_{ D}: inst_RESET_OUT{ C} RST_DLY_0_{ C} RST_DLY_1_{ C} - : RST_DLY_2_{ C} - RST_DLY_1_{ D}: inst_RESET_OUT{ C} RST_DLY_0_{ C} RST_DLY_1_{ C} - : RST_DLY_2_{ C} - RST_DLY_2_{ D}: inst_RESET_OUT{ C} RST_DLY_0_{ C} RST_DLY_1_{ C} - : RST_DLY_2_{ C} -inst_CLK_030_H{ C}:inst_DS_000_DMA{ B} inst_CLK_030_H{ B} -SM_AMIGA_5_{ B}: SM_AMIGA_4_{ F} SM_AMIGA_5_{ A} SM_AMIGA_i_7_{ A} -SM_AMIGA_3_{ B}: SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} SM_AMIGA_i_7_{ A} -SM_AMIGA_2_{ B}: SM_AMIGA_1_{ A} SM_AMIGA_2_{ A} SM_AMIGA_i_7_{ A} -SM_AMIGA_i_7_{ B}: RW_000{ H}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ C} - CIIN_0{ H}: CIIN{ E} +SM_AMIGA_0_{ I}: RW_000{ H} SM_AMIGA_0_{ H} SM_AMIGA_i_7_{ B} + RST_DLY_0_{ B}: inst_RESET_OUT{ A} RST_DLY_0_{ A} RST_DLY_1_{ A} + : RST_DLY_2_{ A} + RST_DLY_1_{ B}: inst_RESET_OUT{ A} RST_DLY_0_{ A} RST_DLY_1_{ A} + : RST_DLY_2_{ A} + RST_DLY_2_{ B}: inst_RESET_OUT{ A} RST_DLY_0_{ A} RST_DLY_1_{ A} + : RST_DLY_2_{ A} +inst_CLK_030_H{ D}:inst_DS_000_DMA{ F} inst_CLK_030_H{ C} +SM_AMIGA_5_{ E}: SM_AMIGA_4_{ G} SM_AMIGA_5_{ D} +SM_AMIGA_3_{ B}: SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} +SM_AMIGA_2_{ B}: SM_AMIGA_1_{ A} SM_AMIGA_2_{ A} +SM_AMIGA_i_7_{ C}: RW_000{ H}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ B} + : SM_AMIGA_i_7_{ B} + CIIN_0{ F}: CIIN{ E} ----------------------------------------------------------------------------- {.} : Indicates block location of signal @@ -659,12 +652,14 @@ Equations : +-----+-----+-----+-----+------------------------ | | | | | DS_030 | | | | | AVEC -| * | S | BS | BR | SM_AMIGA_i_7_ -| * | S | BS | BR | SM_AMIGA_5_ +| * | S | BS | BR | inst_RESET_OUT | * | S | BS | BR | SM_AMIGA_1_ -| * | S | BS | BR | inst_VPA_D +| * | S | BS | BR | inst_CLK_OUT_PRE_50 | * | S | BS | BR | SM_AMIGA_2_ | * | S | BS | BR | SM_AMIGA_3_ +| * | S | BS | BR | RST_DLY_0_ +| * | S | BS | BR | RST_DLY_2_ +| * | S | BS | BR | RST_DLY_1_ | | | | | A_DECODE_19_ | | | | | A_DECODE_16_ | | | | | A_DECODE_18_ @@ -688,13 +683,13 @@ Equations : | * | S | BS | BR | IPL_030_1_ | * | S | BS | BR | CLK_EXP | | | | | RESET -| * | S | BS | BR | inst_DS_000_DMA -| * | S | BS | BR | inst_AS_000_DMA +| * | S | BS | BR | CLK_000_D_0_ +| * | S | BS | BR | SM_AMIGA_6_ +| * | S | BS | BR | cpu_est_0_ +| * | S | BS | BR | SM_AMIGA_i_7_ | * | S | BS | BR | RN_IPL_030_0_ | * | S | BS | BR | RN_IPL_030_1_ | * | S | BS | BR | RN_IPL_030_2_ -| * | S | BS | BR | inst_CLK_030_H -| * | S | BS | BR | IPL_D0_0_ Block C @@ -710,12 +705,12 @@ Equations : | | | | | AHIGH_27_ | | | | | AHIGH_28_ | | | | | AMIGA_BUS_ENABLE_LOW -| * | S | BS | BR | inst_RESET_OUT -| * | S | BS | BR | SM_AMIGA_6_ +| * | S | BS | BR | inst_AS_000_DMA | * | S | BS | BR | inst_AS_030_000_SYNC -| * | S | BS | BR | RST_DLY_0_ -| * | S | BS | BR | RST_DLY_2_ -| * | S | BS | BR | RST_DLY_1_ +| * | S | BS | BR | SIZE_DMA_0_ +| * | S | BS | BR | inst_CLK_030_H +| * | S | BS | BR | IPL_D0_2_ +| * | S | BS | BR | IPL_D0_0_ | | | | | BG_030 @@ -732,13 +727,14 @@ Equations : | | | | | AMIGA_BUS_ENABLE_HIGH | * | S | BS | BR | BG_000 | | | | | AMIGA_ADDR_ENABLE -| * | S | BS | BR | CLK_000_D_0_ | * | S | BS | BR | cpu_est_3_ -| * | S | BS | BR | cpu_est_0_ | * | S | BS | BR | RN_VMA +| * | S | BS | BR | SM_AMIGA_5_ +| * | S | BS | BR | inst_AS_000_INT +| * | S | BS | BR | inst_DS_000_ENABLE | * | S | BS | BR | RN_BG_000 | * | S | BS | BR | inst_UDS_000_INT -| * | S | BS | BR | IPL_D0_2_ +| * | S | BS | BR | IPL_D0_1_ | | | | | BGACK_000 | | | | | DTACK @@ -756,8 +752,8 @@ Equations : | | | | | CIIN | * | S | BS | BR | inst_AS_030_D0 | * | S | BS | BR | inst_BGACK_030_INT_D -| * | S | BS | BR | IPL_D0_1_ -| | | | | un10_ciin_i +| | | | | CIIN_0 +| * | S | BS | BR | CLK_000_D_2_ Block F @@ -767,16 +763,11 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ -| * | S | BS | BR | CYCLE_DMA_1_ -| * | S | BS | BR | SM_AMIGA_4_ -| * | S | BS | BR | inst_DS_000_ENABLE +| * | S | BS | BR | inst_DS_000_DMA | * | S | BS | BR | inst_LDS_000_INT -| * | S | BS | BR | CYCLE_DMA_0_ -| * | S | BS | BR | inst_AS_000_INT -| * | S | BS | BR | inst_CLK_OUT_PRE_D -| * | S | BS | BR | inst_CLK_OUT_PRE_25 -| * | S | BS | BR | inst_CLK_OUT_PRE_50 -| * | S | BS | BR | inst_DTACK_D0 +| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_HIGH +| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_LOW +| * | S | BS | BR | inst_VPA_D | | | | | A_DECODE_17_ | | | | | FC_1_ | | | | | FC_0_ @@ -796,15 +787,15 @@ Equations : | | | | | SIZE_0_ | | | | | E | * | S | BS | BR | CLK_DIV_OUT +| * | S | BS | BR | CYCLE_DMA_1_ | * | S | BS | BR | cpu_est_1_ +| * | S | BS | BR | SM_AMIGA_4_ +| * | S | BS | BR | CYCLE_DMA_0_ | * | S | BS | BR | cpu_est_2_ | * | S | BS | BR | SIZE_DMA_1_ -| * | S | BS | BR | SIZE_DMA_0_ -| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_HIGH -| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_LOW +| * | S | BS | BR | inst_CLK_OUT_PRE_25 | * | S | BS | BR | RN_A_0_ | * | S | BS | BR | RN_RW -| | | | | CIIN_0 | | | | | IPL_2_ | | | | | IPL_0_ @@ -825,8 +816,10 @@ Equations : | * | S | BS | BR | RN_BGACK_030 | * | S | BS | BR | CLK_000_D_1_ | * | S | BS | BR | SM_AMIGA_0_ +| * | S | BS | BR | inst_CLK_OUT_PRE_D | * | S | BS | BR | RN_RW_000 | * | S | BS | BR | RN_DSACK1 +| * | S | BS | BR | inst_DTACK_D0 | | | | | A_DECODE_23_ | | | | | A_DECODE_22_ @@ -846,21 +839,21 @@ BLOCK_A_LOGIC_ARRAY_FANIN CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ mx A0 RST pin 86 mx A17 BERR pin 41 -mx A1 inst_DTACK_D0 mcell F6 mx A18 inst_VPA_D mcell A5 -mx A2 SM_AMIGA_2_ mcell A9 mx A19 SM_AMIGA_0_ mcell H13 -mx A3 cpu_est_0_ mcell D2 mx A20 RN_BGACK_030 mcell H4 -mx A4 CLK_000_D_1_ mcell H5 mx A21 inst_DS_000_DMA mcell B13 -mx A5 nEXP_SPACE pin 14 mx A22 cpu_est_1_ mcell G5 -mx A6 ... ... mx A23 ... ... -mx A7 CLK_000_D_0_ mcell D9 mx A24 ... ... -mx A8 ... ... mx A25 SM_AMIGA_3_ mcell A13 -mx A9 SM_AMIGA_1_ mcell A1 mx A26 RN_VMA mcell D0 -mx A10 VPA pin 36 mx A27 ... ... -mx A11 SM_AMIGA_6_ mcell C13 mx A28 ... ... -mx A12 cpu_est_2_ mcell G9 mx A29 cpu_est_3_ mcell D13 -mx A13 inst_RESET_OUT mcell C9 mx A30 ... ... -mx A14 SM_AMIGA_4_ mcell F4 mx A31 ... ... -mx A15 SM_AMIGA_5_ mcell A12 mx A32inst_AS_030_000_SYNC mcell C2 +mx A1 RN_VMA mcell D0 mx A18 SM_AMIGA_2_ mcell A5 +mx A2 cpu_est_0_ mcell B10 mx A19 SM_AMIGA_3_ mcell A9 +mx A3 inst_RESET_OUT mcell A8 mx A20 RN_BGACK_030 mcell H4 +mx A4 CLK_000_D_1_ mcell H5 mx A21 inst_DTACK_D0 mcell H6 +mx A5 nEXP_SPACE pin 14 mx A22 RST_DLY_2_ mcell A2 +mx A6 ... ... mx A23 cpu_est_2_ mcell G6 +mx A7 cpu_est_3_ mcell D9 mx A24 SM_AMIGA_1_ mcell A12 +mx A8 ... ... mx A25 RST_DLY_0_ mcell A13 +mx A9inst_CLK_OUT_PRE_50 mcell A1 mx A26 ... ... +mx A10 CLK_000_D_0_ mcell B13 mx A27 cpu_est_1_ mcell G9 +mx A11 ... ... mx A28 ... ... +mx A12 SM_AMIGA_4_ mcell G13 mx A29 ... ... +mx A13 ... ... mx A30 inst_VPA_D mcell F1 +mx A14 ... ... mx A31 inst_DS_000_DMA mcell F0 +mx A15 RST_DLY_1_ mcell A6 mx A32 ... ... mx A16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -869,23 +862,23 @@ BLOCK_B_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx B0 IPL_0_ pin 67 mx B17 ... ... -mx B1inst_CLK_OUT_PRE_D mcell F9 mx B18 ... ... -mx B2 AS_000 pin 42 mx B19 ... ... -mx B3 IPL_1_ pin 56 mx B20 IPL_D0_2_ mcell D10 -mx B4 CLK_030 pin 64 mx B21 RST pin 86 -mx B5 nEXP_SPACE pin 14 mx B22 IPL_2_ pin 68 -mx B6 RW_000 pin 80 mx B23 RN_BGACK_030 mcell H4 -mx B7 ... ... mx B24 LDS_000 pin 31 -mx B8 UDS_000 pin 32 mx B25 CYCLE_DMA_1_ mcell F0 -mx B9 IPL_D0_0_ mcell B14 mx B26 ... ... -mx B10 inst_DS_000_DMA mcell B13 mx B27 RN_IPL_030_2_ mcell B4 -mx B11 inst_AS_000_DMA mcell B6 mx B28 RN_IPL_030_0_ mcell B5 -mx B12 inst_CLK_030_H mcell B10 mx B29 ... ... -mx B13 inst_RESET_OUT mcell C9 mx B30 ... ... -mx B14 IPL_D0_1_ mcell E9 mx B31 ... ... -mx B15 CYCLE_DMA_0_ mcell F1 mx B32 ... ... -mx B16 RN_IPL_030_1_ mcell B9 +mx B0 IPL_0_ pin 67 mx B17 IPL_D0_1_ mcell D14 +mx B1 ... ... mx B18 inst_RESET_OUT mcell A8 +mx B2 CLK_000_D_2_ mcell E13 mx B19 SM_AMIGA_0_ mcell H13 +mx B3 CLK_000 pin 11 mx B20 RN_BGACK_030 mcell H4 +mx B4 IPL_2_ pin 68 mx B21 IPL_1_ pin 56 +mx B5 nEXP_SPACE pin 14 mx B22 ... ... +mx B6 RN_IPL_030_1_ mcell B9 mx B23 SM_AMIGA_6_ mcell B6 +mx B7 IPL_D0_0_ mcell C14 mx B24 RST pin 86 +mx B8 IPL_D0_2_ mcell C10 mx B25 ... ... +mx B9 SM_AMIGA_i_7_ mcell B14 mx B26 ... ... +mx B10 CLK_000_D_0_ mcell B13 mx B27 RN_IPL_030_2_ mcell B4 +mx B11inst_AS_030_000_SYNC mcell C13 mx B28 RN_IPL_030_0_ mcell B5 +mx B12 cpu_est_0_ mcell B10 mx B29 ... ... +mx B13 CLK_000_D_1_ mcell H5 mx B30 ... ... +mx B14inst_CLK_OUT_PRE_D mcell H2 mx B31 ... ... +mx B15 ... ... mx B32 ... ... +mx B16 ... ... ---------------------------------------------------------------------------- @@ -893,22 +886,22 @@ BLOCK_C_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx C0 SM_AMIGA_6_ mcell C13 mx C17 BERR pin 41 -mx C1 FC_1_ pin 58 mx C18 RST_DLY_2_ mcell C10 -mx C2inst_AS_030_000_SYNC mcell C2 mx C19 ... ... -mx C3inst_BGACK_030_INT_D mcell E5 mx C20 RN_BGACK_030 mcell H4 -mx C4 A_DECODE_18_ pin 95 mx C21 RST pin 86 -mx C5 nEXP_SPACE pin 14 mx C22inst_AMIGA_BUS_ENABLE_DMA_LOW mcell G10 -mx C6 FC_0_ pin 57 mx C23 inst_RESET_OUT mcell C9 -mx C7 RST_DLY_1_ mcell C14 mx C24 ... ... -mx C8 A_DECODE_17_ pin 59 mx C25 CLK_000_D_0_ mcell D9 -mx C9 RST_DLY_0_ mcell C6 mx C26 ... ... -mx C10 ... ... mx C27 ... ... +mx C0 IPL_0_ pin 67 mx C17 A_DECODE_18_ pin 95 +mx C1 FC_1_ pin 58 mx C18 inst_CLK_030_H mcell C6 +mx C2 SIZE_DMA_0_ mcell C2 mx C19 ... ... +mx C3 inst_RESET_OUT mcell A8 mx C20 RN_BGACK_030 mcell H4 +mx C4 CLK_030 pin 64 mx C21 RST pin 86 +mx C5 nEXP_SPACE pin 14 mx C22 IPL_2_ pin 68 +mx C6 FC_0_ pin 57 mx C23 CYCLE_DMA_0_ mcell G2 +mx C7 ... ... mx C24 LDS_000 pin 31 +mx C8 UDS_000 pin 32 mx C25 ... ... +mx C9 SM_AMIGA_i_7_ mcell B14 mx C26 AS_000 pin 42 +mx C10 ... ... mx C27 A_DECODE_17_ pin 59 mx C11 A_DECODE_16_ pin 96 mx C28 ... ... mx C12 A_DECODE_19_ pin 97 mx C29 ... ... -mx C13 CLK_000_D_1_ mcell H5 mx C30 SM_AMIGA_i_7_ mcell A8 -mx C14 ... ... mx C31 ... ... -mx C15 ... ... mx C32 ... ... +mx C13 inst_AS_000_DMA mcell C9 mx C30inst_AS_030_000_SYNC mcell C13 +mx C14inst_BGACK_030_INT_D mcell E5 mx C31inst_AMIGA_BUS_ENABLE_DMA_LOW mcell F12 +mx C15 ... ... mx C32 CYCLE_DMA_1_ mcell G5 mx C16 inst_AS_030_D0 mcell E8 ---------------------------------------------------------------------------- @@ -917,23 +910,23 @@ BLOCK_D_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx D0 RN_BGACK_030 mcell H4 mx D17inst_LDS_000_INT mcell F12 -mx D1 cpu_est_3_ mcell D13 mx D18 inst_VPA_D mcell A5 -mx D2 RN_BG_000 mcell D1 mx D19 ... ... -mx D3 CLK_000 pin 11 mx D20inst_DS_000_ENABLE mcell F8 -mx D4 IPL_2_ pin 68 mx D21 RST pin 86 -mx D5 nEXP_SPACE pin 14 mx D22inst_AS_030_000_SYNC mcell C2 -mx D6 ... ... mx D23inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G6 -mx D7 CLK_000_D_0_ mcell D9 mx D24 ... ... -mx D8 inst_AS_030_D0 mcell E8 mx D25 ... ... -mx D9 ... ... mx D26 RN_VMA mcell D0 -mx D10 cpu_est_2_ mcell G9 mx D27 CLK_000_D_1_ mcell H5 -mx D11 SM_AMIGA_6_ mcell C13 mx D28 cpu_est_0_ mcell D2 -mx D12 ... ... mx D29 ... ... -mx D13 inst_RESET_OUT mcell C9 mx D30 ... ... -mx D14 BG_030 pin 21 mx D31 ... ... -mx D15 A_0_ pin 69 mx D32 cpu_est_1_ mcell G5 -mx D16inst_UDS_000_INT mcell D6 +mx D0 RN_BGACK_030 mcell H4 mx D17 RN_BG_000 mcell D1 +mx D1 RN_VMA mcell D0 mx D18 A_0_ pin 69 +mx D2 SM_AMIGA_6_ mcell B6 mx D19 ... ... +mx D3 IPL_1_ pin 56 mx D20inst_UDS_000_INT mcell D10 +mx D4 BG_030 pin 21 mx D21 RST pin 86 +mx D5 nEXP_SPACE pin 14 mx D22 ... ... +mx D6 ... ... mx D23 cpu_est_2_ mcell G6 +mx D7 cpu_est_3_ mcell D9 mx D24 cpu_est_0_ mcell B10 +mx D8 inst_AS_030_D0 mcell E8 mx D25 RW pin 71 +mx D9 SM_AMIGA_4_ mcell G13 mx D26 ... ... +mx D10 CLK_000_D_0_ mcell B13 mx D27 ... ... +mx D11inst_AS_030_000_SYNC mcell C13 mx D28 inst_AS_000_INT mcell D2 +mx D12 cpu_est_1_ mcell G9 mx D29 SM_AMIGA_5_ mcell D13 +mx D13 CLK_000_D_1_ mcell H5 mx D30 inst_RESET_OUT mcell A8 +mx D14inst_LDS_000_INT mcell F4 mx D31 ... ... +mx D15 inst_VPA_D mcell F1 mx D32inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell F8 +mx D16inst_DS_000_ENABLE mcell D6 ---------------------------------------------------------------------------- @@ -942,21 +935,21 @@ BLOCK_E_LOGIC_ARRAY_FANIN CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ mx E0 RST pin 86 mx E17 AHIGH_26_ pin 17 -mx E1 FC_1_ pin 58 mx E18 A_DECODE_23_ pin 85 +mx E1 FC_1_ pin 58 mx E18 BGACK_000 pin 28 mx E2 AS_000 pin 42 mx E19 AS_030 pin 82 -mx E3 AHIGH_27_ pin 16 mx E20 RN_BGACK_030 mcell H4 -mx E4 A_DECODE_18_ pin 95 mx E21 nEXP_SPACE pin 14 +mx E3 inst_AS_000_INT mcell D2 mx E20 RN_BGACK_030 mcell H4 +mx E4 AHIGH_29_ pin 6 mx E21 nEXP_SPACE pin 14 mx E5 AHIGH_24_ pin 19 mx E22 AHIGH_25_ pin 18 -mx E6 RW_000 pin 80 mx E23 inst_RESET_OUT mcell C9 +mx E6 RW_000 pin 80 mx E23 ... ... mx E7 AHIGH_28_ pin 15 mx E24 FC_0_ pin 57 -mx E8 A_DECODE_17_ pin 59 mx E25 AHIGH_31_ pin 4 +mx E8 FPU_SENSE pin 91 mx E25 AHIGH_31_ pin 4 mx E9 A_DECODE_22_ pin 84 mx E26 A_DECODE_16_ pin 96 -mx E10 CIIN_0 mcell G14 mx E27 ... ... -mx E11 FPU_SENSE pin 91 mx E28 AHIGH_30_ pin 5 +mx E10 ... ... mx E27 CLK_000_D_1_ mcell H5 +mx E11 AHIGH_27_ pin 16 mx E28 AHIGH_30_ pin 5 mx E12 A_DECODE_19_ pin 97 mx E29 A_DECODE_20_ pin 93 -mx E13 AHIGH_29_ pin 6 mx E30 ... ... -mx E14 inst_AS_000_INT mcell F5 mx E31 IPL_1_ pin 56 -mx E15 A_DECODE_21_ pin 94 mx E32 BGACK_000 pin 28 +mx E13 A_DECODE_17_ pin 59 mx E30 inst_RESET_OUT mcell A8 +mx E14 CIIN_0 mcell E9 mx E31 A_DECODE_18_ pin 95 +mx E15 A_DECODE_21_ pin 94 mx E32 A_DECODE_23_ pin 85 mx E16 inst_AS_030_D0 mcell E8 ---------------------------------------------------------------------------- @@ -965,22 +958,22 @@ BLOCK_F_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx F0 SIZE_0_ pin 70 mx F17inst_LDS_000_INT mcell F12 -mx F1 BERR pin 41 mx F18 A_0_ pin 69 -mx F2inst_DS_000_ENABLE mcell F8 mx F19 ... ... -mx F3 ... ... mx F20 RN_BGACK_030 mcell H4 -mx F4 CLK_000_D_1_ mcell H5 mx F21 RST pin 86 -mx F5 CYCLE_DMA_1_ mcell F0 mx F22 ... ... -mx F6inst_CLK_OUT_PRE_25 mcell F13 mx F23 ... ... -mx F7 CLK_000_D_0_ mcell D9 mx F24 SM_AMIGA_5_ mcell A12 -mx F8 inst_AS_030_D0 mcell E8 mx F25 RW pin 71 -mx F9 DTACK pin 30 mx F26 ... ... -mx F10 CYCLE_DMA_0_ mcell F1 mx F27 SIZE_1_ pin 79 -mx F11 SM_AMIGA_6_ mcell C13 mx F28 ... ... -mx F12 ... ... mx F29 SM_AMIGA_4_ mcell F4 -mx F13 ... ... mx F30 ... ... -mx F14 inst_AS_000_INT mcell F5 mx F31 ... ... -mx F15inst_CLK_OUT_PRE_50 mcell F2 mx F32 ... ... +mx F0 RST pin 86 mx F17 SIZE_0_ pin 70 +mx F1inst_AMIGA_BUS_ENABLE_DMA_LOW mcell F12 mx F18 ... ... +mx F2inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell F8 mx F19 ... ... +mx F3 A_1_ pin 60 mx F20 RN_BGACK_030 mcell H4 +mx F4 CLK_030 pin 64 mx F21 RW_000 pin 80 +mx F5 inst_DS_000_DMA mcell F0 mx F22 CYCLE_DMA_1_ mcell G5 +mx F6 SIZE_1_ pin 79 mx F23 CYCLE_DMA_0_ mcell G2 +mx F7 ... ... mx F24 LDS_000 pin 31 +mx F8 UDS_000 pin 32 mx F25 ... ... +mx F9 inst_CLK_030_H mcell C6 mx F26inst_BGACK_030_INT_D mcell E5 +mx F10 VPA pin 36 mx F27 ... ... +mx F11 SM_AMIGA_6_ mcell B6 mx F28 ... ... +mx F12 ... ... mx F29 ... ... +mx F13 inst_AS_000_DMA mcell C9 mx F30 ... ... +mx F14inst_LDS_000_INT mcell F4 mx F31 ... ... +mx F15 A_0_ pin 69 mx F32 ... ... mx F16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -989,23 +982,23 @@ BLOCK_G_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx G0 RST pin 86 mx G17 RN_RW mcell G0 -mx G1inst_CLK_OUT_PRE_D mcell F9 mx G18 ... ... -mx G2 un10_ciin_i mcell E13 mx G19 ... ... -mx G3 inst_RESET_OUT mcell C9 mx G20 RN_BGACK_030 mcell H4 -mx G4 SIZE_DMA_0_ mcell G2 mx G21 cpu_est_3_ mcell D13 -mx G5 nEXP_SPACE pin 14 mx G22inst_AMIGA_BUS_ENABLE_DMA_LOW mcell G10 -mx G6 RW_000 pin 80 mx G23inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G6 -mx G7 CLK_000_D_0_ mcell D9 mx G24 LDS_000 pin 31 +mx G0 LDS_000 pin 31 mx G17 RN_RW mcell G0 +mx G1 SM_AMIGA_5_ mcell D13 mx G18 inst_RESET_OUT mcell A8 +mx G2 SIZE_DMA_0_ mcell C2 mx G19 ... ... +mx G3inst_BGACK_030_INT_D mcell E5 mx G20 RN_BGACK_030 mcell H4 +mx G4 CLK_000_D_1_ mcell H5 mx G21 RST pin 86 +mx G5 nEXP_SPACE pin 14 mx G22 SIZE_DMA_1_ mcell G10 +mx G6 RW_000 pin 80 mx G23 cpu_est_2_ mcell G6 +mx G7 cpu_est_3_ mcell D9 mx G24 cpu_est_0_ mcell B10 mx G8 UDS_000 pin 32 mx G25 ... ... -mx G9 SIZE_DMA_1_ mcell G13 mx G26 ... ... -mx G10 RN_A_0_ mcell G8 mx G27 ... ... -mx G11 A_1_ pin 60 mx G28 ... ... -mx G12 cpu_est_2_ mcell G9 mx G29 ... ... -mx G13 CLK_000_D_1_ mcell H5 mx G30 ... ... -mx G14inst_BGACK_030_INT_D mcell E5 mx G31 ... ... -mx G15 ... ... mx G32 cpu_est_1_ mcell G5 -mx G16 cpu_est_0_ mcell D2 +mx G9inst_CLK_OUT_PRE_50 mcell A1 mx G26 ... ... +mx G10 CLK_000_D_0_ mcell B13 mx G27 cpu_est_1_ mcell G9 +mx G11inst_CLK_OUT_PRE_25 mcell G14 mx G28 ... ... +mx G12 SM_AMIGA_4_ mcell G13 mx G29 ... ... +mx G13 RN_A_0_ mcell G8 mx G30 ... ... +mx G14inst_CLK_OUT_PRE_D mcell H2 mx G31 CYCLE_DMA_0_ mcell G2 +mx G15 ... ... mx G32 CYCLE_DMA_1_ mcell G5 +mx G16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -1013,23 +1006,23 @@ BLOCK_H_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx H0 SM_AMIGA_6_ mcell C13 mx H17 FC_0_ pin 57 -mx H1 BERR pin 41 mx H18 SM_AMIGA_i_7_ mcell A8 -mx H2 inst_AS_000_DMA mcell B6 mx H19 AS_030 pin 82 -mx H3 inst_RESET_OUT mcell C9 mx H20 RN_BGACK_030 mcell H4 -mx H4 CLK_000_D_1_ mcell H5 mx H21 RST pin 86 -mx H5 RN_DSACK1 mcell H9 mx H22 ... ... -mx H6 A_DECODE_16_ pin 96 mx H23 SIZE_DMA_0_ mcell G2 -mx H7 CLK_000_D_0_ mcell D9 mx H24 ... ... -mx H8 FPU_SENSE pin 91 mx H25 SIZE_DMA_1_ mcell G13 -mx H9 SM_AMIGA_1_ mcell A1 mx H26 AS_000 pin 42 -mx H10 ... ... mx H27 A_DECODE_19_ pin 97 -mx H11 RW pin 71 mx H28 SM_AMIGA_0_ mcell H13 -mx H12 FC_1_ pin 58 mx H29 ... ... -mx H13 A_DECODE_17_ pin 59 mx H30 RN_RW_000 mcell H0 -mx H14 ... ... mx H31 A_DECODE_18_ pin 95 -mx H15 nEXP_SPACE pin 14 mx H32 BGACK_000 pin 28 -mx H16 inst_AS_030_D0 mcell E8 +mx H0 RN_BGACK_030 mcell H4 mx H17 FC_0_ pin 57 +mx H1 FC_1_ pin 58 mx H18 inst_RESET_OUT mcell A8 +mx H2 SIZE_DMA_0_ mcell C2 mx H19 AS_030 pin 82 +mx H3 inst_AS_000_DMA mcell C9 mx H20 SM_AMIGA_i_7_ mcell B14 +mx H4 BGACK_000 pin 28 mx H21 RST pin 86 +mx H5 RN_DSACK1 mcell H9 mx H22 SIZE_DMA_1_ mcell G10 +mx H6 RN_RW_000 mcell H0 mx H23 SM_AMIGA_6_ mcell B6 +mx H7 SM_AMIGA_0_ mcell H13 mx H24 ... ... +mx H8 FPU_SENSE pin 91 mx H25 RW pin 71 +mx H9 SM_AMIGA_1_ mcell A12 mx H26 A_DECODE_16_ pin 96 +mx H10 CLK_000_D_0_ mcell B13 mx H27 CLK_000_D_1_ mcell H5 +mx H11inst_CLK_OUT_PRE_25 mcell G14 mx H28 ... ... +mx H12 A_DECODE_19_ pin 97 mx H29 ... ... +mx H13 A_DECODE_17_ pin 59 mx H30 inst_AS_030_D0 mcell E8 +mx H14 DTACK pin 30 mx H31 A_DECODE_18_ pin 95 +mx H15 nEXP_SPACE pin 14 mx H32 ... ... +mx H16 AS_000 pin 42 ---------------------------------------------------------------------------- CSM indicates the mux inputs from the Central Switch Matrix. @@ -1044,24 +1037,10 @@ PostFit_Equations P-Terms Fan-in Fan-out Type Name (attributes) --------- ------ ------- ---- ----------------- - 0 0 1 Pin AHIGH_30_ - 1 3 1 Pin AHIGH_30_.OE - 0 0 1 Pin AHIGH_29_ - 1 3 1 Pin AHIGH_29_.OE 1 2 1 Pin SIZE_1_ 1 2 1 Pin SIZE_1_.OE - 0 0 1 Pin AHIGH_28_ - 1 3 1 Pin AHIGH_28_.OE - 0 0 1 Pin AHIGH_27_ - 1 3 1 Pin AHIGH_27_.OE 0 0 1 Pin AHIGH_31_ 1 3 1 Pin AHIGH_31_.OE - 0 0 1 Pin AHIGH_26_ - 1 3 1 Pin AHIGH_26_.OE - 0 0 1 Pin AHIGH_25_ - 1 3 1 Pin AHIGH_25_.OE - 0 0 1 Pin AHIGH_24_ - 1 3 1 Pin AHIGH_24_.OE 1 2 1 Pin AS_030- 1 3 1 Pin AS_030.OE 1 2 1 Pin AS_000- @@ -1074,9 +1053,25 @@ PostFit_Equations 1 2 1 Pin LDS_000.OE 0 0 1 Pin BERR 1 9 1 Pin BERR.OE + 1 2 1 Pin SIZE_0_ + 1 2 1 Pin SIZE_0_.OE + 0 0 1 Pin AHIGH_30_ + 1 3 1 Pin AHIGH_30_.OE 1 1 1 Pin CLK_DIV_OUT.D 1 1 1 Pin CLK_DIV_OUT.C + 0 0 1 Pin AHIGH_29_ + 1 3 1 Pin AHIGH_29_.OE + 0 0 1 Pin AHIGH_28_ + 1 3 1 Pin AHIGH_28_.OE 1 9 1 Pin FPU_CS- + 0 0 1 Pin AHIGH_27_ + 1 3 1 Pin AHIGH_27_.OE + 0 0 1 Pin AHIGH_26_ + 1 3 1 Pin AHIGH_26_.OE + 0 0 1 Pin AHIGH_25_ + 1 3 1 Pin AHIGH_25_.OE + 0 0 1 Pin AHIGH_24_ + 1 3 1 Pin AHIGH_24_.OE 1 0 1 Pin AVEC 2 3 1 Pin E 0 0 1 Pin RESET @@ -1087,8 +1082,6 @@ PostFit_Equations 2 3 1 Pin AMIGA_BUS_ENABLE_HIGH 1 13 1 Pin CIIN 1 1 1 Pin CIIN.OE - 1 2 1 Pin SIZE_0_ - 1 2 1 Pin SIZE_0_.OE 10 8 1 Pin IPL_030_2_.D- 1 1 1 Pin IPL_030_2_.C 1 2 1 Pin RW_000.OE @@ -1098,6 +1091,16 @@ PostFit_Equations 1 1 1 Pin BG_000.C 3 6 1 Pin BGACK_030.D 1 1 1 Pin BGACK_030.C + 1 1 1 Pin CLK_EXP.D + 1 1 1 Pin CLK_EXP.C + 1 1 1 Pin DSACK1.OE + 2 6 1 Pin DSACK1.D- + 1 1 1 Pin DSACK1.C + 3 9 1 Pin VMA.T + 1 1 1 Pin VMA.C + 1 2 1 Pin RW.OE + 2 5 1 Pin RW.D- + 1 1 1 Pin RW.C 1 3 1 Pin A_0_.OE 3 5 1 Pin A_0_.D 1 1 1 Pin A_0_.C @@ -1105,33 +1108,22 @@ PostFit_Equations 1 1 1 Pin IPL_030_1_.C 10 8 1 Pin IPL_030_0_.D- 1 1 1 Pin IPL_030_0_.C - 1 1 1 Pin CLK_EXP.D - 1 1 1 Pin CLK_EXP.C - 1 1 1 Pin DSACK1.OE - 2 7 1 Pin DSACK1.D- - 1 1 1 Pin DSACK1.C - 3 9 1 Pin VMA.T - 1 1 1 Pin VMA.C - 1 2 1 Pin RW.OE - 2 5 1 Pin RW.D- - 1 1 1 Pin RW.C - 1 13 1 Node un10_ciin_i- + 1 1 1 NodeX1 cpu_est_2_.D.X1 + 1 4 1 NodeX2 cpu_est_2_.D.X2 + 1 1 1 Node cpu_est_2_.C + 4 6 1 Node cpu_est_3_.D + 1 1 1 Node cpu_est_3_.C 3 3 1 Node cpu_est_0_.D 1 1 1 Node cpu_est_0_.C 4 5 1 Node cpu_est_1_.D 1 1 1 Node cpu_est_1_.C - 1 4 1 NodeX1 cpu_est_2_.D.X1 - 1 1 1 NodeX2 cpu_est_2_.D.X2 - 1 1 1 Node cpu_est_2_.C - 4 6 1 Node cpu_est_3_.D - 1 1 1 Node cpu_est_3_.C - 2 7 1 Node inst_AS_000_INT.D- + 2 6 1 Node inst_AS_000_INT.D- 1 1 1 Node inst_AS_000_INT.C 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.D- 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.C 1 2 1 Node inst_AS_030_D0.D- 1 1 1 Node inst_AS_030_D0.C - 7 14 1 Node inst_AS_030_000_SYNC.D- + 7 13 1 Node inst_AS_030_000_SYNC.D 1 1 1 Node inst_AS_030_000_SYNC.C 1 2 1 Node inst_BGACK_030_INT_D.D- 1 1 1 Node inst_BGACK_030_INT_D.C @@ -1149,12 +1141,12 @@ PostFit_Equations 1 1 1 Node SIZE_DMA_1_.C 1 2 1 Node inst_VPA_D.D- 1 1 1 Node inst_VPA_D.C + 1 1 1 Node CLK_000_D_1_.D + 1 1 1 Node CLK_000_D_1_.C 1 2 1 Node inst_DTACK_D0.D- 1 1 1 Node inst_DTACK_D0.C 2 7 1 Node inst_RESET_OUT.D 1 1 1 Node inst_RESET_OUT.C - 1 1 1 Node CLK_000_D_1_.D - 1 1 1 Node CLK_000_D_1_.C 1 1 1 Node CLK_000_D_0_.D 1 1 1 Node CLK_000_D_0_.C 1 1 1 Node inst_CLK_OUT_PRE_50.D @@ -1169,21 +1161,23 @@ PostFit_Equations 1 1 1 Node IPL_D0_1_.C 1 2 1 Node IPL_D0_2_.D- 1 1 1 Node IPL_D0_2_.C + 1 1 1 Node CLK_000_D_2_.D + 1 1 1 Node CLK_000_D_2_.C 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.C 3 6 1 Node inst_LDS_000_INT.D 1 1 1 Node inst_LDS_000_INT.C - 3 9 1 Node inst_DS_000_ENABLE.D + 3 8 1 Node inst_DS_000_ENABLE.D 1 1 1 Node inst_DS_000_ENABLE.C 2 4 1 Node inst_UDS_000_INT.D- 1 1 1 Node inst_UDS_000_INT.C 3 8 1 Node SM_AMIGA_6_.D 1 1 1 Node SM_AMIGA_6_.C - 3 6 1 Node SM_AMIGA_4_.D + 3 5 1 Node SM_AMIGA_4_.D 1 1 1 Node SM_AMIGA_4_.C - 3 6 1 Node SM_AMIGA_1_.D + 3 5 1 Node SM_AMIGA_1_.D 1 1 1 Node SM_AMIGA_1_.C - 3 6 1 Node SM_AMIGA_0_.D + 3 5 1 Node SM_AMIGA_0_.D 1 1 1 Node SM_AMIGA_0_.C 4 6 1 Node RST_DLY_0_.D 1 1 1 Node RST_DLY_0_.C @@ -1194,19 +1188,18 @@ PostFit_Equations 1 1 1 Node RST_DLY_2_.C 8 10 1 Node inst_CLK_030_H.D 1 1 1 Node inst_CLK_030_H.C - 3 6 1 Node SM_AMIGA_5_.D + 3 5 1 Node SM_AMIGA_5_.D 1 1 1 Node SM_AMIGA_5_.C - 4 13 1 NodeX1 SM_AMIGA_3_.D.X1 - 1 3 1 NodeX2 SM_AMIGA_3_.D.X2 + 5 13 1 Node SM_AMIGA_3_.T 1 1 1 Node SM_AMIGA_3_.C - 4 13 1 Node SM_AMIGA_2_.D + 5 13 1 Node SM_AMIGA_2_.D 1 1 1 Node SM_AMIGA_2_.C - 13 20 1 NodeX1 SM_AMIGA_i_7_.D.X1 - 1 2 1 NodeX2 SM_AMIGA_i_7_.D.X2 + 3 8 1 NodeX1 SM_AMIGA_i_7_.D.X1 + 1 5 1 NodeX2 SM_AMIGA_i_7_.D.X2 1 1 1 Node SM_AMIGA_i_7_.C - 1 2 1 Node CIIN_0- + 2 14 1 Node CIIN_0 ========= - 279 P-Term Total: 279 + 272 P-Term Total: 272 Total Pins: 61 Total Nodes: 44 Average P-Term/Output: 2 @@ -1214,42 +1207,14 @@ PostFit_Equations Equations: -AHIGH_30_ = (0); - -AHIGH_30_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - -AHIGH_29_ = (0); - -AHIGH_29_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - SIZE_1_ = (!SIZE_DMA_0_.Q & SIZE_DMA_1_.Q); SIZE_1_.OE = (!nEXP_SPACE & !BGACK_030.Q); -AHIGH_28_ = (0); - -AHIGH_28_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - -AHIGH_27_ = (0); - -AHIGH_27_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - AHIGH_31_ = (0); AHIGH_31_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); -AHIGH_26_ = (0); - -AHIGH_26_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - -AHIGH_25_ = (0); - -AHIGH_25_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - -AHIGH_24_ = (0); - -AHIGH_24_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); - !AS_030 = (!inst_AS_000_DMA.Q & !AS_000.PIN); AS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); @@ -1274,16 +1239,48 @@ BERR = (0); BERR.OE = (FC_1_ & BGACK_000 & FPU_SENSE & !A_DECODE_19_ & !A_DECODE_18_ & A_DECODE_17_ & !A_DECODE_16_ & FC_0_ & !AS_030.PIN); +SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); + +SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q); + +AHIGH_30_ = (0); + +AHIGH_30_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); CLK_DIV_OUT.C = (CLK_OSZI); +AHIGH_29_ = (0); + +AHIGH_29_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + +AHIGH_28_ = (0); + +AHIGH_28_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + !FPU_CS = (FC_1_ & BGACK_000 & !FPU_SENSE & !A_DECODE_19_ & !A_DECODE_18_ & A_DECODE_17_ & !A_DECODE_16_ & FC_0_ & !AS_030.PIN); +AHIGH_27_ = (0); + +AHIGH_27_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + +AHIGH_26_ = (0); + +AHIGH_26_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + +AHIGH_25_ = (0); + +AHIGH_25_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + +AHIGH_24_ = (0); + +AHIGH_24_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); + AVEC = (1); -E = (!cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q - # cpu_est_1_.Q & cpu_est_2_.Q & !cpu_est_3_.Q); +E = (cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_1_.Q + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_1_.Q); RESET = (0); @@ -1303,10 +1300,6 @@ CIIN = (A_DECODE_23_ & A_DECODE_22_ & A_DECODE_21_ & A_DECODE_20_ & !inst_AS_030 CIIN.OE = (CIIN_0); -SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); - -SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q); - !IPL_030_2_.D = (!IPL_2_ & RST & !IPL_030_2_.Q # RST & !IPL_D0_2_.Q & !IPL_030_2_.Q # RST & !IPL_0_ & IPL_D0_0_.Q & !IPL_030_2_.Q @@ -1340,6 +1333,30 @@ BGACK_030.D = (!RST BGACK_030.C = (CLK_OSZI); +CLK_EXP.D = (inst_CLK_OUT_PRE_D.Q); + +CLK_EXP.C = (CLK_OSZI); + +DSACK1.OE = (nEXP_SPACE); + +!DSACK1.D = (RST & !inst_AS_030_D0.Q & !DSACK1.Q + # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_1_.Q); + +DSACK1.C = (CLK_OSZI); + +VMA.T = (!RST & !VMA.Q + # !VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q + # RST & VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_0_.Q & cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); + +VMA.C = (CLK_OSZI); + +RW.OE = (!BGACK_030.Q & inst_RESET_OUT.Q); + +!RW.D = (RST & !BGACK_030.Q & !RW_000.PIN + # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !RW.Q); + +RW.C = (CLK_OSZI); + A_0_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); A_0_.D = (!RST @@ -1374,31 +1391,18 @@ IPL_030_1_.C = (CLK_OSZI); IPL_030_0_.C = (CLK_OSZI); -CLK_EXP.D = (inst_CLK_OUT_PRE_D.Q); +cpu_est_2_.D.X1 = (cpu_est_2_.Q); -CLK_EXP.C = (CLK_OSZI); +cpu_est_2_.D.X2 = (cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); -DSACK1.OE = (nEXP_SPACE); +cpu_est_2_.C = (CLK_OSZI); -!DSACK1.D = (RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_1_.Q - # RST & !inst_AS_030_D0.Q & !DSACK1.Q & BERR.PIN); +cpu_est_3_.D = (cpu_est_3_.Q & !CLK_000_D_1_.Q + # cpu_est_3_.Q & CLK_000_D_0_.Q + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q + # cpu_est_2_.Q & cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); -DSACK1.C = (CLK_OSZI); - -VMA.T = (!RST & !VMA.Q - # !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q - # RST & VMA.Q & cpu_est_0_.Q & cpu_est_1_.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); - -VMA.C = (CLK_OSZI); - -RW.OE = (!BGACK_030.Q & inst_RESET_OUT.Q); - -!RW.D = (RST & !BGACK_030.Q & !RW_000.PIN - # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !RW.Q); - -RW.C = (CLK_OSZI); - -!un10_ciin_i = (A_DECODE_23_ & A_DECODE_22_ & A_DECODE_21_ & A_DECODE_20_ & !inst_AS_030_D0.Q & !AHIGH_24_.PIN & !AHIGH_25_.PIN & !AHIGH_26_.PIN & !AHIGH_27_.PIN & !AHIGH_28_.PIN & !AHIGH_29_.PIN & !AHIGH_30_.PIN & !AHIGH_31_.PIN); +cpu_est_3_.C = (CLK_OSZI); cpu_est_0_.D = (cpu_est_0_.Q & !CLK_000_D_1_.Q # cpu_est_0_.Q & CLK_000_D_0_.Q @@ -1409,25 +1413,12 @@ cpu_est_0_.C = (CLK_OSZI); cpu_est_1_.D = (!cpu_est_0_.Q & cpu_est_1_.Q # cpu_est_1_.Q & !CLK_000_D_1_.Q # cpu_est_1_.Q & CLK_000_D_0_.Q - # cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_3_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); + # !cpu_est_3_.Q & cpu_est_0_.Q & !cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); cpu_est_1_.C = (CLK_OSZI); -cpu_est_2_.D.X1 = (cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); - -cpu_est_2_.D.X2 = (cpu_est_2_.Q); - -cpu_est_2_.C = (CLK_OSZI); - -cpu_est_3_.D = (!cpu_est_0_.Q & cpu_est_3_.Q - # cpu_est_3_.Q & !CLK_000_D_1_.Q - # cpu_est_3_.Q & CLK_000_D_0_.Q - # cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); - -cpu_est_3_.C = (CLK_OSZI); - -!inst_AS_000_INT.D = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q - # RST & !inst_AS_000_INT.Q & !inst_AS_030_D0.Q & BERR.PIN); +!inst_AS_000_INT.D = (RST & !inst_AS_000_INT.Q & !inst_AS_030_D0.Q + # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q); inst_AS_000_INT.C = (CLK_OSZI); @@ -1440,13 +1431,13 @@ inst_AMIGA_BUS_ENABLE_DMA_LOW.C = (CLK_OSZI); inst_AS_030_D0.C = (CLK_OSZI); -!inst_AS_030_000_SYNC.D = (RST & !inst_AS_030_D0.Q & !inst_AS_030_000_SYNC.Q & BERR.PIN - # !FC_1_ & nEXP_SPACE & RST & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & A_DECODE_19_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & A_DECODE_18_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & !A_DECODE_17_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & A_DECODE_16_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & !FC_0_ & BGACK_030.Q & !inst_AS_030_D0.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_i_7_.Q & BERR.PIN); +inst_AS_030_000_SYNC.D = (!RST + # inst_AS_030_D0.Q + # !nEXP_SPACE & inst_AS_030_000_SYNC.Q + # !BGACK_030.Q & inst_AS_030_000_SYNC.Q + # inst_AS_030_000_SYNC.Q & !inst_BGACK_030_INT_D.Q + # inst_AS_030_000_SYNC.Q & SM_AMIGA_i_7_.Q + # FC_1_ & !A_DECODE_19_ & !A_DECODE_18_ & A_DECODE_17_ & !A_DECODE_16_ & FC_0_ & inst_AS_030_000_SYNC.Q); inst_AS_030_000_SYNC.C = (CLK_OSZI); @@ -1505,6 +1496,10 @@ SIZE_DMA_1_.C = (CLK_OSZI); inst_VPA_D.C = (CLK_OSZI); +CLK_000_D_1_.D = (CLK_000_D_0_.Q); + +CLK_000_D_1_.C = (CLK_OSZI); + !inst_DTACK_D0.D = (!DTACK & RST); inst_DTACK_D0.C = (CLK_OSZI); @@ -1514,10 +1509,6 @@ inst_RESET_OUT.D = (RST & inst_RESET_OUT.Q inst_RESET_OUT.C = (CLK_OSZI); -CLK_000_D_1_.D = (CLK_000_D_0_.Q); - -CLK_000_D_1_.C = (CLK_OSZI); - CLK_000_D_0_.D = (CLK_000); CLK_000_D_0_.C = (CLK_OSZI); @@ -1547,6 +1538,10 @@ IPL_D0_1_.C = (CLK_OSZI); IPL_D0_2_.C = (CLK_OSZI); +CLK_000_D_2_.D = (CLK_000_D_1_.Q); + +CLK_000_D_2_.C = (CLK_OSZI); + !inst_AMIGA_BUS_ENABLE_DMA_HIGH.D = (RST & !A_1_ & !BGACK_030.Q # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q); @@ -1558,8 +1553,8 @@ inst_LDS_000_INT.D = (!RST inst_LDS_000_INT.C = (CLK_OSZI); -inst_DS_000_ENABLE.D = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q - # RST & !inst_AS_030_D0.Q & inst_DS_000_ENABLE.Q & BERR.PIN +inst_DS_000_ENABLE.D = (RST & !inst_AS_030_D0.Q & inst_DS_000_ENABLE.Q + # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q & RW.PIN); inst_DS_000_ENABLE.C = (CLK_OSZI); @@ -1569,27 +1564,27 @@ inst_DS_000_ENABLE.C = (CLK_OSZI); inst_UDS_000_INT.C = (CLK_OSZI); -SM_AMIGA_6_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_6_.Q & SM_AMIGA_i_7_.Q & BERR.PIN - # RST & !CLK_000_D_0_.Q & SM_AMIGA_6_.Q & SM_AMIGA_i_7_.Q & BERR.PIN - # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & !SM_AMIGA_i_7_.Q); +SM_AMIGA_6_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_6_.Q + # RST & !CLK_000_D_0_.Q & SM_AMIGA_6_.Q + # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_1_.Q & CLK_000_D_2_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_i_7_.Q); SM_AMIGA_6_.C = (CLK_OSZI); -SM_AMIGA_4_.D = (RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_5_.Q - # RST & CLK_000_D_1_.Q & SM_AMIGA_4_.Q & BERR.PIN - # RST & !CLK_000_D_0_.Q & SM_AMIGA_4_.Q & BERR.PIN); +SM_AMIGA_4_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_4_.Q + # RST & !CLK_000_D_0_.Q & SM_AMIGA_4_.Q + # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_5_.Q); SM_AMIGA_4_.C = (CLK_OSZI); SM_AMIGA_1_.D = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_2_.Q - # RST & !CLK_000_D_1_.Q & SM_AMIGA_1_.Q & BERR.PIN - # RST & CLK_000_D_0_.Q & SM_AMIGA_1_.Q & BERR.PIN); + # RST & !CLK_000_D_1_.Q & SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q + # RST & CLK_000_D_0_.Q & SM_AMIGA_1_.Q & !SM_AMIGA_2_.Q); SM_AMIGA_1_.C = (CLK_OSZI); -SM_AMIGA_0_.D = (RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_1_.Q - # RST & CLK_000_D_1_.Q & SM_AMIGA_0_.Q & BERR.PIN - # RST & !CLK_000_D_0_.Q & SM_AMIGA_0_.Q & BERR.PIN); +SM_AMIGA_0_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_0_.Q + # RST & !CLK_000_D_0_.Q & SM_AMIGA_0_.Q + # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_1_.Q); SM_AMIGA_0_.C = (CLK_OSZI); @@ -1623,47 +1618,38 @@ inst_CLK_030_H.D = (RST & !BGACK_030.Q & !CYCLE_DMA_0_.Q & CYCLE_DMA_1_.Q & inst inst_CLK_030_H.C = (CLK_OSZI); -SM_AMIGA_5_.D = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q - # RST & !CLK_000_D_1_.Q & SM_AMIGA_5_.Q & BERR.PIN - # RST & CLK_000_D_0_.Q & SM_AMIGA_5_.Q & BERR.PIN); +SM_AMIGA_5_.D = (RST & !CLK_000_D_1_.Q & SM_AMIGA_5_.Q + # RST & CLK_000_D_0_.Q & SM_AMIGA_5_.Q + # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q); SM_AMIGA_5_.C = (CLK_OSZI); -SM_AMIGA_3_.D.X1 = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q - # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q & !BERR.PIN - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & BERR.PIN - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & BERR.PIN); - -SM_AMIGA_3_.D.X2 = (RST & SM_AMIGA_3_.Q & BERR.PIN); +SM_AMIGA_3_.T = (!RST & SM_AMIGA_3_.Q + # inst_VPA_D.Q & CLK_000_D_1_.Q & !inst_DTACK_D0.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q + # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q + # inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN + # !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); SM_AMIGA_3_.C = (CLK_OSZI); -SM_AMIGA_2_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_2_.Q & BERR.PIN - # RST & !CLK_000_D_0_.Q & SM_AMIGA_2_.Q & BERR.PIN - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); +SM_AMIGA_2_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_2_.Q + # RST & !CLK_000_D_0_.Q & SM_AMIGA_2_.Q + # RST & inst_VPA_D.Q & CLK_000_D_1_.Q & !inst_DTACK_D0.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q + # RST & inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN + # RST & !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); SM_AMIGA_2_.C = (CLK_OSZI); -SM_AMIGA_i_7_.D.X1 = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_6_.Q & !BERR.PIN - # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q & !BERR.PIN - # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_1_.Q & !BERR.PIN - # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_5_.Q & !BERR.PIN - # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_2_.Q & !BERR.PIN - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN - # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & BERR.PIN - # !nEXP_SPACE & RST & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & BERR.PIN - # RST & inst_AS_030_000_SYNC.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & BERR.PIN - # RST & !CLK_000_D_1_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & BERR.PIN - # RST & CLK_000_D_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & BERR.PIN - # RST & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN - # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & !BERR.PIN); +SM_AMIGA_i_7_.D.X1 = (RST & SM_AMIGA_i_7_.Q + # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_1_.Q & !CLK_000_D_0_.Q & CLK_000_D_2_.Q & !SM_AMIGA_i_7_.Q + # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_1_.Q & CLK_000_D_2_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_i_7_.Q); -SM_AMIGA_i_7_.D.X2 = (RST & BERR.PIN); +SM_AMIGA_i_7_.D.X2 = (RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_0_.Q & SM_AMIGA_i_7_.Q); SM_AMIGA_i_7_.C = (CLK_OSZI); -!CIIN_0 = (!nEXP_SPACE & un10_ciin_i); +CIIN_0 = (nEXP_SPACE + # A_DECODE_23_ & A_DECODE_22_ & A_DECODE_21_ & A_DECODE_20_ & !inst_AS_030_D0.Q & !AHIGH_24_.PIN & !AHIGH_25_.PIN & !AHIGH_26_.PIN & !AHIGH_27_.PIN & !AHIGH_28_.PIN & !AHIGH_29_.PIN & !AHIGH_30_.PIN & !AHIGH_31_.PIN); Reverse-Polarity Equations: diff --git a/Logic/68030_tk.tal b/Logic/68030_tk.tal index 40eadeb..53c78bb 100644 --- a/Logic/68030_tk.tal +++ b/Logic/68030_tk.tal @@ -49,7 +49,6 @@ inst_LDS_000_INT 1 1 1 1 .. .. 2 2 inst_DS_000_ENABLE 1 1 1 1 .. .. 2 2 inst_UDS_000_INT 1 1 1 1 .. .. 2 2 inst_CLK_030_H 1 2 .. .. .. .. 1 1 - CIIN_0 .. .. .. .. 1 2 .. .. AS_030 .. .. .. .. 1 1 .. .. AS_000 .. .. .. .. 1 1 .. .. CIIN .. .. .. .. 1 1 .. .. @@ -59,30 +58,29 @@ inst_UDS_000_INT 1 1 1 1 .. .. 2 2 RN_RW_000 1 1 0 0 .. .. 1 1 BG_000 1 1 0 0 .. .. 1 1 RN_BG_000 1 1 0 0 .. .. 1 1 - A_0_ 1 1 0 0 .. .. 1 1 - RN_A_0_ 1 1 0 0 .. .. 1 1 - IPL_030_1_ 1 1 0 0 .. .. 1 1 - RN_IPL_030_1_ 1 1 0 0 .. .. 1 1 - IPL_030_0_ 1 1 0 0 .. .. 1 1 - RN_IPL_030_0_ 1 1 0 0 .. .. 1 1 DSACK1 1 1 0 0 .. .. 1 1 RN_DSACK1 1 1 0 0 .. .. 1 1 VMA 1 1 0 0 .. .. 1 1 RN_VMA 1 1 0 0 .. .. 1 1 RW 1 1 0 0 .. .. 1 1 RN_RW 1 1 0 0 .. .. 1 1 - un10_ciin_i .. .. .. .. 1 1 .. .. - cpu_est_0_ .. .. .. .. .. .. 1 1 - cpu_est_1_ .. .. 1 1 .. .. 1 1 + A_0_ 1 1 0 0 .. .. 1 1 + RN_A_0_ 1 1 0 0 .. .. 1 1 + IPL_030_1_ 1 1 0 0 .. .. 1 1 + RN_IPL_030_1_ 1 1 0 0 .. .. 1 1 + IPL_030_0_ 1 1 0 0 .. .. 1 1 + RN_IPL_030_0_ 1 1 0 0 .. .. 1 1 cpu_est_2_ .. .. 1 1 .. .. 1 1 cpu_est_3_ .. .. 1 1 .. .. 1 1 + cpu_est_0_ .. .. .. .. .. .. 1 1 + cpu_est_1_ .. .. 1 1 .. .. 1 1 inst_AMIGA_BUS_ENABLE_DMA_LOW 1 1 1 1 .. .. .. .. inst_AS_030_000_SYNC 1 1 1 1 .. .. 1 1 inst_BGACK_030_INT_D 1 1 .. .. .. .. 1 1 inst_VPA_D 1 1 .. .. .. .. 1 1 + CLK_000_D_1_ .. .. .. .. .. .. 1 1 inst_DTACK_D0 1 1 .. .. .. .. 1 1 inst_RESET_OUT 1 1 .. .. .. .. .. .. - CLK_000_D_1_ .. .. .. .. .. .. 1 1 CLK_000_D_0_ 1 1 .. .. .. .. 1 1 inst_CLK_OUT_PRE_50 .. .. .. .. .. .. 1 1 inst_CLK_OUT_PRE_25 .. .. .. .. .. .. 1 1 @@ -90,6 +88,7 @@ inst_CLK_OUT_PRE_D .. .. .. .. .. .. 1 1 IPL_D0_0_ 1 1 .. .. .. .. 1 1 IPL_D0_1_ 1 1 .. .. .. .. 1 1 IPL_D0_2_ 1 1 .. .. .. .. 1 1 + CLK_000_D_2_ .. .. .. .. .. .. 1 1 inst_AMIGA_BUS_ENABLE_DMA_HIGH 1 1 1 1 .. .. .. .. SM_AMIGA_6_ 1 1 .. .. .. .. 1 1 SM_AMIGA_4_ 1 1 .. .. .. .. 1 1 @@ -101,4 +100,5 @@ inst_AMIGA_BUS_ENABLE_DMA_HIGH 1 1 1 1 .. .. .. .. SM_AMIGA_5_ 1 1 .. .. .. .. 1 1 SM_AMIGA_3_ 1 1 .. .. .. .. 1 1 SM_AMIGA_2_ 1 1 .. .. .. .. 1 1 - SM_AMIGA_i_7_ 1 1 .. .. .. .. 1 1 \ No newline at end of file + SM_AMIGA_i_7_ 1 1 .. .. .. .. 1 1 + CIIN_0 .. .. .. .. 1 1 .. .. \ No newline at end of file diff --git a/Logic/68030_tk.tt2 b/Logic/68030_tk.tt2 index 0c7d9f5..3165bf7 100644 --- a/Logic/68030_tk.tt2 +++ b/Logic/68030_tk.tt2 @@ -1,550 +1,500 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Aug 25 22:27:51 2016 +#$ DATE Wed Sep 14 23:54:26 2016 #$ MODULE 68030_tk -#$ PINS 61 AHIGH_30_ AHIGH_29_ SIZE_1_ AHIGH_28_ AHIGH_27_ AHIGH_31_ AHIGH_26_ AHIGH_25_ A_DECODE_23_ AHIGH_24_ A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ IPL_2_ A_DECODE_17_ A_DECODE_16_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ A_1_ DTACK AVEC E VPA RST RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ IPL_030_2_ RW_000 BG_000 BGACK_030 A_0_ IPL_030_1_ IPL_030_0_ CLK_EXP DSACK1 VMA RW -#$ NODES 43 un10_ciin_i cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_ inst_AS_000_INT inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_1_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ +#$ PINS 61 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 SIZE_0_ CLK_OSZI AHIGH_30_ CLK_DIV_OUT AHIGH_29_ AHIGH_28_ FPU_CS AHIGH_27_ FPU_SENSE AHIGH_26_ AHIGH_25_ DTACK AHIGH_24_ AVEC A_DECODE_22_ E A_DECODE_21_ VPA A_DECODE_20_ A_DECODE_19_ RST A_DECODE_18_ RESET A_DECODE_17_ A_DECODE_16_ AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_1_ IPL_0_ FC_0_ A_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 CLK_EXP DSACK1 VMA RW A_0_ IPL_030_1_ IPL_030_0_ +#$ NODES 43 cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AS_000_INT inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D CLK_000_D_1_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_D_2_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_1_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ .type fr .i 95 -.o 158 -.ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ BGACK_030.Q un10_ciin_i VMA.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q cpu_est_3_.Q inst_AS_000_INT.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_1_.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN -.ob DS_030 FPU_CS AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_1_.C SM_AMIGA_0_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C cpu_est_3_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C CLK_000_D_0_.C CLK_000_D_1_.C CYCLE_DMA_0_.C inst_AS_000_DMA.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C inst_DS_000_DMA.C inst_AS_030_D0.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_DS_000_ENABLE.C inst_CLK_OUT_PRE_25.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.C A_0_.C VMA.C RW.C RW_000.C inst_LDS_000_INT.C BGACK_030.C inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_50.C CLK_EXP.C SIZE_1_ AHIGH_31_ AS_030 AS_000 UDS_000 LDS_000 BERR SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ un10_ciin_i AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 SM_AMIGA_i_7_.D.X1 SM_AMIGA_i_7_.D.X2 CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D VMA.T cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D cpu_est_3_.D inst_AS_000_INT.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_DTACK_D0.D inst_RESET_OUT.D CLK_000_D_1_.D CLK_000_D_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_D.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D SM_AMIGA_6_.D SM_AMIGA_4_.D SM_AMIGA_1_.D SM_AMIGA_0_.D RW_000.D RW.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D A_0_.D inst_CLK_030_H.D SM_AMIGA_5_.D SM_AMIGA_2_.D BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 538 ------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ ---0-------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ------0----------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1-------------------------------------------------------------------------------------- ~~~~~~~~~~11111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1111111~~1111~~~~~~111111~1~~~~11~~~1~~~1~111 --------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1----------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------0---------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------------------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0-------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------01------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------01----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------001---------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------110---------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1-------------0010--1-----------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------1------------------------------------------------------------ ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0---------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~1~~~~~~~~~~~~ -----------------------------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------11------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------00------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------1----1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------1-----1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------01----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------10----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------0-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~111111111~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-----------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1-----------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1----------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1---------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-----------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-----------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1----------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ---------------------------00000--------------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1--------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-----------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------111---------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------110---------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------10-0--------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------11100-----------0--10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------01---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --1------------------11----------------------------111------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1------------------10----------------------------011------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1------------------01----------------------------101------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1------------------00----------------------------001------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0------------------11----------------------------110------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0------------------10----------------------------010------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0------------------01----------------------------100------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ -------------------------1----------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ -------------------------0----------------------------1----------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0--------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01-----------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------------------------1--------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1--0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------10-------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ----------------------------------1--------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1-----0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------01--------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ----------------------------------------------1----------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -----------------------------------------------0---------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -----------------------------------------------------------0---1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------------------1--------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ -------------1--------------------------------0------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1---------------------------------1-----------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1--------------------------------10-----------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1--------------------------------0-------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------1---------------------------------1------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------1--------------------------------10-----------------11----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -------------1---------------------------------------------------01----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------1--------------------------------10-----------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------1-----------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -------------1----------------------------------------------------11---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------1---------------------------------------------------111---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1--------------------------------10-----------------111---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------1-------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -------------1--------------------------------10----------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -------------1-------------00001-----------0--10-----------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------1-----------------------------10-10-----------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------1--------------------------------01------------1----------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -----------------------------------1-------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ----1--------1---------------------0----------10-------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ----0---------------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ----------------------------------0---------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------------------------0--------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ----------------------1----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------------------------------------1-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------0------------------------------1----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------1------------------------------0----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0--------------------------------------------------1---------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1--------------------------------------------------0---------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------1------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------0----------------------------1------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------1----------------------------0------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------------------------------------1-----------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0--------------------------------------------------1----------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1--------------------------------------------------0----------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1--------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ----------------------0----------------------------1-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ----------------------1----------------------------0-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------0------------------------------1------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------1------------------------------0------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------------------------------------------------1-----------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------------------------------------------------------1----------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1--1---1------0010--1------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------1---------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1---------------------------------------01-------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------01--------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------1------1--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------1-----1--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------0------01-------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------10-----01-------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------1-------0-------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------1------0-------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ----0--------------------0-----------------------------------------------------01--------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1------------------------------------------------------0--------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------------------------------1-----------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----------------------------1-------------------------------1----------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1------------------------------0----------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -------0-----1-----------0-----------0-01--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------0-----1-----------0-----------0-10--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1-----------0-------------01----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1-----------0-------------10----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------------------0--------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0-----------0-01--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------0-----1-----------0-----------0-10--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1-----------0-------------01----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1-----------0-------------10----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------------------0-------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1------------111-----------------0--------------------------------------------------00000000--- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1---------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1-----------------------10--------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------0----------------------1------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------1-------------1---------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -------------1---------------------------------0------------1---------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -------------1--------------------------------0--------------1--------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1---------------------------------1-------------1--------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1--------------------------------1---------------1-------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1---------------------------------0--------------1-------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1--------------------------------0-----------------------1-----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -------------1---------------------------------1----------------------1-----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -------------1---------------------------------------------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------00001-----------0--10-----------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------------------10-10-----------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------1-------------------------1---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------1---------------------------------0------------------------1---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------1--------------------------------01-----------000--------000---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------1---------------------------------------------0000-------000---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------1-----------------------0000-------000---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------0------------0000-------000---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------1-----------0000-------000---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------1------------1-------------1--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------1---------------------------------0-----------1-------------1--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1-----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01-----------1----------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0----------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01------------1---------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------10-------------1--------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0--------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------10----------------------1-----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------00001-----------0--10-----------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------------------10-10-----------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01------------------------1---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1---------------------0----------10-----------00-0--------00---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------01-----------1-----------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------1--------------------------------01-----------1-----------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------ ~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~0~0000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0---------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0-------------------------------------------------------------------------------------- ~~~~~~~~~~00000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0----------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------0------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0-1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------01---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~00~~~~0~~~~~~~~~~~0~0000~~000~000~~~~~ --------------0--------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------0-------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------0------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~00~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ----0---------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0-------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------01------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------00------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------01----------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------00----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-1---------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0-1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------00---------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------0-------------------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------0------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1-------0--1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1----------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------------11------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ---------------------------------------00------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -----------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1---------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1----------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~000000000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------0----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-----------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------0------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-----1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1--------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------11-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-----------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0----------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0-----------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0----------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----10-------1--------------------1------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------0-1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------11------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~0~~~~~~~~0~~~~~ ---------------------------------------1------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------11-----01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------0-------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~0~~~~~~ ----------------------------1-----------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------11----------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------10----------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------111---------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1-0---------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------00------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------00------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------11---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------00---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --1----------1-------10----------------------------011------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1----------1-------01----------------------------101------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1----------1-------00----------------------------001------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0----------1-------11----------------------------110------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0----------1-------10----------------------------010------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0----------1-------01----------------------------100------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0----------1-------00----------------------------000------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 -------------------------0----------------------------0----------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1----------1-----------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------01-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1----------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0---------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------10------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01-----------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------------------0--0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------------------00------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ -----------------------------------------------1------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ----------------------------------1------------------------00----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0-00----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------10-------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1--------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -----------------------------------------------0-------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ----------------------------------------------0---------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -----------------------------------------------1--------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -------------------------------------------------------------00--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -------------1-----------1----------1---------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ---------------------------------------------0-------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -----------------------------------------------1-----------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ---------------------------------------------0--------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----------------------------------------------1------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ----------------------------------------------10-----------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -----------------------------------------------------------------00----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ---------------------------------------------0---------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0--------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------------------------1-------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ----------------------------------------------10-----------------1-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -----------------------------------------------------------------0-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ----------------------------------------------10-----------------110---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------------------------------------00---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------1-----------1------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -------1-------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------------------1-------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------------1-----------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------0----------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------------0----------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------0---------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ---------------------------1---------------0--1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1--------------0--1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------0--1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1------------0--1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------0--1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------11-1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1------------1-0--------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1-------------10--------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1--------------01-------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1--------------0--------001----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------1--------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------1-------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------1------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------0-----------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------------11---------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------0-------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------------------------1------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------------------------------0----------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -----------------------------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------------------------------------0-------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -------------1--------------------------------1----------------0---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -------------1---------------------------------0---------------0---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -------------1---------------------------------------------0--00---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ----0--------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -----------------------------------1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ----------------------------------------------0--------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -----0-------1------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------1--------0----------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------------------------------------0-----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------0------------------------------1----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------1------------------------------0----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0----------1---------------------------------------1---------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1----------1---------------------------------------0---------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------0------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1--------0----------------------------1------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1--------1----------------------------0------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1--------------------------------------0-----------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0----------1---------------------------------------1----------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1----------1---------------------------------------0----------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0----------1---------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1--------0----------------------------1-------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1--------1----------------------------0-------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1-------0------------------------------1------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1-------1------------------------------0------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1---------------------------------------0-----------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 ------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1--1---0------0010--1------------------------------------------------------0----------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1-----------0-----------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0----------------------------------------0---------------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1---------------------------------------------------------------------------1--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1------------------------------------------------------1--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------11--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0------------------------------------------------------0--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -------------1-----------0-------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -------1-----1-----------0-------------01--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------0-01--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0------------001--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-------------10--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------0-10--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0------------010--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------0-01----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------0-10----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------001----------------------------0---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------010----------------------------0---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------01--------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------10--------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------1-----1-----------0-------------01--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------0-01--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0------------001--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-------------10--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------0-10--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0------------010--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------0-01----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------0-10----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------001----------------------------0---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------010----------------------------0---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------01--------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------10--------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------1-----------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------1------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1---------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1--------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1-------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1------ ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1----- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1---- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1--- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1------------111-----------------0--------------------------------------------------00000000--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------1---------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------1---------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ -------------1------------------0-0-----------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------00----------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------0----------10----------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------0--------------------0--------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1----------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1---------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1--------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1---------------1-------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0--------------1-------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------1-----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0------------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---01--------1-----------1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1---1-------1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1----1------1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1-----0-----1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1------1----1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1---------0-1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------0--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------1--------------0--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------1-------------0--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------1------------0--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------0-----------0--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------------11-------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------1-----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~0~~~~~~ ----------------------------------------------0-----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~0~~~~~ -----------------------------------------------1----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~0~~~~~ ----------------------------------------------11----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~0~~~~~~ ----------------------------------------------00----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0----------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------------00---------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0--------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ----------------------------------------------------------------------0-----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----0-----------------------------------------1--------------0--------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1----------1--------------0--------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ----------------------------------------------0------------00-----------0---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------1--------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ----------------------------------1-------------------------0----------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--0----------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01-----------1--0----------1---------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ------------------------------------------------------------0---------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +.o 157 +.ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ BGACK_030.Q VMA.Q cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q CLK_000_D_1_.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_2_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN +.ob DS_030 FPU_CS AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C CLK_000_D_2_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C cpu_est_3_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C CLK_000_D_0_.C CLK_000_D_1_.C RW_000.C inst_AS_030_000_SYNC.C inst_LDS_000_INT.C BGACK_030.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_AS_030_D0.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_DS_000_ENABLE.C inst_CLK_OUT_PRE_25.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.C A_0_.C inst_AS_000_INT.C DSACK1.C VMA.C RW.C inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_50.C CLK_EXP.C SIZE_1_ AHIGH_31_ AS_030 AS_000 UDS_000 LDS_000 BERR SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D VMA.T cpu_est_2_.D cpu_est_3_.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D CLK_000_D_1_.D inst_DTACK_D0.D inst_RESET_OUT.D CLK_000_D_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_D.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D CLK_000_D_2_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D SM_AMIGA_6_.D SM_AMIGA_4_.D SM_AMIGA_1_.D SM_AMIGA_0_.D RW_000.D RW.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D A_0_.D inst_CLK_030_H.D SM_AMIGA_5_.D SM_AMIGA_3_.T SM_AMIGA_2_.D SM_AMIGA_i_7_.D BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D +.p 488 +----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0-------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-----0----------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1-------------------------------------------------------------------------------------- ~~~~~~~~~~111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1111111~~111~1~~~~~111~111~1~~~~11~~~1~~~~~1~111 +----------------1------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1----------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------0---------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1--------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------------------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------010------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------10-1----------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------01----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1--0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------01-0----------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------1--------------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1-------------0010--1----------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------1------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0--------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~1~~~~~~~~~~~~~~ +---------------------------------10------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0----------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1---------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------1----1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------1-----1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------01------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-----------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1---------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1---------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1---------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------0-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~111111111~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1-----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1---------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------00000------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0-------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-11------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-11------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------010------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------10011-----------01--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------01----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +-1------------------11---------------------------111------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 +-1------------------10---------------------------011------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 +-1------------------01---------------------------101------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 +-1------------------00---------------------------001------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-0------------------11---------------------------110------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +-0------------------10---------------------------010------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0------------------01---------------------------100------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +---1--------1--------------------0--------0--0------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------------------1----------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0----------------------------1----------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0-----------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1--------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------0-----------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1---------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------0--1------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1--------------------------------0------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +------------------------------1---------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1--0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1----------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +------------1-----------------------------0--1-------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------0-------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1--0--------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +--------------------------------1---------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1-----0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +------------------------------------------0--1---------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1--------------------------------0---------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +---1--------1--------------------0--------0---------1--------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------------------------------------1-------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +---------------------------------------------0----------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +----------------------------------------------------------0---1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------------------1--------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1-----------------------------0---------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1--------------------------------1------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1-----------------------------1--0------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1-----------------------------0----------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +------------1--------------------------------1-------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +------------1-----------------------------1--0------------------11----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1---------------------------------------------------01----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +------------1-----------------------------1--0------------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +------------1-----------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1----------------------------------------------------11---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +------------1---------------------------------------------------111---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1-----------------------------1--0------------------111---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------1--------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +------------1-----------------------------0--------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1--------------------------------1-----------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1-----------------------------1--0-----------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +------------0---------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------------------00100-----------01--0------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1------------00100-----------01--0------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-----------------------------------------110-0------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1----------------------------110-0------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1-----------------------------0--1-------------1----------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1-----------------------------1----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1-----------------------------0--1-------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +------------1--------------------------------0-------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1-----------------------------0-----------------1----------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +------------1--------------------------------1--------------1----------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +---------------------------------1--------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1-----------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------1--------------------------------0--------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------1------------------------------------------------0----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +---1--------1--------------------0--------0---------1-----0-------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +---0---------------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------------------------------0----------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +---------------------------------------------0---------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +---------------------1----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------------------------------1------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------0-----------------------------1-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------1-----------------------------0-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-0-------------------------------------------------1----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-1-------------------------------------------------0----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------1------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------0---------------------------1-------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------1---------------------------0-------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +--------------------------------------------------1------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0-------------------------------------------------1-----------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-------------------------------------------------0-----------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1--------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------0---------------------------1--------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------1---------------------------0--------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------0-----------------------------1-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------1-----------------------------0-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------------------------------------1------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-----------------------------------------------------------------------------1----------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1--1---1------0010--1------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------1---------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------------------------0--1--------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------01---------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------1----1-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-------------1---1-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------0----0--1--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------10---0--1--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------1-------0--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-------------1------0--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +---0--------------------0-----------------------------------------------------01--------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1------------------------------------------------------0--------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----------------------------1------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1----------------------------1--------------------------------1----------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-------------------------------0----------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +------0-----1-----------0----------0-01---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------0-----1-----------0----------0-10---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------1-----------0------------01-----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------1-----------0------------10-----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------------------0--------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0----------0-01---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------0-----1-----------0----------0-10---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------1-----------0------------01-----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------1-----------0------------10-----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------------------0-------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1------------111----------------0---------------------------------------------------00000000--- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1---------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1-----------------------10--------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------11--0------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1----------------------------11--0------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------------------------------------0--1------------1-----------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1-----------------------------0--1------------1-----------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------- ~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~0~0000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0---------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0--0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0-------------------------------------------------------------------------------------- ~~~~~~~~~~000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0----------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0-1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------01---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~0~~~~~~~~~~~0~0000~~000~00~00~~~~~ +-------------0--------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------0-------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------0------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~00~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------11------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------00------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0--1----------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------01----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1---0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0--0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1-0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0-0----------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------00----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0------0--------------------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------0-0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------0------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------00------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1------0--1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1---------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------------------------------00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1--------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1---------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1---------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------0----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1---------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0----1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0---1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0---------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~000000000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0-0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0-----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------1----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---10-------1-------------------1------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------1-----------------------------1--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~0~~~~~~ +-------------------------------------1----0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------11---0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0--------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~0~~~~~~~~ +----------------------------1-------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-1-------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-0-------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------11------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-11------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------10------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------0--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------11----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------00----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-1----------1-------10---------------------------011------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1----------1-------01---------------------------101------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1----------1-------00---------------------------001------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ +-0----------1-------11---------------------------110------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-0----------1-------10---------------------------010------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 +-0----------1-------01---------------------------100------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 +-0----------1-------00---------------------------000------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 +------------------------0----------------------------0----------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1---------1------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------0---------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------01-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1-------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0----------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------10------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0--1------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------0--1------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +---------------------------------1------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0-----0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------1------------------------------------------0--0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------00------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0----------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------00----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0-00----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1--0--------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0--1---------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------0------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +---------------------------------------------1---------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +------------------------------------------------------------00--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +------------1-----------1---------1----------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +------------------------------------------0---------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +--------------------------------------------0-------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +------------------------------------------0----------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +--------------------------------------------0--------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +------------------------------------------1--0------------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------------------------------00----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +------------------------------------------0-----------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +--------------------------------------------0---------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1--------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------------------------1--0------------------1-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------------------------------0-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------------------------1--0------------------110---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-----------------------------------------------------------------00---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------1-----------1------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +------1-------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-----------------------------------1--------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------------------------------------1--------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +---------------------------------------------0-----------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------------------------------------------------------0----------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-----------------------------------------------------------0---------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------------1------------1---------------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1-------------1--------------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1--------------0-------------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1---------------1------------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1----------------1-----------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1-----------------------------0---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------0---------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------------------0------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-----------------------------------------------------------0----------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------------1----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +---------------------------------------------0-------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +-------------------------1---------------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------1--------------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------0-------------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------1------------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------1-----------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------------1-------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------------------------0----------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------------------------------0-------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1-------------------0---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +------------1--------------------------------0----------------0---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +------------1---------------------------------------------0--00---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +---0--------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------1--------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +--01--------1-----------1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1---1-------1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1----1------1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1-----0-----1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1------1----1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1---------0-1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1-----------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------------------0-------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----0-------1------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------1--------0----------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1------------------------------------0------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------0-----------------------------1-----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------1-----------------------------0-----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-0----------1--------------------------------------1----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1----------1--------------------------------------0----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------0------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1--------0---------------------------1-------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1--------1---------------------------0-------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1-------------------------------------0------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0----------1--------------------------------------1-----------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1----------1--------------------------------------0-----------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0----------1---------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1--------0---------------------------1--------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1--------1---------------------------0--------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1-------0-----------------------------1-------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1-------1-----------------------------0-------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1--------------------------------------0------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-----------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1--1---0------0010--1------------------------------------------------------0----------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0----------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------1-----------0-----------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-----------------------------------------0---------------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1---------------------------------------------------------------------------1--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1------------------------------------------------------1--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------11--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0------------------------------------------------------0--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +------------1-----------0-------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +------1-----1-----------0------------01---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------0-01---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0-----------001---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0------------10---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------0-10---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0-----------010---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0----------0-01-----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0----------0-10-----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------001-----------------------------0---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------010-----------------------------0---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------01---------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------10---------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0--------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------1-----1-----------0------------01---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------0-01---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0-----------001---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0------------10---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------0-10---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0-----------010---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0----------0-01-----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0----------0-10-----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------001-----------------------------0---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------010-----------------------------0---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------01---------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------10---------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------1-----------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------1------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------1---------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------1--------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------1-------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------1------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------1------ ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1----- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------1---- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------------------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------1--- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------------------------------------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------1---------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------1---------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +------------1----------------------------1-1--------------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-----------------------------------------1-1---------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------1--------------------------0----------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0--0----------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------0--1------------1--0----------1---------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ .end diff --git a/Logic/68030_tk.tt3 b/Logic/68030_tk.tt3 index 37d341c..dfe7143 100644 --- a/Logic/68030_tk.tt3 +++ b/Logic/68030_tk.tt3 @@ -1,550 +1,500 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Aug 25 22:27:51 2016 +#$ DATE Wed Sep 14 23:54:26 2016 #$ MODULE 68030_tk -#$ PINS 61 AHIGH_30_ AHIGH_29_ SIZE_1_ AHIGH_28_ AHIGH_27_ AHIGH_31_ AHIGH_26_ AHIGH_25_ A_DECODE_23_ AHIGH_24_ A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ IPL_2_ A_DECODE_17_ A_DECODE_16_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ A_1_ DTACK AVEC E VPA RST RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ IPL_030_2_ RW_000 BG_000 BGACK_030 A_0_ IPL_030_1_ IPL_030_0_ CLK_EXP DSACK1 VMA RW -#$ NODES 43 un10_ciin_i cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_ inst_AS_000_INT inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_1_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ +#$ PINS 61 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 SIZE_0_ CLK_OSZI AHIGH_30_ CLK_DIV_OUT AHIGH_29_ AHIGH_28_ FPU_CS AHIGH_27_ FPU_SENSE AHIGH_26_ AHIGH_25_ DTACK AHIGH_24_ AVEC A_DECODE_22_ E A_DECODE_21_ VPA A_DECODE_20_ A_DECODE_19_ RST A_DECODE_18_ RESET A_DECODE_17_ A_DECODE_16_ AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_1_ IPL_0_ FC_0_ A_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 CLK_EXP DSACK1 VMA RW A_0_ IPL_030_1_ IPL_030_0_ +#$ NODES 43 cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AS_000_INT inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D CLK_000_D_1_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_D_2_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_1_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ .type fr .i 95 -.o 158 -.ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ BGACK_030.Q un10_ciin_i VMA.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q cpu_est_3_.Q inst_AS_000_INT.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_1_.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN -.ob DS_030 FPU_CS AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_1_.C SM_AMIGA_0_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C cpu_est_3_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C CLK_000_D_0_.C CLK_000_D_1_.C CYCLE_DMA_0_.C inst_AS_000_DMA.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C inst_DS_000_DMA.C inst_AS_030_D0.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_DS_000_ENABLE.C inst_CLK_OUT_PRE_25.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.C A_0_.C VMA.C RW.C RW_000.C inst_LDS_000_INT.C BGACK_030.C inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_50.C CLK_EXP.C SIZE_1_ AHIGH_31_ AS_030 AS_000 UDS_000 LDS_000 BERR SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ un10_ciin_i AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 SM_AMIGA_i_7_.D.X1 SM_AMIGA_i_7_.D.X2 CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D VMA.T cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D cpu_est_3_.D inst_AS_000_INT.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_DTACK_D0.D inst_RESET_OUT.D CLK_000_D_1_.D CLK_000_D_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_D.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D SM_AMIGA_6_.D SM_AMIGA_4_.D SM_AMIGA_1_.D SM_AMIGA_0_.D RW_000.D RW.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D A_0_.D inst_CLK_030_H.D SM_AMIGA_5_.D SM_AMIGA_2_.D BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 538 ------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ ---0-------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ------0----------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1-------------------------------------------------------------------------------------- ~~~~~~~~~~11111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1111111~~1111~~~~~~111111~1~~~~11~~~1~~~1~111 --------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1----------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------0---------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------------------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0-------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------01------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------01----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------001---------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------110---------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1-------------0010--1-----------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------1------------------------------------------------------------ ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0---------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~1~~~~~~~~~~~~ -----------------------------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------11------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------00------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------1----1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------1-----1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------01----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------10----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------0-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~111111111~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-----------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1-----------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1----------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1---------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-----------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-----------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1----------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ---------------------------00000--------------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1--------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-----------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------111---------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------110---------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------10-0--------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------11100-----------0--10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------01---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --1------------------11----------------------------111------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1------------------10----------------------------011------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1------------------01----------------------------101------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1------------------00----------------------------001------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0------------------11----------------------------110------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0------------------10----------------------------010------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0------------------01----------------------------100------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ -------------------------1----------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ -------------------------0----------------------------1----------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0--------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01-----------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------------------------1--------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1--0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------10-------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ----------------------------------1--------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1-----0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------01--------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ----------------------------------------------1----------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -----------------------------------------------0---------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -----------------------------------------------------------0---1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------------------1--------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ -------------1--------------------------------0------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1---------------------------------1-----------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1--------------------------------10-----------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1--------------------------------0-------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------1---------------------------------1------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------1--------------------------------10-----------------11----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -------------1---------------------------------------------------01----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------1--------------------------------10-----------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------1-----------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -------------1----------------------------------------------------11---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -------------1---------------------------------------------------111---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1--------------------------------10-----------------111---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------1-------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -------------1--------------------------------10----------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -------------1-------------00001-----------0--10-----------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------1-----------------------------10-10-----------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------1--------------------------------01------------1----------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -----------------------------------1-------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ----1--------1---------------------0----------10-------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ----0---------------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ----------------------------------0---------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------------------------0--------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ----------------------1----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------------------------------------1-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------0------------------------------1----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------1------------------------------0----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0--------------------------------------------------1---------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1--------------------------------------------------0---------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------1------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------0----------------------------1------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------1----------------------------0------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------------------------------------1-----------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0--------------------------------------------------1----------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1--------------------------------------------------0----------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1--------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ----------------------0----------------------------1-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ----------------------1----------------------------0-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------0------------------------------1------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------1------------------------------0------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------------------------------------------------1-----------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------------------------------------------------------1----------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1--1---1------0010--1------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------1---------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1---------------------------------------01-------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------01--------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------1------1--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------1-----1--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------0------01-------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------10-----01-------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------1-------0-------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------1------0-------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ----0--------------------0-----------------------------------------------------01--------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1------------------------------------------------------0--------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------------------------------1-----------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----------------------------1-------------------------------1----------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1------------------------------0----------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -------0-----1-----------0-----------0-01--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------0-----1-----------0-----------0-10--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1-----------0-------------01----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1-----------0-------------10----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------------------0--------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0-----------0-01--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------0-----1-----------0-----------0-10--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1-----------0-------------01----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1-----------0-------------10----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------------------0-------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1------------111-----------------0--------------------------------------------------00000000--- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1---------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1-----------------------10--------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------0----------------------1------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------1-------------1---------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -------------1---------------------------------0------------1---------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -------------1--------------------------------0--------------1--------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1---------------------------------1-------------1--------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1--------------------------------1---------------1-------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1---------------------------------0--------------1-------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1--------------------------------0-----------------------1-----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -------------1---------------------------------1----------------------1-----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -------------1---------------------------------------------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------00001-----------0--10-----------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------------------10-10-----------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------1-------------------------1---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------1---------------------------------0------------------------1---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------1--------------------------------01-----------000--------000---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------1---------------------------------------------0000-------000---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------1-----------------------0000-------000---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------0------------0000-------000---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------1-----------0000-------000---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------1------------1-------------1--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------1---------------------------------0-----------1-------------1--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1-----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01-----------1----------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0----------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01------------1---------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------10-------------1--------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0--------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------10----------------------1-----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------00001-----------0--10-----------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------------------10-10-----------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01------------------------1---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1---------------------0----------10-----------00-0--------00---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------01-----------1-----------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------1--------------------------------01-----------1-----------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------ ~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~0~0000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0---------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0-------------------------------------------------------------------------------------- ~~~~~~~~~~00000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0----------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------0------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0-1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------01---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~00~~~~0~~~~~~~~~~~0~0000~~000~000~~~~~ --------------0--------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------0-------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------0------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~00~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ----0---------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0-------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------01------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------00------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------01----------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------00----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-1---------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0-1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------00---------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------0-------------------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------0------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1-------0--1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1----------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------------11------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ---------------------------------------00------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -----------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1---------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1----------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~000000000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------0----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-----------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------0------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-----1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1--------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------11-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-----------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0----------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0---------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0-----------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0----------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----10-------1--------------------1------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------0-1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------11------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~0~~~~~~~~0~~~~~ ---------------------------------------1------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------11-----01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------0-------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~0~~~~~~ ----------------------------1-----------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------11----------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------10----------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------111---------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1-0---------------10------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------00------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------00------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------11---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------00---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --1----------1-------10----------------------------011------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1----------1-------01----------------------------101------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1----------1-------00----------------------------001------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0----------1-------11----------------------------110------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0----------1-------10----------------------------010------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0----------1-------01----------------------------100------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0----------1-------00----------------------------000------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 -------------------------0----------------------------0----------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1----------1-----------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------01-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1----------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0---------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------10------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01-----------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------------------0--0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------------------00------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ -----------------------------------------------1------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ----------------------------------1------------------------00----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0-00----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------10-------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1--------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -----------------------------------------------0-------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ----------------------------------------------0---------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -----------------------------------------------1--------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -------------------------------------------------------------00--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -------------1-----------1----------1---------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ---------------------------------------------0-------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -----------------------------------------------1-----------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ---------------------------------------------0--------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----------------------------------------------1------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ----------------------------------------------10-----------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -----------------------------------------------------------------00----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ---------------------------------------------0---------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0--------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------------------------1-------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ----------------------------------------------10-----------------1-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -----------------------------------------------------------------0-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ----------------------------------------------10-----------------110---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------------------------------------00---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------1-----------1------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -------1-------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------------------1-------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------------1-----------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------0----------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------------0----------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------0---------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ---------------------------1---------------0--1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1--------------0--1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------0--1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1------------0--1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------0--1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------11-1--------------0--------01------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1------------1-0--------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1-------------10--------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1--------------01-------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1--------------0--------001----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------1--------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------1-------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------1------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------0-----------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------------11---------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------0-------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------------------------1------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------------------------------0----------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -----------------------------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------------------------------------0-------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -------------1--------------------------------1----------------0---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -------------1---------------------------------0---------------0---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -------------1---------------------------------------------0--00---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ----0--------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -----------------------------------1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ----------------------------------------------0--------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -----0-------1------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------1--------0----------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------------------------------------0-----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------0------------------------------1----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------1------------------------------0----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0----------1---------------------------------------1---------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1----------1---------------------------------------0---------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------0------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1--------0----------------------------1------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1--------1----------------------------0------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1--------------------------------------0-----------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0----------1---------------------------------------1----------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1----------1---------------------------------------0----------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0----------1---------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1--------0----------------------------1-------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1--------1----------------------------0-------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1-------0------------------------------1------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1-------1------------------------------0------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1---------------------------------------0-----------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 ------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1--1---0------0010--1------------------------------------------------------0----------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1-----------0-----------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0----------------------------------------0---------------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1---------------------------------------------------------------------------1--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1------------------------------------------------------1--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------11--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0------------------------------------------------------0--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -------------1-----------0-------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -------1-----1-----------0-------------01--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------0-01--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0------------001--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-------------10--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------0-10--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0------------010--------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------0-01----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------0-10----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------001----------------------------0---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------010----------------------------0---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------01--------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------10--------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------1-----1-----------0-------------01--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------0-01--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0------------001--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-------------10--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------0-10--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0------------010--------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------0-01----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------0-10----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------001----------------------------0---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------010----------------------------0---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------01--------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------10--------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------1-----------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------1------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1---------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1--------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1-------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1------ ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1----- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1---- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1--- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1------------111-----------------0--------------------------------------------------00000000--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------1---------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------1---------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ -------------1------------------0-0-----------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------00----------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------0----------10----------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------0--------------------0--------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1----------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1---------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1--------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1---------------1-------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0--------------1-------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------1-----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0------------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---01--------1-----------1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1---1-------1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1----1------1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1-----0-----1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1------1----1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1---------0-1--------0-1------------------------------------0--------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------0--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------1--------------0--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------1-------------0--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------1------------0--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------0-----------0--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------------11-------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------1-----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~0~~~~~~ ----------------------------------------------0-----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~0~~~~~ -----------------------------------------------1----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~0~~~~~ ----------------------------------------------11----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~0~~~~~~ ----------------------------------------------00----------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0----------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------------00---------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0--------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ----------------------------------------------------------------------0-----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----0-----------------------------------------1--------------0--------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1----------1--------------0--------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ----------------------------------------------0------------00-----------0---------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------1--------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ----------------------------------1-------------------------0----------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--0----------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------01-----------1--0----------1---------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ------------------------------------------------------------0---------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +.o 157 +.ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ BGACK_030.Q VMA.Q cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q CLK_000_D_1_.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_2_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN +.ob DS_030 FPU_CS AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C CLK_000_D_2_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C cpu_est_3_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C CLK_000_D_0_.C CLK_000_D_1_.C RW_000.C inst_AS_030_000_SYNC.C inst_LDS_000_INT.C BGACK_030.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_AS_030_D0.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_DS_000_ENABLE.C inst_CLK_OUT_PRE_25.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.C A_0_.C inst_AS_000_INT.C DSACK1.C VMA.C RW.C inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_50.C CLK_EXP.C SIZE_1_ AHIGH_31_ AS_030 AS_000 UDS_000 LDS_000 BERR SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D VMA.T cpu_est_2_.D cpu_est_3_.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D CLK_000_D_1_.D inst_DTACK_D0.D inst_RESET_OUT.D CLK_000_D_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_D.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D CLK_000_D_2_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D SM_AMIGA_6_.D SM_AMIGA_4_.D SM_AMIGA_1_.D SM_AMIGA_0_.D RW_000.D RW.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D A_0_.D inst_CLK_030_H.D SM_AMIGA_5_.D SM_AMIGA_3_.T SM_AMIGA_2_.D SM_AMIGA_i_7_.D BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D +.p 488 +----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0-------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-----0----------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1-------------------------------------------------------------------------------------- ~~~~~~~~~~111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1111111~~111~1~~~~~111~111~1~~~~11~~~1~~~~~1~111 +----------------1------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1----------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------0---------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1--------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------------------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------010------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------10-1----------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------01----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1--0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------01-0----------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------1--------------------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1-------------0010--1----------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------1------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0--------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~1~~~~~~~~~~~~~~ +---------------------------------10------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0----------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1---------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------1----1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------1-----1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------01------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-----------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1---------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1---------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1---------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------0-------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~111111111~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1-----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1---------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------00000------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0-------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-11------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-11------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------010------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------10011-----------01--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------01----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +-1------------------11---------------------------111------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 +-1------------------10---------------------------011------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 +-1------------------01---------------------------101------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 +-1------------------00---------------------------001------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-0------------------11---------------------------110------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +-0------------------10---------------------------010------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0------------------01---------------------------100------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +---1--------1--------------------0--------0--0------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------------------1----------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0----------------------------1----------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0-----------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1--------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------0-----------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1---------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------0--1------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1--------------------------------0------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +------------------------------1---------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1--0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------10------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1----------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +------------1-----------------------------0--1-------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------0-------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1--0--------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +--------------------------------1---------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1-----0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +------------------------------------------0--1---------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1--------------------------------0---------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +---1--------1--------------------0--------0---------1--------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------------------------------------1-------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +---------------------------------------------0----------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +----------------------------------------------------------0---1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------------------1--------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1-----------------------------0---------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1--------------------------------1------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1-----------------------------1--0------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1-----------------------------0----------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +------------1--------------------------------1-------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +------------1-----------------------------1--0------------------11----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1---------------------------------------------------01----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +------------1-----------------------------1--0------------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +------------1-----------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1----------------------------------------------------11---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +------------1---------------------------------------------------111---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1-----------------------------1--0------------------111---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------1--------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +------------1-----------------------------0--------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1--------------------------------1-----------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1-----------------------------1--0-----------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +------------0---------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------------------00100-----------01--0------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1------------00100-----------01--0------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-----------------------------------------110-0------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1----------------------------110-0------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1-----------------------------0--1-------------1----------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1-----------------------------1----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1-----------------------------0--1-------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +------------1--------------------------------0-------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1-----------------------------0-----------------1----------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +------------1--------------------------------1--------------1----------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +---------------------------------1--------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1-----------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------1--------------------------------0--------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------1------------------------------------------------0----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +---1--------1--------------------0--------0---------1-----0-------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +---0---------------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------------------------------0----------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +---------------------------------------------0---------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +---------------------1----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------------------------------1------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------0-----------------------------1-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------1-----------------------------0-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-0-------------------------------------------------1----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-1-------------------------------------------------0----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------1------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------0---------------------------1-------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------1---------------------------0-------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +--------------------------------------------------1------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0-------------------------------------------------1-----------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-------------------------------------------------0-----------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1--------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------0---------------------------1--------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------1---------------------------0--------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------0-----------------------------1-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------1-----------------------------0-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------------------------------------1------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-----------------------------------------------------------------------------1----------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1--1---1------0010--1------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------1---------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------------------------0--1--------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------01---------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------1----1-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-------------1---1-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------0----0--1--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------10---0--1--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------1-------0--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-------------1------0--------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +---0--------------------0-----------------------------------------------------01--------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1------------------------------------------------------0--------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----------------------------1------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1----------------------------1--------------------------------1----------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-------------------------------0----------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +------0-----1-----------0----------0-01---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------0-----1-----------0----------0-10---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------1-----------0------------01-----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------1-----------0------------10-----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------------------0--------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0----------0-01---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------0-----1-----------0----------0-10---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------1-----------0------------01-----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------1-----------0------------10-----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------------------0-------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1------------111----------------0---------------------------------------------------00000000--- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1---------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1-----------------------10--------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------11--0------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1----------------------------11--0------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------------------------------------0--1------------1-----------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1-----------------------------0--1------------1-----------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------- ~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~0~0000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0---------------------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0--0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0-------------------------------------------------------------------------------------- ~~~~~~~~~~000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0----------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0-1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------01---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~0~~~~~~~~~~~0~0000~~000~00~00~~~~~ +-------------0--------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------0-------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------0------------------------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~00~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------10---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------00---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------11------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------00------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0--1----------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------01----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1---0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0--0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1-0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0-0----------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------00----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0------0--------------------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------0-0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------0------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------00------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1------0--1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1---------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------------------------------00-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1--------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1---------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1---------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------0----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1---------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0----1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0---1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0---------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~000000000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0-0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0-----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------1----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0----------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---10-------1-------------------1------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------1-----------------------------1--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------------0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~0~~~~~~ +-------------------------------------1----0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------11---0--1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0--------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~0~~~~~~~~ +----------------------------1-------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-1-------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-0-------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------11------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-11------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------10------------1--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------0--0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------11----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------00----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-1----------1-------10---------------------------011------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1----------1-------01---------------------------101------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1----------1-------00---------------------------001------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ +-0----------1-------11---------------------------110------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-0----------1-------10---------------------------010------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 +-0----------1-------01---------------------------100------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 +-0----------1-------00---------------------------000------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 +------------------------0----------------------------0----------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1---------1------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------0---------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------01-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1-------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0----------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------10------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0--1------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------0--1------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +---------------------------------1------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0-----0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------1------------------------------------------0--0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------00------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0----------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------------------00----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0-00----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1--0--------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0--1---------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------0------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +---------------------------------------------1---------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +------------------------------------------------------------00--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +------------1-----------1---------1----------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +------------------------------------------0---------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +--------------------------------------------0-------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +------------------------------------------0----------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +--------------------------------------------0--------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +------------------------------------------1--0------------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------------------------------00----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +------------------------------------------0-----------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +--------------------------------------------0---------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1--------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------------------------1--0------------------1-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------------------------------0-0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------------------------1--0------------------110---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-----------------------------------------------------------------00---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------1-----------1------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +------1-------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-----------------------------------1--------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------------------------------------1--------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +---------------------------------------------0-----------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------------------------------------------------------0----------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-----------------------------------------------------------0---------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------------1------------1---------------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1-------------1--------------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1--------------0-------------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1---------------1------------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1----------------1-----------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1-----------------------------0---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------0---------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------------------0------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-----------------------------------------------------------0----------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------------1----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +---------------------------------------------0-------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +-------------------------1---------------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------1--------------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------0-------------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------1------------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------1-----------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------------1-------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------------------------0----------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------------------------------0-------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1-------------------0---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +------------1--------------------------------0----------------0---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +------------1---------------------------------------------0--00---------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +---0--------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------1--------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +--01--------1-----------1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1---1-------1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1----1------1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1-----0-----1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1------1----1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1---------0-1-------0-1-------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1-----------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------------------0-------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----0-------1------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------1--------0----------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1------------------------------------0------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------0-----------------------------1-----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------1-----------------------------0-----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-0----------1--------------------------------------1----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1----------1--------------------------------------0----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------0------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1--------0---------------------------1-------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1--------1---------------------------0-------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1-------------------------------------0------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0----------1--------------------------------------1-----------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1----------1--------------------------------------0-----------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0----------1---------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1--------0---------------------------1--------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1--------1---------------------------0--------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1-------0-----------------------------1-------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1-------1-----------------------------0-------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1--------------------------------------0------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-----------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1--1---0------0010--1------------------------------------------------------0----------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0----------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------1-----------0-----------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-----------------------------------------0---------------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1---------------------------------------------------------------------------1--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1------------------------------------------------------1--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------11--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0------------------------------------------------------0--------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +------------1-----------0-------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +------1-----1-----------0------------01---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------0-01---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0-----------001---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0------------10---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------0-10---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0-----------010---------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0----------0-01-----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0----------0-10-----------------------------1---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------001-----------------------------0---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------010-----------------------------0---------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------01---------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------10---------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0--------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------1-----1-----------0------------01---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------0-01---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0-----------001---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0------------10---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------0-10---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0-----------010---------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0----------0-01-----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0----------0-10-----------------------------1---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------001-----------------------------0---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------010-----------------------------0---------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------01---------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------10---------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------1-----------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------1------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------1---------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------1--------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------1-------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------1------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------1------ ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1----- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------1---- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------------------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------1--- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------------------------------------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------1---------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------1---------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +------------1----------------------------1-1--------------------------1----------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-----------------------------------------1-1---------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------1--------------------------0----------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0--0----------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------0--1------------1--0----------1---------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ .end diff --git a/Logic/68030_tk.tt4 b/Logic/68030_tk.tt4 index 1a31480..9fd93a9 100644 --- a/Logic/68030_tk.tt4 +++ b/Logic/68030_tk.tt4 @@ -1,20 +1,20 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Aug 25 22:27:51 2016 +#$ DATE Wed Sep 14 23:54:26 2016 #$ MODULE BUS68030 -#$ PINS 61 AHIGH_30_ AHIGH_29_ SIZE_1_ AHIGH_28_ AHIGH_27_ AHIGH_31_ AHIGH_26_ - AHIGH_25_ A_DECODE_23_ AHIGH_24_ A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ - A_DECODE_19_ A_DECODE_18_ IPL_2_ A_DECODE_17_ A_DECODE_16_ FC_1_ AS_030 AS_000 - DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI - CLK_DIV_OUT IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ A_1_ DTACK AVEC E VPA RST RESET +#$ PINS 61 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 + UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 SIZE_0_ + CLK_OSZI AHIGH_30_ CLK_DIV_OUT AHIGH_29_ AHIGH_28_ FPU_CS AHIGH_27_ FPU_SENSE + AHIGH_26_ AHIGH_25_ DTACK AHIGH_24_ AVEC A_DECODE_22_ E A_DECODE_21_ VPA + A_DECODE_20_ A_DECODE_19_ RST A_DECODE_18_ RESET A_DECODE_17_ A_DECODE_16_ AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH - CIIN SIZE_0_ IPL_030_2_ RW_000 BG_000 BGACK_030 A_0_ IPL_030_1_ IPL_030_0_ - CLK_EXP DSACK1 VMA RW -#$ NODES 44 un10_ciin_i cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_ - inst_AS_000_INT inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 - inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA - CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_DTACK_D0 - inst_RESET_OUT CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 - inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ inst_AMIGA_BUS_ENABLE_DMA_HIGH + CIIN IPL_1_ IPL_0_ FC_0_ A_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 CLK_EXP DSACK1 + VMA RW A_0_ IPL_030_1_ IPL_030_0_ +#$ NODES 44 cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AS_000_INT + inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC + inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ + SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D CLK_000_D_1_ inst_DTACK_D0 inst_RESET_OUT + CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_OUT_PRE_D + IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_D_2_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_1_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ CIIN_0 @@ -24,13 +24,13 @@ .ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ - BGACK_030.Q un10_ciin_i VMA.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q - cpu_est_3_.Q inst_AS_000_INT.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q + BGACK_030.Q VMA.Q cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q + inst_AS_000_INT.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q - inst_VPA_D.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_1_.Q CLK_000_D_0_.Q + inst_VPA_D.Q CLK_000_D_1_.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q - IPL_D0_1_.Q IPL_D0_2_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q + IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_2_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q @@ -38,229 +38,220 @@ AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN CIIN_0 -.ob AHIGH_30_ AHIGH_30_.OE AHIGH_29_ AHIGH_29_.OE SIZE_1_ SIZE_1_.OE AHIGH_28_ - AHIGH_28_.OE AHIGH_27_ AHIGH_27_.OE AHIGH_31_ AHIGH_31_.OE AHIGH_26_ - AHIGH_26_.OE AHIGH_25_ AHIGH_25_.OE AHIGH_24_ AHIGH_24_.OE AS_030% AS_030.OE - AS_000% AS_000.OE DS_030% DS_030.OE UDS_000% UDS_000.OE LDS_000% LDS_000.OE BERR - BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C FPU_CS% AVEC E RESET RESET.OE +.ob SIZE_1_ SIZE_1_.OE AHIGH_31_ AHIGH_31_.OE AS_030% AS_030.OE AS_000% + AS_000.OE DS_030% DS_030.OE UDS_000% UDS_000.OE LDS_000% LDS_000.OE BERR BERR.OE + SIZE_0_ SIZE_0_.OE AHIGH_30_ AHIGH_30_.OE CLK_DIV_OUT.D CLK_DIV_OUT.C AHIGH_29_ + AHIGH_29_.OE AHIGH_28_ AHIGH_28_.OE FPU_CS% AHIGH_27_ AHIGH_27_.OE AHIGH_26_ + AHIGH_26_.OE AHIGH_25_ AHIGH_25_.OE AHIGH_24_ AHIGH_24_.OE AVEC E RESET RESET.OE AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW% AMIGA_BUS_ENABLE_HIGH - CIIN CIIN.OE SIZE_0_ SIZE_0_.OE IPL_030_2_.D% IPL_030_2_.C RW_000.D% RW_000.C - RW_000.OE BG_000.D% BG_000.C BGACK_030.D BGACK_030.C A_0_.D A_0_.C A_0_.OE - IPL_030_1_.D% IPL_030_1_.C IPL_030_0_.D% IPL_030_0_.C CLK_EXP.D CLK_EXP.C - DSACK1.D% DSACK1.C DSACK1.OE VMA.T VMA.C RW.D% RW.C RW.OE un10_ciin_i% - cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D.X1 - cpu_est_2_.D.X2 cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C inst_AS_000_INT.D% - inst_AS_000_INT.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D% - inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D% inst_AS_030_D0.C - inst_AS_030_000_SYNC.D% inst_AS_030_000_SYNC.C inst_BGACK_030_INT_D.D% - inst_BGACK_030_INT_D.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D - inst_DS_000_DMA.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C - SIZE_DMA_0_.D% SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D% - inst_VPA_D.C inst_DTACK_D0.D% inst_DTACK_D0.C inst_RESET_OUT.D inst_RESET_OUT.C - CLK_000_D_1_.D CLK_000_D_1_.C CLK_000_D_0_.D CLK_000_D_0_.C - inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_25.D - inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C IPL_D0_0_.D% - IPL_D0_0_.C IPL_D0_1_.D% IPL_D0_1_.C IPL_D0_2_.D% IPL_D0_2_.C + CIIN CIIN.OE IPL_030_2_.D% IPL_030_2_.C RW_000.D% RW_000.C RW_000.OE BG_000.D% + BG_000.C BGACK_030.D BGACK_030.C CLK_EXP.D CLK_EXP.C DSACK1.D% DSACK1.C + DSACK1.OE VMA.T VMA.C RW.D% RW.C RW.OE A_0_.D A_0_.C A_0_.OE IPL_030_1_.D% + IPL_030_1_.C IPL_030_0_.D% IPL_030_0_.C cpu_est_2_.D.X1 cpu_est_2_.D.X2 + cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D + cpu_est_1_.C inst_AS_000_INT.D% inst_AS_000_INT.C + inst_AMIGA_BUS_ENABLE_DMA_LOW.D% inst_AMIGA_BUS_ENABLE_DMA_LOW.C + inst_AS_030_D0.D% inst_AS_030_D0.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C + inst_BGACK_030_INT_D.D% inst_BGACK_030_INT_D.C inst_AS_000_DMA.D + inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C CYCLE_DMA_0_.D + CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D% SIZE_DMA_0_.C + SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D% inst_VPA_D.C CLK_000_D_1_.D + CLK_000_D_1_.C inst_DTACK_D0.D% inst_DTACK_D0.C inst_RESET_OUT.D + inst_RESET_OUT.C CLK_000_D_0_.D CLK_000_D_0_.C inst_CLK_OUT_PRE_50.D + inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C + inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C IPL_D0_0_.D% IPL_D0_0_.C IPL_D0_1_.D% + IPL_D0_1_.C IPL_D0_2_.D% IPL_D0_2_.C CLK_000_D_2_.D CLK_000_D_2_.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D% inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_UDS_000_INT.D% inst_UDS_000_INT.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D.X1 RST_DLY_1_.D.X2 RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C inst_CLK_030_H.D inst_CLK_030_H.C SM_AMIGA_5_.D - SM_AMIGA_5_.C SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 SM_AMIGA_3_.C SM_AMIGA_2_.D - SM_AMIGA_2_.C SM_AMIGA_i_7_.D.X1 SM_AMIGA_i_7_.D.X2 SM_AMIGA_i_7_.C CIIN_0% + SM_AMIGA_5_.C SM_AMIGA_3_.T SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C + SM_AMIGA_i_7_.D.X1 SM_AMIGA_i_7_.D.X2 SM_AMIGA_i_7_.C CIIN_0 .phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 192 ------------------------------------------------------------------------------------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0-------------------1--------------------------------------------------- 01010001010101010101000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------01------------------------------------------------------ 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0----------------------------------------------------------------------- 00000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0-----------------------------------------0----------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0---------------------------------------------0------------------ 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1-------------------1--------------------------------------------------- 00000000000000000000010001010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------0----------------------------------------0----------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------10-------------------------------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------01--------------------------------------- 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1--1---1------0010--1------------------------------------------------------0------------------ 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------1---------------------------------------------- 00000000000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1--------------------------------------------------------------------------------------- 00000000000000000000000000000001000000000000001010010101001010101001010001010010101010101010101010101010101010101010101010101010101010101010101001010101001010010 ---1--1---0------0010--1------------------------------------------------------0------------------ 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------001----------------------------------------------------------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------110----------------------------------------------------------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------0--------------------------------------------------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0-----------------------------------------------------01---------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1------------------------------------------------------0---------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0-------0--------------------------------------------------------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1---------1------------------------------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0----------------------------1------------------------------------------ 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -1------------111-----------------0--------------------------------------------------00000000---- 00000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------10------------------------------------------------------ 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------11----------------------------110------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------10----------------------------010------------------------------------------- 00000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------01----------------------------100------------------------------------------- 00000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------00----------------------------000------------------------------------------- 00000000000000000000000000000000000000000000010000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +.p 183 +---------------------------------------01------------------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0----------------------------------------------------------------------- 01000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0-------------------1--------------------------------------------------- 00010100010000000001000101001010101000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------0------------------------------------------0----------------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0----------------------------------------------0------------------ 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1-------------------1--------------------------------------------------- 00000001000101000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0-----------------------------------------0----------------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------10-------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------01--------------------------------------- 00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1--1---1------0010--1------------------------------------------------------0------------------ 00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------10------------------------------------------------------- 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------1----------------------------------------------- 00000000000000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1--------------------------------------------------------------------------------------- 00000000000000000000010000000000000000000000001010010101010010100100101001010101010101010101010101010101010101010101010101010101010101010101010100101010101010010 +--1--1---0------0010--1------------------------------------------------------0------------------ 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------10-1------------------------------------------------------------------ 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------01-0------------------------------------------------------------------ 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------0--------------------------------------------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0-----------------------------------------------------01---------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1------------------------------------------------------0---------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0------0---------------------------------------------------------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1--------1-------------------------------------------------------------- 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0----------------------------1------------------------------------------ 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +1------------111----------------0---------------------------------------------------00000000---- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-----------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------11---------------------------110-------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------10---------------------------010-------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------01---------------------------100-------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------00---------------------------000-------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0----------1---------------------------------------------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0----------------------------1-------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------1----------------------------0-------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0------------------------------1------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------1------------------------------0------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1---------------------------------------0-----------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------1----------------0---------1----------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1---------------------------------0---------------0---------1----------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0---------------------------1--------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------1---------------------------0--------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0-----------------------------1-------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------1-----------------------------0-------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------------------------------------0------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------1-------------------0---------1----------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------------------------------0----------------0---------1----------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------1---------------------------------------------0--00---------1----------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------01-----------1--0----------1---------------------0- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----10-------1--------------------1------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------0--1------------1--0----------1---------------------0- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---10-------1-------------------1------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0-------1------------------------------------------------------------0---------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------0----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000001010000000000000000000000000000000000001010000000100000000000000000000000001000000000000000000000000000000000 +------------0----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000001000000000001000000000000000000000100010100000001000000000000000000000000000100000000000000000000000000000000 -----1------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------1---------------------------------------01-------------------------------1----------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1----------1-------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0-------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------01----------------------------101------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------00----------------------------001------------------------------------------- 00000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0------------------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0----------------------------1------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------1----------------------------0------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------------0-----------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1---------------------------------------1----------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1---------------------------------------0----------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------10----------------------------011------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0----------------------------------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------------------------------------0-----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0------------------------------1----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------1------------------------------0----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1---------------------------------------1---------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1---------------------------------------0---------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------10-------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 -------------1--------------------0--------------------0--------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------0-------------0--------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------00000--------------01------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------------11100-----------0--10------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1----------1---------------------------0-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0------------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0-------------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1-----------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1------------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------0-----------------10------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------01------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1----------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1-----------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------10-0--------------10------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------11----------------10------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------0--1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1--------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1---------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------111---------------10------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------01-----------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000 -------------1------------------0-0-----------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1----------10----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1-------0--1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1----------------------------------------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------00----------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ---01--------1-----------1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1---1-------1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1----1------1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1-----0-----1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1------1----1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1---------0-1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000 -------0-----------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------11-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------00-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------11-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000 -------0------------------------------1-----------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 -------1-----------------------------1-------------------------------1----------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1------------------------------0----------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------1------1--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------0------01-------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------1-------0-------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------01--------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0--------------1-----1--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------10-----01-------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0--------------1------0-------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1----------0------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 -------------1-----------1---------------0------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 -------------------------1----------1-----1------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 -------------------------0-------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 ------------01----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 -----------0-1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 -------------1-------------------------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 -------------1--------------------------------10-----------------111----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 -----------------------------------------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 --------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 ------------------------------------------------0------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 ------------------------------------------------01----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 ------------------------------------------------10----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 -------------------------------------------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 -------------1--------0-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 -------------1-------0--------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 --0----------1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 -------------1----------00----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 -------------1-----------1----------1-----------------0------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 --------------------------------------------------------1--0------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 -----------------------------------------------------------1-----------------------10--------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 -------------1--------------------------------01------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 -------------1--------------------0----------------------1------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 -------------1--------------------------------01-----------1-----------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 -------------1--------------------------------------------00------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 -------------1---------------------------------------------1---------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 ----1--------1---------------------0----------10-------------------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 -------------1--------------------------------1------------1-------------1--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 -------------1---------------------------------0-----------1-------------1--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 -------------1--------------------------------10----------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 -------------1--------------------------------1-------------1---------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 -------------1---------------------------------0------------1---------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 -------------1--------------------------------01------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 -------------1--------------------------------0--------------1--------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 -------------1---------------------------------1-------------1--------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 -------------1--------------------------------1---------------1-------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 -------------1---------------------------------0--------------1-------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 -------------1--------------------------------0------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -------------1---------------------------------1-----------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -------------1--------------------------------10-----------------0------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -------------1---------------------------------------------------111----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -------------1--------------------------------10-----------------1-0----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -------------1--------------------------------10-----------------10------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -------------1----------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -------------1--------------------------------10-----------------11------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 -------------1-----------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 -------0-----1-----------0-----------0-01--------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------0-----1-----------0-----------0-10--------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------------1-----------0-------------01----------------------------1---------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------------1-----------0-------------10----------------------------1---------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------0-----1-----------0-----------0-01--------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------0-----1-----------0-----------0-10--------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------------1-----------0-------------01----------------------------1---------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------------1-----------0-------------10----------------------------1---------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------------1--------------------------------0-----------------------1-----------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 -------------1---------------------------------1----------------------1-----------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 -------------1--------------------------------01------------1----------0------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 -------------1-------------00001-----------0--10-----------------------1----------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 -------------1-----------------------------10-10-----------------------1----------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 -------------1--------------------------------01------------1---------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000 -------------1---------------------------------------------------------1----------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 -------------1-------------00001-----------0--10-----------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1-----------------------------10-10-----------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1--------------------------------1-------------------------1---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1---------------------------------0------------------------1---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1--------------------------------01-----------000--------000---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 ----0--------1---------------------------------------------0000-------000---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1---------------------1-----------------------0000-------000---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------0------------0000-------000---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1---------------------------------1-----------0000-------000---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------01-----------1----------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------10-------------1--------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------10----------------------1-----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1-------------00001-----------0--10-----------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1-----------------------------10-10-----------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------01------------------------1---------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 ----1--------1---------------------0----------10-----------00-0--------00---------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----0---------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-----1------------------------------------0--1--------------------------------1----------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------------------0---------------------0----------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------1--0--------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +---1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +------------0------------0---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------00000------------0--1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------10011-----------01--0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1---------1----------------------------0-------------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0-------------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1---------1--------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0-------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------01---------------------------101-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------00---------------------------001-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0------------------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0---------------------------1-------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------1---------------------------0-------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------------------------------------0------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1--------------------------------------1-----------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1--------------------------------------0-----------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------10---------------------------011-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0----------------------------------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------------------------------0------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0-----------------------------1-----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------1-----------------------------0-----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1--------------------------------------1----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1--------------------------------------0----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------1--------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------11------------1--0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------010------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------1--------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------1-----------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------1-11------------1--0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1-------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1----------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0-------------1--0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------01------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1---------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------010------------1--0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------0-0--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------0--1------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000000 +------------1----------10----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1------0--1------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1----------------------------------------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +---0-----------------------------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +--1-------------0010--1----------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0--------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------10------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1--------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000 +------0----------------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------11--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------00--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------11-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000 +------0-----------------------------1------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------1----------------------------1--------------------------------1----------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------1-------------------------------0----------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------1----1-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------0----0--1--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------1-------0--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------01---------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-------------1---1-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------10---0--1--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-------------1------0--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1---------0------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +------------1-----------1--------------0-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +------------------------1---------1-----1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +------------------------0-------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-----------01----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +---------------------------------------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +----------0-1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +------------1-------------------------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +------------1-----------------------------1--0------------------111----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +-------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 +----------------------------------------------0------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 +----------------------------------------------01------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 +----------------------------------------------10------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 +-----------------------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 +------------1--------0-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +------------1-------0--------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +-0----------1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 +------------------------------------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +------------1----------00----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +------------1-----------1---------1------------------0------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +-------------------------------------------------------1--0------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +----------------------------------------------------------1-----------------------10--------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +------------1-------------------0-----------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +------------1-----------------------------0--1-------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +------------1-----------------------------0--1------------1-----------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +------------1--------------------------------------------00------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +------------1---------------------------------------------1---------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +------------1-----------------------------1---------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------1--------------------------------0------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +---1--------1--------------------0--------0---------1-----0-------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------1-----------------------------1----------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1--------------------------------0-------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1-----------------------------1--0-----------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1-----------------------------0--1-------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1-----------------------------0-----------------1----------0------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1--------------------------------1--------------1----------0------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1-----------------------------1------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +------------1--------------------------------0---------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +------------1-----------------------------0---------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +------------1--------------------------------1------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +------------1-----------------------------1--0------------------0------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +------------1---------------------------------------------------111----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +------------1-----------------------------1--0------------------1-0----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 +------------1-----------------------------1--0------------------10------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 +------------1----------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1-----------------------------1--0------------------11------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 +------------1-----------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 +------0-----1-----------0----------0-01---------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------0-----1-----------0----------0-10---------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1-----------0------------01-----------------------------1---------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1-----------0------------10-----------------------------1---------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------0-----1-----------0----------0-01---------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------0-----1-----------0----------0-10---------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1-----------0------------01-----------------------------1---------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1-----------0------------10-----------------------------1---------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1-----------------------------0--------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +------------1--------------------------------1-----------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +------------0---------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------------------00100-----------01--0------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-----------------------------------------110-0------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +------------1-----------------------------0--1-------------1----------0------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-----------------------------------------11--0------------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +------------1------------00100-----------01--0------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1----------------------------110-0------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1-----------------------------1----------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1--------------------------------0-------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1----------------------------11--0------------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1-----------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +---1--------1--------------------0--------0---------1--------0----------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +---1--------1--------------------0--------0--0------1-------------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +------------1-----------------------------0--1---------------1----------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 .end diff --git a/Logic/68030_tk.tte b/Logic/68030_tk.tte index 42f0005..48e0d6d 100644 --- a/Logic/68030_tk.tte +++ b/Logic/68030_tk.tte @@ -1,20 +1,20 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Aug 25 22:27:51 2016 +#$ DATE Wed Sep 14 23:54:26 2016 #$ MODULE BUS68030 -#$ PINS 61 AHIGH_30_ AHIGH_29_ SIZE_1_ AHIGH_28_ AHIGH_27_ AHIGH_31_ AHIGH_26_ - AHIGH_25_ A_DECODE_23_ AHIGH_24_ A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ - A_DECODE_19_ A_DECODE_18_ IPL_2_ A_DECODE_17_ A_DECODE_16_ FC_1_ AS_030 AS_000 - DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI - CLK_DIV_OUT IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ A_1_ DTACK AVEC E VPA RST RESET +#$ PINS 61 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 + UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 SIZE_0_ + CLK_OSZI AHIGH_30_ CLK_DIV_OUT AHIGH_29_ AHIGH_28_ FPU_CS AHIGH_27_ FPU_SENSE + AHIGH_26_ AHIGH_25_ DTACK AHIGH_24_ AVEC A_DECODE_22_ E A_DECODE_21_ VPA + A_DECODE_20_ A_DECODE_19_ RST A_DECODE_18_ RESET A_DECODE_17_ A_DECODE_16_ AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH - CIIN SIZE_0_ IPL_030_2_ RW_000 BG_000 BGACK_030 A_0_ IPL_030_1_ IPL_030_0_ - CLK_EXP DSACK1 VMA RW -#$ NODES 44 un10_ciin_i cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_ - inst_AS_000_INT inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 - inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA - CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_DTACK_D0 - inst_RESET_OUT CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 - inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ inst_AMIGA_BUS_ENABLE_DMA_HIGH + CIIN IPL_1_ IPL_0_ FC_0_ A_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 CLK_EXP DSACK1 + VMA RW A_0_ IPL_030_1_ IPL_030_0_ +#$ NODES 44 cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AS_000_INT + inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC + inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ + SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D CLK_000_D_1_ inst_DTACK_D0 inst_RESET_OUT + CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_OUT_PRE_D + IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_D_2_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_1_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ CIIN_0 @@ -24,13 +24,13 @@ .ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ - BGACK_030.Q un10_ciin_i VMA.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q - cpu_est_3_.Q inst_AS_000_INT.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q + BGACK_030.Q VMA.Q cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q + inst_AS_000_INT.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q - inst_VPA_D.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_1_.Q CLK_000_D_0_.Q + inst_VPA_D.Q CLK_000_D_1_.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q - IPL_D0_1_.Q IPL_D0_2_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q + IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_2_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q @@ -38,229 +38,220 @@ AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN CIIN_0 -.ob AHIGH_30_ AHIGH_30_.OE AHIGH_29_ AHIGH_29_.OE SIZE_1_ SIZE_1_.OE AHIGH_28_ - AHIGH_28_.OE AHIGH_27_ AHIGH_27_.OE AHIGH_31_ AHIGH_31_.OE AHIGH_26_ - AHIGH_26_.OE AHIGH_25_ AHIGH_25_.OE AHIGH_24_ AHIGH_24_.OE AS_030- AS_030.OE - AS_000- AS_000.OE DS_030- DS_030.OE UDS_000- UDS_000.OE LDS_000- LDS_000.OE BERR - BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C FPU_CS- AVEC E RESET RESET.OE +.ob SIZE_1_ SIZE_1_.OE AHIGH_31_ AHIGH_31_.OE AS_030- AS_030.OE AS_000- + AS_000.OE DS_030- DS_030.OE UDS_000- UDS_000.OE LDS_000- LDS_000.OE BERR BERR.OE + SIZE_0_ SIZE_0_.OE AHIGH_30_ AHIGH_30_.OE CLK_DIV_OUT.D CLK_DIV_OUT.C AHIGH_29_ + AHIGH_29_.OE AHIGH_28_ AHIGH_28_.OE FPU_CS- AHIGH_27_ AHIGH_27_.OE AHIGH_26_ + AHIGH_26_.OE AHIGH_25_ AHIGH_25_.OE AHIGH_24_ AHIGH_24_.OE AVEC E RESET RESET.OE AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW- AMIGA_BUS_ENABLE_HIGH - CIIN CIIN.OE SIZE_0_ SIZE_0_.OE IPL_030_2_.D- IPL_030_2_.C RW_000.D- RW_000.C - RW_000.OE BG_000.D- BG_000.C BGACK_030.D BGACK_030.C A_0_.D A_0_.C A_0_.OE - IPL_030_1_.D- IPL_030_1_.C IPL_030_0_.D- IPL_030_0_.C CLK_EXP.D CLK_EXP.C - DSACK1.D- DSACK1.C DSACK1.OE VMA.T VMA.C RW.D- RW.C RW.OE un10_ciin_i- - cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D.X1 - cpu_est_2_.D.X2 cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C inst_AS_000_INT.D- - inst_AS_000_INT.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D- - inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D- inst_AS_030_D0.C - inst_AS_030_000_SYNC.D- inst_AS_030_000_SYNC.C inst_BGACK_030_INT_D.D- - inst_BGACK_030_INT_D.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D - inst_DS_000_DMA.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C - SIZE_DMA_0_.D- SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D- - inst_VPA_D.C inst_DTACK_D0.D- inst_DTACK_D0.C inst_RESET_OUT.D inst_RESET_OUT.C - CLK_000_D_1_.D CLK_000_D_1_.C CLK_000_D_0_.D CLK_000_D_0_.C - inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_25.D - inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C IPL_D0_0_.D- - IPL_D0_0_.C IPL_D0_1_.D- IPL_D0_1_.C IPL_D0_2_.D- IPL_D0_2_.C + CIIN CIIN.OE IPL_030_2_.D- IPL_030_2_.C RW_000.D- RW_000.C RW_000.OE BG_000.D- + BG_000.C BGACK_030.D BGACK_030.C CLK_EXP.D CLK_EXP.C DSACK1.D- DSACK1.C + DSACK1.OE VMA.T VMA.C RW.D- RW.C RW.OE A_0_.D A_0_.C A_0_.OE IPL_030_1_.D- + IPL_030_1_.C IPL_030_0_.D- IPL_030_0_.C cpu_est_2_.D.X1 cpu_est_2_.D.X2 + cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D + cpu_est_1_.C inst_AS_000_INT.D- inst_AS_000_INT.C + inst_AMIGA_BUS_ENABLE_DMA_LOW.D- inst_AMIGA_BUS_ENABLE_DMA_LOW.C + inst_AS_030_D0.D- inst_AS_030_D0.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C + inst_BGACK_030_INT_D.D- inst_BGACK_030_INT_D.C inst_AS_000_DMA.D + inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C CYCLE_DMA_0_.D + CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D- SIZE_DMA_0_.C + SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D- inst_VPA_D.C CLK_000_D_1_.D + CLK_000_D_1_.C inst_DTACK_D0.D- inst_DTACK_D0.C inst_RESET_OUT.D + inst_RESET_OUT.C CLK_000_D_0_.D CLK_000_D_0_.C inst_CLK_OUT_PRE_50.D + inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C + inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C IPL_D0_0_.D- IPL_D0_0_.C IPL_D0_1_.D- + IPL_D0_1_.C IPL_D0_2_.D- IPL_D0_2_.C CLK_000_D_2_.D CLK_000_D_2_.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_UDS_000_INT.D- inst_UDS_000_INT.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D.X1 RST_DLY_1_.D.X2 RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C inst_CLK_030_H.D inst_CLK_030_H.C SM_AMIGA_5_.D - SM_AMIGA_5_.C SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 SM_AMIGA_3_.C SM_AMIGA_2_.D - SM_AMIGA_2_.C SM_AMIGA_i_7_.D.X1 SM_AMIGA_i_7_.D.X2 SM_AMIGA_i_7_.C CIIN_0- + SM_AMIGA_5_.C SM_AMIGA_3_.T SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C + SM_AMIGA_i_7_.D.X1 SM_AMIGA_i_7_.D.X2 SM_AMIGA_i_7_.C CIIN_0 .phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 192 ------------------------------------------------------------------------------------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0-------------------1--------------------------------------------------- 01010001010101010101000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------01------------------------------------------------------ 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0----------------------------------------------------------------------- 00000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0-----------------------------------------0----------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0---------------------------------------------0------------------ 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1-------------------1--------------------------------------------------- 00000000000000000000010001010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------0----------------------------------------0----------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------10-------------------------------------- 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------01--------------------------------------- 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1--1---1------0010--1------------------------------------------------------0------------------ 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------1---------------------------------------------- 00000000000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1--------------------------------------------------------------------------------------- 00000000000000000000000000000001000000000000001010010101001010101001010001010010101010101010101010101010101010101010101010101010101010101010101001010101001010010 ---1--1---0------0010--1------------------------------------------------------0------------------ 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------001----------------------------------------------------------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------110----------------------------------------------------------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------0--------------------------------------------------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0-----------------------------------------------------01---------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1------------------------------------------------------0---------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0-------0--------------------------------------------------------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1---------1------------------------------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0----------------------------1------------------------------------------ 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -1------------111-----------------0--------------------------------------------------00000000---- 00000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------10------------------------------------------------------ 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------11----------------------------110------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------10----------------------------010------------------------------------------- 00000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------01----------------------------100------------------------------------------- 00000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------00----------------------------000------------------------------------------- 00000000000000000000000000000000000000000000010000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +.p 183 +---------------------------------------01------------------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0----------------------------------------------------------------------- 01000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0-------------------1--------------------------------------------------- 00010100010000000001000101001010101000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------0------------------------------------------0----------------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0----------------------------------------------0------------------ 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1-------------------1--------------------------------------------------- 00000001000101000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0-----------------------------------------0----------------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------10-------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------01--------------------------------------- 00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1--1---1------0010--1------------------------------------------------------0------------------ 00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------10------------------------------------------------------- 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------1----------------------------------------------- 00000000000000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1--------------------------------------------------------------------------------------- 00000000000000000000010000000000000000000000001010010101010010100100101001010101010101010101010101010101010101010101010101010101010101010101010100101010101010010 +--1--1---0------0010--1------------------------------------------------------0------------------ 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------10-1------------------------------------------------------------------ 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------01-0------------------------------------------------------------------ 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------0--------------------------------------------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0-----------------------------------------------------01---------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1------------------------------------------------------0---------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0------0---------------------------------------------------------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1--------1-------------------------------------------------------------- 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0----------------------------1------------------------------------------ 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +1------------111----------------0---------------------------------------------------00000000---- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-----------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------11---------------------------110-------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------10---------------------------010-------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------01---------------------------100-------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------00---------------------------000-------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0----------1---------------------------------------------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0----------------------------1-------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------1----------------------------0-------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0------------------------------1------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------1------------------------------0------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1---------------------------------------0-----------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------1----------------0---------1----------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1---------------------------------0---------------0---------1----------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0---------------------------1--------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------1---------------------------0--------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0-----------------------------1-------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------1-----------------------------0-------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------------------------------------0------------------------0------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------1-------------------0---------1----------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------------------------------0----------------0---------1----------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------1---------------------------------------------0--00---------1----------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------01-----------1--0----------1---------------------0- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----10-------1--------------------1------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------0--1------------1--0----------1---------------------0- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---10-------1-------------------1------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0-------1------------------------------------------------------------0---------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------0----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000001010000000000000000000000000000000000001010000000100000000000000000000000001000000000000000000000000000000000 +------------0----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000001000000000001000000000000000000000100010100000001000000000000000000000000000100000000000000000000000000000000 -----1------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------1---------------------------------------01-------------------------------1----------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1----------1-------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0-------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------01----------------------------101------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------00----------------------------001------------------------------------------- 00000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0------------------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0----------------------------1------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------1----------------------------0------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------------0-----------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1---------------------------------------1----------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1---------------------------------------0----------------------0-------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------10----------------------------011------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0----------------------------------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------------------------------------0-----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0------------------------------1----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------1------------------------------0----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1---------------------------------------1---------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1---------------------------------------0---------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------10-------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 -------------1--------------------0--------------------0--------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------0-------------0--------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------00000--------------01------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------------11100-----------0--10------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1----------1---------------------------0-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0------------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0-------------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1-----------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1------------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------0-----------------10------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------01------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1----------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1-----------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------10-0--------------10------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------11----------------10------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------0--1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1--------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1---------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------111---------------10------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------01-----------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000 -------------1------------------0-0-----------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1----------10----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1-------0--1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1----------------------------------------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------00----------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ---01--------1-----------1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1---1-------1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1----1------1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1-----0-----1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1------1----1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1---------0-1--------0-1------------------------------------0--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000 -------0-----------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------11-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------00-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------11-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000 -------0------------------------------1-----------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 -------1-----------------------------1-------------------------------1----------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1------------------------------0----------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------1------1--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------0------01-------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------1-------0-------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------01--------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0--------------1-----1--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------10-----01-------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0--------------1------0-------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1----------0------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 -------------1-----------1---------------0------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 -------------------------1----------1-----1------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 -------------------------0-------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 ------------01----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 -----------0-1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 -------------1-------------------------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 -------------1--------------------------------10-----------------111----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 -----------------------------------------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 --------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 ------------------------------------------------0------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 ------------------------------------------------01----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 ------------------------------------------------10----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 -------------------------------------------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 -------------1--------0-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 -------------1-------0--------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 --0----------1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 -------------1----------00----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 -------------1-----------1----------1-----------------0------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 --------------------------------------------------------1--0------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 -----------------------------------------------------------1-----------------------10--------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 -------------1--------------------------------01------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 -------------1--------------------0----------------------1------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 -------------1--------------------------------01-----------1-----------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 -------------1--------------------------------------------00------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 -------------1---------------------------------------------1---------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 ----1--------1---------------------0----------10-------------------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 -------------1--------------------------------1------------1-------------1--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 -------------1---------------------------------0-----------1-------------1--------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 -------------1--------------------------------10----------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 -------------1--------------------------------1-------------1---------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 -------------1---------------------------------0------------1---------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 -------------1--------------------------------01------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 -------------1--------------------------------0--------------1--------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 -------------1---------------------------------1-------------1--------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 -------------1--------------------------------1---------------1-------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 -------------1---------------------------------0--------------1-------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 -------------1--------------------------------0------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -------------1---------------------------------1-----------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -------------1--------------------------------10-----------------0------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -------------1---------------------------------------------------111----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -------------1--------------------------------10-----------------1-0----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -------------1--------------------------------10-----------------10------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -------------1----------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -------------1--------------------------------10-----------------11------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 -------------1-----------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 -------0-----1-----------0-----------0-01--------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------0-----1-----------0-----------0-10--------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------------1-----------0-------------01----------------------------1---------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------------1-----------0-------------10----------------------------1---------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------0-----1-----------0-----------0-01--------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------0-----1-----------0-----------0-10--------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------------1-----------0-------------01----------------------------1---------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------------1-----------0-------------10----------------------------1---------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 -------------1--------------------------------0-----------------------1-----------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 -------------1---------------------------------1----------------------1-----------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 -------------1--------------------------------01------------1----------0------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 -------------1-------------00001-----------0--10-----------------------1----------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 -------------1-----------------------------10-10-----------------------1----------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 -------------1--------------------------------01------------1---------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000 -------------1---------------------------------------------------------1----------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 -------------1-------------00001-----------0--10-----------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1-----------------------------10-10-----------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1--------------------------------1-------------------------1---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1---------------------------------0------------------------1---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1--------------------------------01-----------000--------000---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 ----0--------1---------------------------------------------0000-------000---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1---------------------1-----------------------0000-------000---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------0------------0000-------000---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1---------------------------------1-----------0000-------000---------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------01-----------1----------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------10-------------1--------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------10----------------------1-----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1-------------00001-----------0--10-----------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1-----------------------------10-10-----------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------01------------------------1---------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 ----1--------1---------------------0----------10-----------00-0--------00---------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1--------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 ----0---------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-----1------------------------------------0--1--------------------------------1----------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------------------0---------------------0----------------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------1--0--------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +---1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +------------0------------0---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------00000------------0--1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------10011-----------01--0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1---------1----------------------------0-------------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0-------------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1---------1--------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0-------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------01---------------------------101-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------00---------------------------001-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0------------------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0---------------------------1-------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------1---------------------------0-------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------------------------------------0------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1--------------------------------------1-----------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1--------------------------------------0-----------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------10---------------------------011-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0----------------------------------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------------------------------0------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0-----------------------------1-----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------1-----------------------------0-----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1--------------------------------------1----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1--------------------------------------0----------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------1--------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------11------------1--0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------010------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------1--------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------1-----------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------1-11------------1--0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1-------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1----------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0-------------1--0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------01------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1---------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------010------------1--0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------0-0--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------0--1------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000000 +------------1----------10----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1------0--1------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1----------------------------------------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +---0-----------------------------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +--1-------------0010--1----------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0--------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------10------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1--------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000 +------0----------------------------1------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------11--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------00--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------11-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000 +------0-----------------------------1------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------1----------------------------1--------------------------------1----------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------1-------------------------------0----------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------1----1-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------0----0--1--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------1-------0--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------01---------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-------------1---1-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------10---0--1--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-------------1------0--------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1---------0------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +------------1-----------1--------------0-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +------------------------1---------1-----1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +------------------------0-------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-----------01----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +---------------------------------------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +----------0-1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +------------1-------------------------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +------------1-----------------------------1--0------------------111----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +-------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 +----------------------------------------------0------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 +----------------------------------------------01------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 +----------------------------------------------10------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 +-----------------------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 +------------1--------0-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +------------1-------0--------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +-0----------1----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 +------------------------------------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +------------1----------00----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +------------1-----------1---------1------------------0------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +-------------------------------------------------------1--0------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +----------------------------------------------------------1-----------------------10--------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +------------1-------------------0-----------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +------------1-----------------------------0--1-------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +------------1-----------------------------0--1------------1-----------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +------------1--------------------------------------------00------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +------------1---------------------------------------------1---------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +------------1-----------------------------1---------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------1--------------------------------0------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +---1--------1--------------------0--------0---------1-----0-------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------1-----------------------------1----------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1--------------------------------0-------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1-----------------------------1--0-----------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1-----------------------------0--1-------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1-----------------------------0-----------------1----------0------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1--------------------------------1--------------1----------0------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1-----------------------------1------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +------------1--------------------------------0---------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +------------1-----------------------------0---------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +------------1--------------------------------1------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +------------1-----------------------------1--0------------------0------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +------------1---------------------------------------------------111----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +------------1-----------------------------1--0------------------1-0----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 +------------1-----------------------------1--0------------------10------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 +------------1----------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1-----------------------------1--0------------------11------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 +------------1-----------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 +------0-----1-----------0----------0-01---------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------0-----1-----------0----------0-10---------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1-----------0------------01-----------------------------1---------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1-----------0------------10-----------------------------1---------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------0-----1-----------0----------0-01---------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------0-----1-----------0----------0-10---------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1-----------0------------01-----------------------------1---------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1-----------0------------10-----------------------------1---------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1-----------------------------0--------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +------------1--------------------------------1-----------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +------------0---------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------------------00100-----------01--0------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-----------------------------------------110-0------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +------------1-----------------------------0--1-------------1----------0------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-----------------------------------------11--0------------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +------------1------------00100-----------01--0------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1----------------------------110-0------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1-----------------------------1----------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1--------------------------------0-------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1----------------------------11--0------------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1-----------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +---1--------1--------------------0--------0---------1--------0----------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +---1--------1--------------------0--------0--0------1-------------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +------------1-----------------------------0--1---------------1----------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 .end diff --git a/Logic/68030_tk.vcl b/Logic/68030_tk.vcl index 31070f4..27d58e5 100644 --- a/Logic/68030_tk.vcl +++ b/Logic/68030_tk.vcl @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 8/25/16; -TIME = 22:27:55; +DATE = 9/14/16; +TIME = 23:54:30; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -142,15 +142,15 @@ layer = OFF; Layer = OFF AS_000 = OUTPUT,42,4,-; -BERR = OUTPUT,41,4,-; RW_000 = BIDIR,80,7,-; +UDS_000 = OUTPUT,32,3,-; +LDS_000 = OUTPUT,31,3,-; A_0_ = BIDIR,69,6,-; RW = BIDIR,71,6,-; AS_030 = OUTPUT,82,7,-; -UDS_000 = OUTPUT,32,3,-; -LDS_000 = OUTPUT,31,3,-; SIZE_1_ = OUTPUT,79,7,-; SIZE_0_ = OUTPUT,70,6,-; +BERR = OUTPUT,41,4,-; AHIGH_24_ = OUTPUT,19,2,-; AHIGH_25_ = OUTPUT,18,2,-; AHIGH_26_ = OUTPUT,17,2,-; @@ -179,57 +179,57 @@ AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-; CLK_EXP = OUTPUT,10,1,-; RESET = OUTPUT,3,1,-; RN_BGACK_030 = NODE,-1,7,-; -inst_RESET_OUT = NODE,*,2,-; -CLK_000_D_0_ = NODE,*,3,-; +inst_RESET_OUT = NODE,*,0,-; CLK_000_D_1_ = NODE,*,7,-; -SM_AMIGA_6_ = NODE,*,2,-; +CLK_000_D_0_ = NODE,*,1,-; +SM_AMIGA_6_ = NODE,*,1,-; +cpu_est_0_ = NODE,*,1,-; inst_AS_030_D0 = NODE,*,4,-; +inst_AS_000_DMA = NODE,*,2,-; inst_AS_030_000_SYNC = NODE,*,2,-; -cpu_est_3_ = NODE,*,3,-; +CYCLE_DMA_1_ = NODE,*,6,-; cpu_est_1_ = NODE,*,6,-; -cpu_est_0_ = NODE,*,3,-; +cpu_est_3_ = NODE,*,3,-; +SM_AMIGA_i_7_ = NODE,*,1,-; +SM_AMIGA_4_ = NODE,*,6,-; +SIZE_DMA_0_ = NODE,*,2,-; +CYCLE_DMA_0_ = NODE,*,6,-; +inst_BGACK_030_INT_D = NODE,*,4,-; cpu_est_2_ = NODE,*,6,-; -SM_AMIGA_i_7_ = NODE,*,0,-; -inst_DS_000_DMA = NODE,*,1,-; -inst_AS_000_DMA = NODE,*,1,-; -CYCLE_DMA_1_ = NODE,*,5,-; +inst_DS_000_DMA = NODE,*,5,-; +inst_CLK_030_H = NODE,*,2,-; RN_VMA = NODE,-1,3,-; -SM_AMIGA_5_ = NODE,*,0,-; +SM_AMIGA_5_ = NODE,*,3,-; SM_AMIGA_0_ = NODE,*,7,-; SM_AMIGA_1_ = NODE,*,0,-; -SM_AMIGA_4_ = NODE,*,5,-; -inst_DS_000_ENABLE = NODE,*,5,-; inst_LDS_000_INT = NODE,*,5,-; SIZE_DMA_1_ = NODE,*,6,-; -SIZE_DMA_0_ = NODE,*,6,-; -CYCLE_DMA_0_ = NODE,*,5,-; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,*,6,-; -inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,*,6,-; -inst_AS_000_INT = NODE,*,5,-; -inst_CLK_OUT_PRE_D = NODE,*,5,-; -inst_VPA_D = NODE,*,0,-; -inst_BGACK_030_INT_D = NODE,*,4,-; +inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,*,5,-; +inst_CLK_OUT_PRE_25 = NODE,*,6,-; +inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,*,5,-; +inst_AS_000_INT = NODE,*,3,-; +inst_CLK_OUT_PRE_D = NODE,*,7,-; +inst_CLK_OUT_PRE_50 = NODE,*,0,-; +inst_VPA_D = NODE,*,5,-; RN_IPL_030_0_ = NODE,-1,1,-; RN_IPL_030_1_ = NODE,-1,1,-; RN_IPL_030_2_ = NODE,-1,1,-; -inst_CLK_030_H = NODE,*,1,-; -RN_RW_000 = NODE,-1,7,-; SM_AMIGA_2_ = NODE,*,0,-; SM_AMIGA_3_ = NODE,*,0,-; -RST_DLY_0_ = NODE,*,2,-; +RN_RW_000 = NODE,-1,7,-; +RST_DLY_0_ = NODE,*,0,-; RN_A_0_ = NODE,-1,6,-; +inst_DS_000_ENABLE = NODE,*,3,-; RN_RW = NODE,-1,6,-; RN_DSACK1 = NODE,-1,7,-; RN_BG_000 = NODE,-1,3,-; -RST_DLY_2_ = NODE,*,2,-; -RST_DLY_1_ = NODE,*,2,-; +CIIN_0 = NODE,*,4,-; +RST_DLY_2_ = NODE,*,0,-; +RST_DLY_1_ = NODE,*,0,-; inst_UDS_000_INT = NODE,*,3,-; -inst_CLK_OUT_PRE_25 = NODE,*,5,-; -CIIN_0 = NODE,*,6,-; -IPL_D0_2_ = NODE,*,3,-; -IPL_D0_1_ = NODE,*,4,-; -IPL_D0_0_ = NODE,*,1,-; -inst_CLK_OUT_PRE_50 = NODE,*,5,-; -inst_DTACK_D0 = NODE,*,5,-; -un10_ciin_i = NODE,*,4,-; +CLK_000_D_2_ = NODE,*,4,-; +IPL_D0_2_ = NODE,*,2,-; +IPL_D0_1_ = NODE,*,3,-; +IPL_D0_0_ = NODE,*,2,-; +inst_DTACK_D0 = NODE,*,7,-; CLK_OSZI = INPUT,61,-,-; diff --git a/Logic/68030_tk.vco b/Logic/68030_tk.vco index 694ba19..09330e5 100644 --- a/Logic/68030_tk.vco +++ b/Logic/68030_tk.vco @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 8/25/16; -TIME = 22:27:55; +DATE = 9/14/16; +TIME = 23:54:30; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -141,24 +141,10 @@ layer = OFF; [LOCATION ASSIGNMENT] Layer = OFF; -AHIGH_30_ = BIDIR,5, B,-; -AHIGH_29_ = BIDIR,6, B,-; SIZE_1_ = BIDIR,79, H,-; -AHIGH_28_ = BIDIR,15, C,-; -AHIGH_27_ = BIDIR,16, C,-; AHIGH_31_ = BIDIR,4, B,-; -AHIGH_26_ = BIDIR,17, C,-; -AHIGH_25_ = BIDIR,18, C,-; A_DECODE_23_ = INPUT,85, H,-; -AHIGH_24_ = BIDIR,19, C,-; -A_DECODE_22_ = INPUT,84, H,-; -A_DECODE_21_ = INPUT,94, A,-; -A_DECODE_20_ = INPUT,93, A,-; -A_DECODE_19_ = INPUT,97, A,-; -A_DECODE_18_ = INPUT,95, A,-; IPL_2_ = INPUT,68, G,-; -A_DECODE_17_ = INPUT,59, F,-; -A_DECODE_16_ = INPUT,96, A,-; FC_1_ = INPUT,58, F,-; AS_030 = BIDIR,82, H,-; AS_000 = BIDIR,42, E,-; @@ -171,78 +157,92 @@ BG_030 = INPUT,21, C,-; BGACK_000 = INPUT,28, D,-; CLK_030 = INPUT,64,-,-; CLK_000 = INPUT,11,-,-; +SIZE_0_ = BIDIR,70, G,-; CLK_OSZI = INPUT,61,-,-; +AHIGH_30_ = BIDIR,5, B,-; CLK_DIV_OUT = OUTPUT,65, G,-; -IPL_1_ = INPUT,56, F,-; +AHIGH_29_ = BIDIR,6, B,-; +AHIGH_28_ = BIDIR,15, C,-; FPU_CS = OUTPUT,78, H,-; -IPL_0_ = INPUT,67, G,-; +AHIGH_27_ = BIDIR,16, C,-; FPU_SENSE = INPUT,91, A,-; -FC_0_ = INPUT,57, F,-; -A_1_ = INPUT,60, F,-; +AHIGH_26_ = BIDIR,17, C,-; +AHIGH_25_ = BIDIR,18, C,-; DTACK = INPUT,30, D,-; +AHIGH_24_ = BIDIR,19, C,-; AVEC = OUTPUT,92, A,-; +A_DECODE_22_ = INPUT,84, H,-; E = OUTPUT,66, G,-; +A_DECODE_21_ = INPUT,94, A,-; VPA = INPUT,36,-,-; +A_DECODE_20_ = INPUT,93, A,-; +A_DECODE_19_ = INPUT,97, A,-; RST = INPUT,86,-,-; +A_DECODE_18_ = INPUT,95, A,-; RESET = OUTPUT,3, B,-; +A_DECODE_17_ = INPUT,59, F,-; +A_DECODE_16_ = INPUT,96, A,-; AMIGA_ADDR_ENABLE = OUTPUT,33, D,-; AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-; AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-; AMIGA_BUS_ENABLE_HIGH = OUTPUT,34, D,-; CIIN = OUTPUT,47, E,-; -SIZE_0_ = BIDIR,70, G,-; +IPL_1_ = INPUT,56, F,-; +IPL_0_ = INPUT,67, G,-; +FC_0_ = INPUT,57, F,-; +A_1_ = INPUT,60, F,-; IPL_030_2_ = OUTPUT,9, B,-; RW_000 = BIDIR,80, H,-; BG_000 = OUTPUT,29, D,-; BGACK_030 = OUTPUT,83, H,-; -A_0_ = BIDIR,69, G,-; -IPL_030_1_ = OUTPUT,7, B,-; -IPL_030_0_ = OUTPUT,8, B,-; CLK_EXP = OUTPUT,10, B,-; DSACK1 = OUTPUT,81, H,-; VMA = OUTPUT,35, D,-; RW = BIDIR,71, G,-; -un10_ciin_i = NODE,13, E,-; -cpu_est_0_ = NODE,2, D,-; -cpu_est_1_ = NODE,5, G,-; -cpu_est_2_ = NODE,9, G,-; -cpu_est_3_ = NODE,13, D,-; -inst_AS_000_INT = NODE,5, F,-; -inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,10, G,-; +A_0_ = BIDIR,69, G,-; +IPL_030_1_ = OUTPUT,7, B,-; +IPL_030_0_ = OUTPUT,8, B,-; +cpu_est_2_ = NODE,6, G,-; +cpu_est_3_ = NODE,9, D,-; +cpu_est_0_ = NODE,10, B,-; +cpu_est_1_ = NODE,9, G,-; +inst_AS_000_INT = NODE,2, D,-; +inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,12, F,-; inst_AS_030_D0 = NODE,8, E,-; -inst_AS_030_000_SYNC = NODE,2, C,-; +inst_AS_030_000_SYNC = NODE,13, C,-; inst_BGACK_030_INT_D = NODE,5, E,-; -inst_AS_000_DMA = NODE,6, B,-; -inst_DS_000_DMA = NODE,13, B,-; -CYCLE_DMA_0_ = NODE,1, F,-; -CYCLE_DMA_1_ = NODE,0, F,-; -SIZE_DMA_0_ = NODE,2, G,-; -SIZE_DMA_1_ = NODE,13, G,-; -inst_VPA_D = NODE,5, A,-; -inst_DTACK_D0 = NODE,6, F,-; -inst_RESET_OUT = NODE,9, C,-; +inst_AS_000_DMA = NODE,9, C,-; +inst_DS_000_DMA = NODE,0, F,-; +CYCLE_DMA_0_ = NODE,2, G,-; +CYCLE_DMA_1_ = NODE,5, G,-; +SIZE_DMA_0_ = NODE,2, C,-; +SIZE_DMA_1_ = NODE,10, G,-; +inst_VPA_D = NODE,1, F,-; CLK_000_D_1_ = NODE,5, H,-; -CLK_000_D_0_ = NODE,9, D,-; -inst_CLK_OUT_PRE_50 = NODE,2, F,-; -inst_CLK_OUT_PRE_25 = NODE,13, F,-; -inst_CLK_OUT_PRE_D = NODE,9, F,-; -IPL_D0_0_ = NODE,14, B,-; -IPL_D0_1_ = NODE,9, E,-; -IPL_D0_2_ = NODE,10, D,-; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,6, G,-; -inst_LDS_000_INT = NODE,12, F,-; -inst_DS_000_ENABLE = NODE,8, F,-; -inst_UDS_000_INT = NODE,6, D,-; -SM_AMIGA_6_ = NODE,13, C,-; -SM_AMIGA_4_ = NODE,4, F,-; -SM_AMIGA_1_ = NODE,1, A,-; +inst_DTACK_D0 = NODE,6, H,-; +inst_RESET_OUT = NODE,8, A,-; +CLK_000_D_0_ = NODE,13, B,-; +inst_CLK_OUT_PRE_50 = NODE,1, A,-; +inst_CLK_OUT_PRE_25 = NODE,14, G,-; +inst_CLK_OUT_PRE_D = NODE,2, H,-; +IPL_D0_0_ = NODE,14, C,-; +IPL_D0_1_ = NODE,14, D,-; +IPL_D0_2_ = NODE,10, C,-; +CLK_000_D_2_ = NODE,13, E,-; +inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,8, F,-; +inst_LDS_000_INT = NODE,4, F,-; +inst_DS_000_ENABLE = NODE,6, D,-; +inst_UDS_000_INT = NODE,10, D,-; +SM_AMIGA_6_ = NODE,6, B,-; +SM_AMIGA_4_ = NODE,13, G,-; +SM_AMIGA_1_ = NODE,12, A,-; SM_AMIGA_0_ = NODE,13, H,-; -RST_DLY_0_ = NODE,6, C,-; -RST_DLY_1_ = NODE,14, C,-; -RST_DLY_2_ = NODE,10, C,-; -inst_CLK_030_H = NODE,10, B,-; -SM_AMIGA_5_ = NODE,12, A,-; -SM_AMIGA_3_ = NODE,13, A,-; -SM_AMIGA_2_ = NODE,9, A,-; -SM_AMIGA_i_7_ = NODE,8, A,-; -CIIN_0 = NODE,14, G,-; +RST_DLY_0_ = NODE,13, A,-; +RST_DLY_1_ = NODE,6, A,-; +RST_DLY_2_ = NODE,2, A,-; +inst_CLK_030_H = NODE,6, C,-; +SM_AMIGA_5_ = NODE,13, D,-; +SM_AMIGA_3_ = NODE,9, A,-; +SM_AMIGA_2_ = NODE,5, A,-; +SM_AMIGA_i_7_ = NODE,14, B,-; +CIIN_0 = NODE,9, E,-; diff --git a/Logic/68030_tk.xrf b/Logic/68030_tk.xrf index 116dd84..5bb44c3 100644 --- a/Logic/68030_tk.xrf +++ b/Logic/68030_tk.xrf @@ -2,7 +2,7 @@ Signal Name Cross Reference File ispLEVER Classic 2.0.00.17.20.15 -Design '68030_tk' created Thu Aug 25 22:27:51 2016 +Design '68030_tk' created Wed Sep 14 23:54:26 2016 LEGEND: '>' Functional Block Port Separator diff --git a/Logic/BUS68030.bl0 b/Logic/BUS68030.bl0 index c62611b..7fcaecf 100644 --- a/Logic/BUS68030.bl0 +++ b/Logic/BUS68030.bl0 @@ -1,214 +1,205 @@ -#$ DATE Thu Aug 25 22:27:51 2016 +#$ DATE Wed Sep 14 23:54:26 2016 #$ TOOL EDIF2BLIF version IspLever 1.0 #$ MODULE bus68030 -#$ PINS 75 AHIGH_30_ AHIGH_29_ SIZE_1_ AHIGH_28_ AHIGH_27_ AHIGH_31_ AHIGH_26_ AHIGH_25_ A_DECODE_23_ AHIGH_24_ A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ IPL_030_2_ A_DECODE_19_ A_DECODE_18_ IPL_2_ A_DECODE_17_ A_DECODE_16_ FC_1_ A_DECODE_15_ AS_030 A_DECODE_14_ AS_000 A_DECODE_13_ RW_000 A_DECODE_12_ DS_030 A_DECODE_11_ UDS_000 A_DECODE_10_ LDS_000 A_DECODE_9_ nEXP_SPACE A_DECODE_8_ BERR A_DECODE_7_ BG_030 A_DECODE_6_ BG_000 A_DECODE_5_ BGACK_030 A_DECODE_4_ BGACK_000 A_DECODE_3_ CLK_030 A_DECODE_2_ CLK_000 A_0_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 A_1_ DTACK AVEC E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ -#$ NODES 637 N_91_i as_000_dma_0_un0_n N_90_i N_248_i a_decode_15__n sm_amiga_i_i_7__n N_26_i AS_030_000_SYNC_i N_34_0 a_decode_14__n \ -# sm_amiga_i_3__n BG_030_c_i rst_dly_i_0__n pos_clk_un6_bg_030_i_n a_decode_13__n rst_dly_i_1__n pos_clk_un9_bg_030_0_n clk_000_d_i_1__n pos_clk_un3_as_030_d0_i_n a_decode_12__n \ -# inst_BGACK_030_INTreg N_249_i_0 un10_ciin_i vcc_n_n cpu_est_i_0__n N_127_0 a_decode_11__n inst_VMA_INTreg rst_dly_i_2__n N_369_0 \ -# gnd_n_n FPU_SENSE_i N_367_i a_decode_10__n un1_amiga_bus_enable_low N_122_i un1_SM_AMIGA_0_sqmuxa_3_i un6_as_030 a_decode_i_16__n N_278_0 \ -# a_decode_9__n un3_size a_decode_i_18__n N_218_i un4_size a_decode_i_19__n N_366_0 a_decode_8__n un1_LDS_000_INT BGACK_030_INT_i \ -# VPA_c_i un1_UDS_000_INT AMIGA_BUS_ENABLE_DMA_LOW_i N_55_0 a_decode_7__n un4_as_000 N_101_i N_7_i un10_ciin N_102_i \ -# N_47_0 a_decode_6__n un21_fpu_cs a_i_1__n LDS_000_INT_i un22_berr cpu_est_i_1__n un1_LDS_000_INT_0 a_decode_5__n un6_ds_030 \ -# cpu_est_i_2__n UDS_000_INT_i cpu_est_0_ VPA_D_i un1_UDS_000_INT_0 a_decode_4__n cpu_est_1_ DTACK_D0_i N_25_i cpu_est_2_ \ -# cpu_est_i_3__n N_35_0 a_decode_3__n cpu_est_3_ nEXP_SPACE_i N_24_i inst_AS_000_INT AS_000_i N_36_0 a_decode_2__n \ -# inst_AMIGA_BUS_ENABLE_DMA_LOW clk_000_d_i_0__n N_23_i inst_AS_030_D0 RESET_OUT_i N_37_0 inst_AS_030_000_SYNC AS_000_DMA_i N_22_i inst_BGACK_030_INT_D \ -# RW_000_i N_38_0 inst_AS_000_DMA CLK_030_H_i N_19_i inst_DS_000_DMA cycle_dma_i_0__n N_41_0 CYCLE_DMA_0_ AS_030_D0_i \ -# N_17_i CYCLE_DMA_1_ size_dma_i_0__n N_43_0 SIZE_DMA_0_ size_dma_i_1__n N_10_i SIZE_DMA_1_ ahigh_i_30__n N_44_0 \ -# inst_VPA_D ahigh_i_31__n a_c_i_0__n inst_DTACK_D0 ahigh_i_28__n size_c_i_1__n inst_RESET_OUT ahigh_i_29__n pos_clk_un10_sm_amiga_i_n CLK_000_D_1_ \ -# ahigh_i_26__n N_259_i CLK_000_D_0_ ahigh_i_27__n pos_clk_un6_bgack_000_0_n inst_CLK_OUT_PRE_50 ahigh_i_24__n N_282_0 inst_CLK_OUT_PRE_25 ahigh_i_25__n \ -# N_21_i inst_CLK_OUT_PRE_D N_244_i N_39_0 IPL_D0_0_ N_245_i N_188_i IPL_D0_1_ N_246_i N_187_i \ -# IPL_D0_2_ N_58_0 pos_clk_un6_bg_030_n un6_ds_030_i N_209_i inst_AMIGA_BUS_ENABLE_DMA_HIGH DS_000_DMA_i N_208_i inst_DSACK1_INTreg un4_as_000_i \ -# pos_clk_un9_clk_000_pe_0_n pos_clk_ipl_n un6_as_030_i N_210_i inst_LDS_000_INT AS_030_c N_211_i inst_DS_000_ENABLE cpu_est_2_0_1__n inst_UDS_000_INT \ -# AS_000_c N_258_i SM_AMIGA_6_ N_212_i SM_AMIGA_4_ RW_000_c cpu_est_2_0_2__n SM_AMIGA_1_ N_216_i SM_AMIGA_0_ \ -# N_215_i inst_RW_000_INT UDS_000_c N_40_i inst_RW_000_DMA N_138_0 RST_DLY_0_ LDS_000_c N_142_i RST_DLY_1_ \ -# N_143_i RST_DLY_2_ size_c_0__n VMA_INT_i inst_A0_DMA N_392_i inst_CLK_030_H size_c_1__n N_393_i pos_clk_rw_000_int_5_n \ -# N_152_i SM_AMIGA_5_ ahigh_c_24__n N_161_0 SM_AMIGA_3_ SM_AMIGA_2_ ahigh_c_25__n N_106_i pos_clk_ds_000_dma_4_n N_186_i \ -# N_3 ahigh_c_26__n CLK_030_c_i N_8 N_164_0 ahigh_c_27__n N_67_i LDS_000_c_i ahigh_c_28__n UDS_000_c_i \ -# N_156_i ahigh_c_29__n pos_clk_un21_bgack_030_int_i_0_0_n N_27 N_237_i N_28 ahigh_c_30__n N_131_i N_29 CLK_OUT_PRE_25_0 \ -# ahigh_c_31__n N_368_i N_275_0 N_227_i N_276_0 N_226_i RW_c_i pos_clk_rw_000_int_5_0_n N_225_i pos_clk_ds_000_dma_4_0_n \ -# N_224_i pos_clk_size_dma_6_0_1__n N_223_i pos_clk_size_dma_6_0_0__n N_222_i N_201_i N_202_i AMIGA_BUS_DATA_DIR_c_0 N_199_i N_200_i \ -# sm_amiga_nss_0_2__n N_189_i N_190_i N_29_i N_33_0 N_28_i SM_AMIGA_i_7_ N_32_0 N_27_i N_31_0 \ -# a_decode_c_16__n ipl_c_i_2__n N_54_0 a_decode_c_17__n ipl_c_i_1__n N_53_0 pos_clk_size_dma_6_0__n a_decode_c_18__n ipl_c_i_0__n pos_clk_size_dma_6_1__n \ -# N_52_0 N_106 a_decode_c_19__n DTACK_c_i G_119 N_56_0 G_120 a_decode_c_20__n N_3_i G_121 \ -# N_50_0 pos_clk_un21_bgack_030_int_i_0_n a_decode_c_21__n N_8_i N_275 N_46_0 N_276 a_decode_c_22__n pos_clk_un10_sm_amiga_i_1_n sm_amiga_nss_i_0_1_0__n \ -# N_108 a_decode_c_23__n sm_amiga_nss_i_0_2_0__n N_110 sm_amiga_nss_i_0_3_0__n a_c_0__n sm_amiga_nss_i_0_4_0__n sm_amiga_nss_i_0_5_0__n N_127 a_c_1__n \ -# un10_ciin_1 N_130 un10_ciin_2 N_131 nEXP_SPACE_c un10_ciin_3 N_139 un10_ciin_4 N_152 BERR_c \ -# un10_ciin_5 N_156 un10_ciin_6 N_164 BG_030_c un10_ciin_7 N_370 un10_ciin_8 N_177 BG_000DFFreg \ -# un10_ciin_9 N_179 un10_ciin_10 N_185 un10_ciin_11 N_186 BGACK_000_c pos_clk_un21_bgack_030_int_i_0_0_1_n N_189 pos_clk_un21_bgack_030_int_i_0_0_2_n \ -# N_190 CLK_030_c N_307_i_1 N_199 N_307_i_2 N_200 N_202_1 N_201 N_202_2 N_202 \ -# CLK_OSZI_c N_208_1 N_203 N_208_2 N_211 N_209_1 N_217 CLK_OUT_INTreg N_209_2 N_222 \ -# N_392_1 N_223 N_392_2 N_224 FPU_SENSE_c N_122_1 N_225 N_122_2 N_226 IPL_030DFF_0_reg \ -# N_122_3 N_227 N_122_4 N_236 IPL_030DFF_1_reg N_218_1 N_237 N_218_2 N_243 IPL_030DFF_2_reg \ -# un21_fpu_cs_1 N_391 un22_berr_1_0 N_250 ipl_c_0__n N_305_i_1 pos_clk_un21_bgack_030_int_i_0_o2_2_x2 N_305_i_2 pos_clk_CYCLE_DMA_5_1_i_x2 ipl_c_1__n \ -# N_304_i_1 N_208 N_304_i_2 N_209 ipl_c_2__n N_178_1 N_258 N_178_2 N_161 N_178_3 \ -# N_392 DTACK_c N_204_1_0 N_393 N_125_i_1 N_138 N_276_0_1 N_143 pos_clk_rw_000_int_5_0_1_n N_215 \ -# VPA_c N_277_i_1 N_216 N_306_i_1 N_214 pos_clk_un6_bg_030_1_n cpu_est_2_2__n RST_c N_211_1 N_212 \ -# N_203_1 cpu_est_2_1__n N_199_1 N_210 RW_c N_185_1 pos_clk_un9_clk_000_pe_n N_179_1 N_187 fc_c_0__n \ -# N_177_1 N_188 pos_clk_ipl_1_n N_21 fc_c_1__n dsack1_int_0_un3_n N_247 dsack1_int_0_un1_n N_282 dsack1_int_0_un0_n \ -# pos_clk_un6_bgack_000_n AMIGA_BUS_DATA_DIR_c rw_000_int_0_un3_n N_259 rw_000_int_0_un1_n pos_clk_a0_dma_3_n rw_000_int_0_un0_n N_101 as_000_int_0_un3_n N_102 \ -# as_000_int_0_un1_n N_10 N_18_i as_000_int_0_un0_n N_17 N_42_0 bg_000_0_un3_n N_19 N_5_i bg_000_0_un1_n \ -# N_22 N_48_0 bg_000_0_un0_n N_23 N_4_i cpu_est_0_3__un3_n N_24 N_49_0 cpu_est_0_3__un1_n N_25 \ -# N_191_i cpu_est_0_3__un0_n N_6 un1_SM_AMIGA_0_sqmuxa_2_0 un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n un1_SM_AMIGA_0_sqmuxa_3 N_193_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n N_278 N_192_i \ -# un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n N_7 sm_amiga_nss_0_6__n amiga_bus_enable_dma_low_0_un3_n pos_clk_un3_as_030_d0_n N_177_i amiga_bus_enable_dma_low_0_un1_n N_366 N_194_i amiga_bus_enable_dma_low_0_un0_n \ -# N_122 sm_amiga_nss_0_5__n uds_000_int_0_un3_n N_218 N_195_i uds_000_int_0_un1_n un22_berr_1 N_196_i uds_000_int_0_un0_n pos_clk_un9_bg_030_n \ -# sm_amiga_nss_0_4__n a0_dma_0_un3_n N_26 N_198_i a0_dma_0_un1_n cpu_est_2_3__n N_197_i a0_dma_0_un0_n N_180 sm_amiga_nss_0_3__n \ -# rw_000_dma_0_un3_n N_136 N_204_i rw_000_dma_0_un1_n N_249 N_203_i rw_000_dma_0_un0_n N_181 N_303_0 lds_000_int_0_un3_n \ -# N_183 N_280_0 lds_000_int_0_un1_n N_184 N_279_0 lds_000_int_0_un0_n N_257 N_236_i bgack_030_int_0_un3_n N_205 \ -# N_391_i bgack_030_int_0_un1_n N_206 N_137_0 bgack_030_int_0_un0_n N_213 N_241_i ds_000_enable_0_un3_n N_238 N_240_i \ -# ds_000_enable_0_un1_n N_162 sm_amiga_nss_0_7__n ds_000_enable_0_un0_n N_178 sm_amiga_i_4__n as_030_000_sync_0_un3_n N_204_1 N_242_i as_030_000_sync_0_un1_n \ -# N_155 N_144_0 as_030_000_sync_0_un0_n N_204 sm_amiga_i_2__n amiga_bus_enable_dma_high_0_un3_n N_239 N_154_i amiga_bus_enable_dma_high_0_un1_n N_252 \ -# sm_amiga_i_6__n amiga_bus_enable_dma_high_0_un0_n N_175 sm_amiga_i_0__n cpu_est_0_2__un3_n N_176 N_155_i cpu_est_0_2__un1_n N_163 N_160_0 \ -# cpu_est_0_2__un0_n N_160 N_243_i cpu_est_0_1__un3_n N_144 N_163_0 cpu_est_0_1__un1_n N_242 N_176_i cpu_est_0_1__un0_n \ -# N_240 N_175_i vma_int_0_un3_n N_241 N_252_i vma_int_0_un1_n N_137 N_239_i vma_int_0_un0_n N_279 \ -# N_178_i size_dma_0_0__un3_n N_91 sm_amiga_nss_i_0_0__n size_dma_0_0__un1_n N_280 size_dma_0_0__un0_n N_90 N_181_i size_dma_0_1__un3_n \ -# N_197 N_180_i size_dma_0_1__un1_n N_198 N_179_i size_dma_0_1__un0_n N_195 ipl_030_0_0__un3_n N_196 N_185_i \ -# ipl_030_0_0__un1_n N_194 N_183_i ipl_030_0_0__un0_n N_192 N_184_i ipl_030_0_1__un3_n N_193 N_162_0 ipl_030_0_1__un1_n \ -# un1_SM_AMIGA_0_sqmuxa_2 N_139_i ipl_030_0_1__un0_n N_191 N_238_i ipl_030_0_2__un3_n N_4 N_136_0 ipl_030_0_2__un1_n N_5 \ -# N_130_i ipl_030_0_2__un0_n N_18 N_213_i ds_000_dma_0_un3_n un1_amiga_bus_enable_low_i N_214_i ds_000_dma_0_un1_n un21_fpu_cs_i cpu_est_2_0_3__n \ -# ds_000_dma_0_un0_n AS_030_i N_206_i as_000_dma_0_un3_n AS_000_INT_i N_205_i as_000_dma_0_un1_n +#$ PINS 75 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 SIZE_0_ CLK_OSZI AHIGH_30_ CLK_DIV_OUT AHIGH_29_ CLK_EXP AHIGH_28_ FPU_CS AHIGH_27_ FPU_SENSE AHIGH_26_ DSACK1 AHIGH_25_ DTACK AHIGH_24_ AVEC A_DECODE_22_ E A_DECODE_21_ VPA A_DECODE_20_ VMA A_DECODE_19_ RST A_DECODE_18_ RESET A_DECODE_17_ RW A_DECODE_16_ AMIGA_ADDR_ENABLE A_DECODE_15_ AMIGA_BUS_DATA_DIR A_DECODE_14_ AMIGA_BUS_ENABLE_LOW A_DECODE_13_ AMIGA_BUS_ENABLE_HIGH A_DECODE_12_ CIIN A_DECODE_11_ A_DECODE_10_ A_DECODE_9_ A_DECODE_8_ A_DECODE_7_ A_DECODE_6_ A_DECODE_5_ A_DECODE_4_ A_DECODE_3_ A_DECODE_2_ A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ FC_0_ A_1_ +#$ NODES 602 N_130_i pos_clk_un6_bgack_000_0_n N_131_i DTACK_c_i CLK_030_H_i N_56_0 RW_000_i VPA_c_i a_i_1__n N_55_0 \ +# RESET_OUT_i N_6_i AS_030_i N_47_0 FPU_SENSE_i N_26_i inst_BGACK_030_INTreg sm_amiga_i_i_7__n N_34_0 vcc_n_n \ +# a_decode_i_16__n BG_030_c_i inst_VMA_INTreg AS_030_D0_i pos_clk_un6_bg_030_i_n gnd_n_n size_dma_i_0__n pos_clk_un9_bg_030_0_n un1_amiga_bus_enable_low size_dma_i_1__n \ +# N_25_i un6_as_030 a_decode_i_18__n N_35_0 un3_size a_decode_i_19__n N_24_i un4_size ahigh_i_30__n N_36_0 \ +# un1_LDS_000_INT ahigh_i_31__n N_17_i un1_UDS_000_INT ahigh_i_28__n N_43_0 un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_29__n N_4_i un1_DS_000_ENABLE_0_sqmuxa \ +# ahigh_i_26__n N_49_0 un4_as_000 ahigh_i_27__n N_3_i un10_ciin ahigh_i_24__n N_50_0 un21_fpu_cs ahigh_i_25__n \ +# N_215_i un21_berr N_210_i N_216_i un6_ds_030 N_211_i N_301_0 cpu_est_2_ N_212_i N_243_0 \ +# cpu_est_3_ N_266_i cpu_est_0_ un6_ds_030_i N_249_i cpu_est_1_ un4_as_000_i AMIGA_BUS_DATA_DIR_c_0 inst_AS_000_INT AS_000_INT_i \ +# N_268_i inst_AMIGA_BUS_ENABLE_DMA_LOW un6_as_030_i pos_clk_ds_000_dma_4_0_n inst_AS_030_D0 AS_030_c CLK_030_c_i inst_AS_030_000_SYNC N_236_0 inst_BGACK_030_INT_D \ +# AS_000_c un1_as_000_i inst_AS_000_DMA N_297_i inst_DS_000_DMA RW_000_c N_160_i CYCLE_DMA_0_ pos_clk_un21_bgack_030_int_i_0_i_n CYCLE_DMA_1_ \ +# N_100_i SIZE_DMA_0_ UDS_000_c N_186_0 SIZE_DMA_1_ N_183_0 inst_VPA_D LDS_000_c N_182_0 CLK_000_D_1_ \ +# N_181_0 inst_DTACK_D0 size_c_0__n N_228_i inst_RESET_OUT N_176_0 CLK_000_D_0_ size_c_1__n LDS_000_c_i inst_CLK_OUT_PRE_50 \ +# UDS_000_c_i inst_CLK_OUT_PRE_25 ahigh_c_24__n N_173_i inst_CLK_OUT_PRE_D N_304_i IPL_D0_0_ ahigh_c_25__n AS_030_000_SYNC_i IPL_D0_1_ \ +# N_157_i IPL_D0_2_ ahigh_c_26__n N_110_0 CLK_000_D_2_ RW_c_i pos_clk_un6_bg_030_n ahigh_c_27__n N_106_0 inst_AMIGA_BUS_ENABLE_DMA_HIGH \ +# N_284_i inst_DSACK1_INTreg ahigh_c_28__n pos_clk_ipl_n N_334_i inst_LDS_000_INT ahigh_c_29__n inst_DS_000_ENABLE N_278_i inst_UDS_000_INT \ +# ahigh_c_30__n N_279_i SM_AMIGA_6_ SM_AMIGA_4_ ahigh_c_31__n N_332_i SM_AMIGA_1_ N_237_0 SM_AMIGA_0_ un1_SM_AMIGA_0_sqmuxa_1_0 \ +# inst_RW_000_INT N_247_i inst_RW_000_DMA N_248_i RST_DLY_0_ RST_DLY_1_ N_246_i RST_DLY_2_ inst_A0_DMA pos_clk_a0_dma_3_n \ +# un10_ciin_i inst_CLK_030_H N_241_0 SM_AMIGA_5_ un1_DS_000_ENABLE_0_sqmuxa_i SM_AMIGA_3_ N_242_0 SM_AMIGA_2_ N_48_i N_227_i \ +# N_9 N_225_i N_224_i N_15 N_223_i N_16 N_22 N_218_i CLK_OUT_PRE_25_0 pos_clk_size_dma_6_0_1__n \ +# N_217_i pos_clk_size_dma_6_0_0__n N_213_i N_319_i N_300_0 N_15_i a_decode_c_16__n N_45_0 N_16_i a_decode_c_17__n \ +# N_44_0 N_22_i a_decode_c_18__n N_38_0 pos_clk_un21_bgack_030_int_i_0_i_1_n a_decode_c_19__n pos_clk_un21_bgack_030_int_i_0_i_2_n N_238_i_1 a_decode_c_20__n N_238_i_2 \ +# N_239_i_1 a_decode_c_21__n N_239_i_2 pos_clk_un10_sm_amiga_i_1_n a_decode_c_22__n un10_ciin_1 un10_ciin_2 a_decode_c_23__n un10_ciin_3 un10_ciin_4 \ +# a_c_0__n un10_ciin_5 un10_ciin_6 SM_AMIGA_i_7_ a_c_1__n un10_ciin_7 pos_clk_size_dma_6_0__n un10_ciin_8 pos_clk_size_dma_6_1__n nEXP_SPACE_c \ +# un10_ciin_9 G_107 un10_ciin_10 G_108 BERR_c un10_ciin_11 G_109 N_357_1 pos_clk_un21_bgack_030_int_i_0_n BG_030_c \ +# N_357_2 N_237 N_357_3 N_241 BG_000DFFreg N_357_4 N_242 N_304_i_1 un21_fpu_cs_1 N_283 \ +# BGACK_000_c un21_berr_1_0 N_294 N_266_1 N_300 CLK_030_c N_266_2 N_67_i_1 N_106 N_67_i_2 \ +# N_314_1 N_134 CLK_OSZI_c N_314_2 N_138 N_318_1 N_156 N_318_2 N_160 CLK_OUT_INTreg \ +# N_341_1 N_167 N_341_2 N_172 N_151_i_1 N_173 FPU_SENSE_c N_143_i_1 N_181 N_141_i_1 \ +# N_182 IPL_030DFF_0_reg N_237_0_1 N_183 N_240_i_1 N_191 IPL_030DFF_1_reg N_60_i_1 N_199 N_64_i_1 \ +# N_205 IPL_030DFF_2_reg N_155_i_1 N_209 N_147_i_1 N_319 ipl_c_0__n N_145_i_1 N_213 N_139_i_1 \ +# N_216 ipl_c_1__n pos_clk_un6_bg_030_1_n N_217 N_220_1 N_218 ipl_c_2__n N_216_1 N_220 N_205_1 \ +# N_223 N_199_1 N_224 DTACK_c pos_clk_ipl_1_n N_225 uds_000_int_0_un3_n N_227 uds_000_int_0_un1_n N_228 \ +# uds_000_int_0_un0_n N_246 VPA_c as_000_int_0_un3_n N_247 as_000_int_0_un1_n N_248 as_000_int_0_un0_n N_332 RST_c \ +# dsack1_int_0_un3_n N_278 dsack1_int_0_un1_n N_279 dsack1_int_0_un0_n N_334 RW_c vma_int_0_un3_n N_284 vma_int_0_un1_n \ +# N_343 fc_c_0__n vma_int_0_un0_n pos_clk_CYCLE_DMA_5_1_i_0_x2 lds_000_int_0_un3_n un21_berr_1 fc_c_1__n lds_000_int_0_un1_n N_357 lds_000_int_0_un0_n \ +# N_266 ipl_030_0_1__un3_n N_186 AMIGA_BUS_DATA_DIR_c ipl_030_0_1__un1_n pos_clk_un21_bgack_030_int_i_0_o2_2_x2 ipl_030_0_1__un0_n N_297 ipl_030_0_0__un3_n N_236 \ +# ipl_030_0_0__un1_n pos_clk_ds_000_dma_4_n ipl_030_0_0__un0_n N_268 UDS_000_INT_i cpu_est_0_3__un3_n N_249 un1_UDS_000_INT_0 cpu_est_0_3__un1_n N_243 \ +# LDS_000_INT_i cpu_est_0_3__un0_n N_215 un1_LDS_000_INT_0 cpu_est_0_2__un3_n N_130 N_23_i cpu_est_0_2__un1_n N_131 N_37_0 \ +# cpu_est_0_2__un0_n N_3 N_21_i cpu_est_0_1__un3_n N_4 N_39_0 cpu_est_0_1__un1_n N_17 N_20_i cpu_est_0_1__un0_n \ +# N_24 N_40_0 ipl_030_0_2__un3_n N_25 N_19_i ipl_030_0_2__un1_n pos_clk_un9_bg_030_n N_41_0 ipl_030_0_2__un0_n N_6 \ +# N_14_i amiga_bus_enable_dma_low_0_un3_n pos_clk_un6_bgack_000_n N_46_0 amiga_bus_enable_dma_low_0_un1_n N_26 ipl_c_i_0__n amiga_bus_enable_dma_low_0_un0_n N_208 N_52_0 \ +# rw_000_dma_0_un3_n N_207 ipl_c_i_1__n rw_000_dma_0_un1_n N_349 N_53_0 rw_000_dma_0_un0_n N_314 ipl_c_i_2__n as_000_dma_0_un3_n \ +# N_318 N_54_0 as_000_dma_0_un1_n N_348 N_27_i as_000_dma_0_un0_n N_201 N_31_0 ds_000_dma_0_un3_n N_200 \ +# N_28_i ds_000_dma_0_un1_n N_203 N_32_0 ds_000_dma_0_un0_n N_204 N_29_i bgack_030_int_0_un3_n N_185 N_33_0 \ +# bgack_030_int_0_un1_n N_184 a_c_i_0__n bgack_030_int_0_un0_n N_180 size_c_i_1__n bg_000_0_un3_n N_179 pos_clk_un10_sm_amiga_i_n bg_000_0_un1_n \ +# N_178 N_256_0 bg_000_0_un0_n N_171 N_318_i amiga_bus_enable_dma_high_0_un3_n N_341 N_314_i amiga_bus_enable_dma_high_0_un1_n N_342 \ +# pos_clk_un9_clk_000_pe_0_n amiga_bus_enable_dma_high_0_un0_n N_169 N_219_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n N_154 N_220_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n N_165 cpu_est_2_0_1__n \ +# un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n N_162 N_221_i size_dma_0_0__un3_n N_299 N_348_i size_dma_0_0__un1_n N_153 cpu_est_2_0_2__n size_dma_0_0__un0_n \ +# N_142 N_222_i size_dma_0_1__un3_n N_298 N_196_i size_dma_0_1__un1_n N_80 N_226_i size_dma_0_1__un0_n N_232 \ +# ds_000_enable_0_un3_n N_233 N_231_i ds_000_enable_0_un1_n N_229 N_229_i ds_000_enable_0_un0_n N_231 N_302_i as_030_000_sync_0_un3_n \ +# N_226 N_233_i as_030_000_sync_0_un1_n N_221 N_232_i as_030_000_sync_0_un0_n N_222 rw_000_int_0_un3_n cpu_est_2_2__n N_80_0 \ +# rw_000_int_0_un1_n cpu_est_2_1__n N_343_i rw_000_int_0_un0_n N_219 N_214_0 a0_dma_0_un3_n pos_clk_un9_clk_000_pe_n N_166_i a0_dma_0_un1_n \ +# N_256 N_134_i a0_dma_0_un0_n N_29 N_298_i a_decode_15__n N_28 N_142_0 N_27 N_153_i \ +# a_decode_14__n N_14 N_154_0 N_19 N_156_i a_decode_13__n N_20 N_305_i N_21 N_299_i \ +# a_decode_12__n N_23 N_162_0 un1_amiga_bus_enable_low_i N_165_0 a_decode_11__n un21_fpu_cs_i N_169_i cpu_est_i_1__n VMA_INT_i \ +# a_decode_10__n rst_dly_i_2__n N_341_i rst_dly_i_1__n N_342_i a_decode_9__n cpu_est_i_0__n N_171_i cpu_est_i_2__n N_172_i \ +# a_decode_8__n sm_amiga_i_0__n N_178_0 sm_amiga_i_3__n N_179_0 a_decode_7__n sm_amiga_i_4__n N_180_0 sm_amiga_i_5__n N_184_0 \ +# a_decode_6__n rst_dly_i_0__n N_185_0 sm_amiga_i_2__n N_203_i a_decode_5__n sm_amiga_i_1__n N_204_i VPA_D_i N_205_i \ +# a_decode_4__n clk_000_d_i_1__n cpu_est_i_3__n N_200_i a_decode_3__n sm_amiga_i_6__n N_199_i clk_000_d_i_0__n N_201_i a_decode_2__n \ +# BGACK_030_INT_i AS_000_i AS_000_DMA_i N_208_i nEXP_SPACE_i N_207_i cycle_dma_i_0__n N_167_i DS_000_DMA_i N_138_i \ +# AMIGA_BUS_ENABLE_DMA_LOW_i N_349_i .model bus68030 .inputs A_DECODE_23_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF \ FPU_SENSE.BLIF DTACK.BLIF VPA.BLIF RST.BLIF A_DECODE_22_.BLIF A_DECODE_21_.BLIF A_DECODE_20_.BLIF A_DECODE_19_.BLIF A_DECODE_18_.BLIF \ A_DECODE_17_.BLIF A_DECODE_16_.BLIF A_DECODE_15_.BLIF A_DECODE_14_.BLIF A_DECODE_13_.BLIF A_DECODE_12_.BLIF A_DECODE_11_.BLIF A_DECODE_10_.BLIF A_DECODE_9_.BLIF \ A_DECODE_8_.BLIF A_DECODE_7_.BLIF A_DECODE_6_.BLIF A_DECODE_5_.BLIF A_DECODE_4_.BLIF A_DECODE_3_.BLIF A_DECODE_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF \ - FC_0_.BLIF A_1_.BLIF SIZE_1_.BLIF AHIGH_31_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF UDS_000.BLIF LDS_000.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF AHIGH_30_.BLIF AHIGH_29_.BLIF AHIGH_28_.BLIF AHIGH_27_.BLIF AHIGH_26_.BLIF AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF N_91_i.BLIF as_000_dma_0_un0_n.BLIF N_90_i.BLIF N_248_i.BLIF a_decode_15__n.BLIF sm_amiga_i_i_7__n.BLIF N_26_i.BLIF \ - AS_030_000_SYNC_i.BLIF N_34_0.BLIF a_decode_14__n.BLIF sm_amiga_i_3__n.BLIF BG_030_c_i.BLIF rst_dly_i_0__n.BLIF pos_clk_un6_bg_030_i_n.BLIF a_decode_13__n.BLIF rst_dly_i_1__n.BLIF \ - pos_clk_un9_bg_030_0_n.BLIF clk_000_d_i_1__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF a_decode_12__n.BLIF inst_BGACK_030_INTreg.BLIF N_249_i_0.BLIF un10_ciin_i.BLIF vcc_n_n.BLIF cpu_est_i_0__n.BLIF \ - N_127_0.BLIF a_decode_11__n.BLIF inst_VMA_INTreg.BLIF rst_dly_i_2__n.BLIF N_369_0.BLIF gnd_n_n.BLIF FPU_SENSE_i.BLIF N_367_i.BLIF a_decode_10__n.BLIF \ - un1_amiga_bus_enable_low.BLIF N_122_i.BLIF un1_SM_AMIGA_0_sqmuxa_3_i.BLIF un6_as_030.BLIF a_decode_i_16__n.BLIF N_278_0.BLIF a_decode_9__n.BLIF un3_size.BLIF a_decode_i_18__n.BLIF \ - N_218_i.BLIF un4_size.BLIF a_decode_i_19__n.BLIF N_366_0.BLIF a_decode_8__n.BLIF un1_LDS_000_INT.BLIF BGACK_030_INT_i.BLIF VPA_c_i.BLIF un1_UDS_000_INT.BLIF \ - AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_55_0.BLIF a_decode_7__n.BLIF un4_as_000.BLIF N_101_i.BLIF N_7_i.BLIF un10_ciin.BLIF N_102_i.BLIF N_47_0.BLIF \ - a_decode_6__n.BLIF un21_fpu_cs.BLIF a_i_1__n.BLIF LDS_000_INT_i.BLIF un22_berr.BLIF cpu_est_i_1__n.BLIF un1_LDS_000_INT_0.BLIF a_decode_5__n.BLIF un6_ds_030.BLIF \ - cpu_est_i_2__n.BLIF UDS_000_INT_i.BLIF cpu_est_0_.BLIF VPA_D_i.BLIF un1_UDS_000_INT_0.BLIF a_decode_4__n.BLIF cpu_est_1_.BLIF DTACK_D0_i.BLIF N_25_i.BLIF \ - cpu_est_2_.BLIF cpu_est_i_3__n.BLIF N_35_0.BLIF a_decode_3__n.BLIF cpu_est_3_.BLIF nEXP_SPACE_i.BLIF N_24_i.BLIF inst_AS_000_INT.BLIF AS_000_i.BLIF \ - N_36_0.BLIF a_decode_2__n.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF clk_000_d_i_0__n.BLIF N_23_i.BLIF inst_AS_030_D0.BLIF RESET_OUT_i.BLIF N_37_0.BLIF inst_AS_030_000_SYNC.BLIF \ - AS_000_DMA_i.BLIF N_22_i.BLIF inst_BGACK_030_INT_D.BLIF RW_000_i.BLIF N_38_0.BLIF inst_AS_000_DMA.BLIF CLK_030_H_i.BLIF N_19_i.BLIF inst_DS_000_DMA.BLIF \ - cycle_dma_i_0__n.BLIF N_41_0.BLIF CYCLE_DMA_0_.BLIF AS_030_D0_i.BLIF N_17_i.BLIF CYCLE_DMA_1_.BLIF size_dma_i_0__n.BLIF N_43_0.BLIF SIZE_DMA_0_.BLIF \ - size_dma_i_1__n.BLIF N_10_i.BLIF SIZE_DMA_1_.BLIF ahigh_i_30__n.BLIF N_44_0.BLIF inst_VPA_D.BLIF ahigh_i_31__n.BLIF a_c_i_0__n.BLIF inst_DTACK_D0.BLIF \ - ahigh_i_28__n.BLIF size_c_i_1__n.BLIF inst_RESET_OUT.BLIF ahigh_i_29__n.BLIF pos_clk_un10_sm_amiga_i_n.BLIF CLK_000_D_1_.BLIF ahigh_i_26__n.BLIF N_259_i.BLIF CLK_000_D_0_.BLIF \ - ahigh_i_27__n.BLIF pos_clk_un6_bgack_000_0_n.BLIF inst_CLK_OUT_PRE_50.BLIF ahigh_i_24__n.BLIF N_282_0.BLIF inst_CLK_OUT_PRE_25.BLIF ahigh_i_25__n.BLIF N_21_i.BLIF inst_CLK_OUT_PRE_D.BLIF \ - N_244_i.BLIF N_39_0.BLIF IPL_D0_0_.BLIF N_245_i.BLIF N_188_i.BLIF IPL_D0_1_.BLIF N_246_i.BLIF N_187_i.BLIF IPL_D0_2_.BLIF \ - N_58_0.BLIF pos_clk_un6_bg_030_n.BLIF un6_ds_030_i.BLIF N_209_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF DS_000_DMA_i.BLIF N_208_i.BLIF inst_DSACK1_INTreg.BLIF un4_as_000_i.BLIF \ - pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_ipl_n.BLIF un6_as_030_i.BLIF N_210_i.BLIF inst_LDS_000_INT.BLIF AS_030_c.BLIF N_211_i.BLIF inst_DS_000_ENABLE.BLIF cpu_est_2_0_1__n.BLIF \ - inst_UDS_000_INT.BLIF AS_000_c.BLIF N_258_i.BLIF SM_AMIGA_6_.BLIF N_212_i.BLIF SM_AMIGA_4_.BLIF RW_000_c.BLIF cpu_est_2_0_2__n.BLIF SM_AMIGA_1_.BLIF \ - N_216_i.BLIF SM_AMIGA_0_.BLIF N_215_i.BLIF inst_RW_000_INT.BLIF UDS_000_c.BLIF N_40_i.BLIF inst_RW_000_DMA.BLIF N_138_0.BLIF RST_DLY_0_.BLIF \ - LDS_000_c.BLIF N_142_i.BLIF RST_DLY_1_.BLIF N_143_i.BLIF RST_DLY_2_.BLIF size_c_0__n.BLIF VMA_INT_i.BLIF inst_A0_DMA.BLIF N_392_i.BLIF \ - inst_CLK_030_H.BLIF size_c_1__n.BLIF N_393_i.BLIF pos_clk_rw_000_int_5_n.BLIF N_152_i.BLIF SM_AMIGA_5_.BLIF ahigh_c_24__n.BLIF N_161_0.BLIF SM_AMIGA_3_.BLIF \ - SM_AMIGA_2_.BLIF ahigh_c_25__n.BLIF N_106_i.BLIF pos_clk_ds_000_dma_4_n.BLIF N_186_i.BLIF N_3.BLIF ahigh_c_26__n.BLIF CLK_030_c_i.BLIF N_8.BLIF \ - N_164_0.BLIF ahigh_c_27__n.BLIF N_67_i.BLIF LDS_000_c_i.BLIF ahigh_c_28__n.BLIF UDS_000_c_i.BLIF N_156_i.BLIF ahigh_c_29__n.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF \ - N_27.BLIF N_237_i.BLIF N_28.BLIF ahigh_c_30__n.BLIF N_131_i.BLIF N_29.BLIF CLK_OUT_PRE_25_0.BLIF ahigh_c_31__n.BLIF N_368_i.BLIF \ - N_275_0.BLIF N_227_i.BLIF N_276_0.BLIF N_226_i.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n.BLIF N_225_i.BLIF pos_clk_ds_000_dma_4_0_n.BLIF N_224_i.BLIF \ - pos_clk_size_dma_6_0_1__n.BLIF N_223_i.BLIF pos_clk_size_dma_6_0_0__n.BLIF N_222_i.BLIF N_201_i.BLIF N_202_i.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_199_i.BLIF N_200_i.BLIF \ - sm_amiga_nss_0_2__n.BLIF N_189_i.BLIF N_190_i.BLIF N_29_i.BLIF N_33_0.BLIF N_28_i.BLIF SM_AMIGA_i_7_.BLIF N_32_0.BLIF N_27_i.BLIF \ - N_31_0.BLIF a_decode_c_16__n.BLIF ipl_c_i_2__n.BLIF N_54_0.BLIF a_decode_c_17__n.BLIF ipl_c_i_1__n.BLIF N_53_0.BLIF pos_clk_size_dma_6_0__n.BLIF a_decode_c_18__n.BLIF \ - ipl_c_i_0__n.BLIF pos_clk_size_dma_6_1__n.BLIF N_52_0.BLIF N_106.BLIF a_decode_c_19__n.BLIF DTACK_c_i.BLIF G_119.BLIF N_56_0.BLIF G_120.BLIF \ - a_decode_c_20__n.BLIF N_3_i.BLIF G_121.BLIF N_50_0.BLIF pos_clk_un21_bgack_030_int_i_0_n.BLIF a_decode_c_21__n.BLIF N_8_i.BLIF N_275.BLIF N_46_0.BLIF \ - N_276.BLIF a_decode_c_22__n.BLIF pos_clk_un10_sm_amiga_i_1_n.BLIF sm_amiga_nss_i_0_1_0__n.BLIF N_108.BLIF a_decode_c_23__n.BLIF sm_amiga_nss_i_0_2_0__n.BLIF N_110.BLIF sm_amiga_nss_i_0_3_0__n.BLIF \ - a_c_0__n.BLIF sm_amiga_nss_i_0_4_0__n.BLIF sm_amiga_nss_i_0_5_0__n.BLIF N_127.BLIF a_c_1__n.BLIF un10_ciin_1.BLIF N_130.BLIF un10_ciin_2.BLIF N_131.BLIF \ - nEXP_SPACE_c.BLIF un10_ciin_3.BLIF N_139.BLIF un10_ciin_4.BLIF N_152.BLIF BERR_c.BLIF un10_ciin_5.BLIF N_156.BLIF un10_ciin_6.BLIF \ - N_164.BLIF BG_030_c.BLIF un10_ciin_7.BLIF N_370.BLIF un10_ciin_8.BLIF N_177.BLIF BG_000DFFreg.BLIF un10_ciin_9.BLIF N_179.BLIF \ - un10_ciin_10.BLIF N_185.BLIF un10_ciin_11.BLIF N_186.BLIF BGACK_000_c.BLIF pos_clk_un21_bgack_030_int_i_0_0_1_n.BLIF N_189.BLIF pos_clk_un21_bgack_030_int_i_0_0_2_n.BLIF N_190.BLIF \ - CLK_030_c.BLIF N_307_i_1.BLIF N_199.BLIF N_307_i_2.BLIF N_200.BLIF N_202_1.BLIF N_201.BLIF N_202_2.BLIF N_202.BLIF \ - CLK_OSZI_c.BLIF N_208_1.BLIF N_203.BLIF N_208_2.BLIF N_211.BLIF N_209_1.BLIF N_217.BLIF CLK_OUT_INTreg.BLIF N_209_2.BLIF \ - N_222.BLIF N_392_1.BLIF N_223.BLIF N_392_2.BLIF N_224.BLIF FPU_SENSE_c.BLIF N_122_1.BLIF N_225.BLIF N_122_2.BLIF \ - N_226.BLIF IPL_030DFF_0_reg.BLIF N_122_3.BLIF N_227.BLIF N_122_4.BLIF N_236.BLIF IPL_030DFF_1_reg.BLIF N_218_1.BLIF N_237.BLIF \ - N_218_2.BLIF N_243.BLIF IPL_030DFF_2_reg.BLIF un21_fpu_cs_1.BLIF N_391.BLIF un22_berr_1_0.BLIF N_250.BLIF ipl_c_0__n.BLIF N_305_i_1.BLIF \ - pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF N_305_i_2.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF ipl_c_1__n.BLIF N_304_i_1.BLIF N_208.BLIF N_304_i_2.BLIF N_209.BLIF ipl_c_2__n.BLIF \ - N_178_1.BLIF N_258.BLIF N_178_2.BLIF N_161.BLIF N_178_3.BLIF N_392.BLIF DTACK_c.BLIF N_204_1_0.BLIF N_393.BLIF \ - N_125_i_1.BLIF N_138.BLIF N_276_0_1.BLIF N_143.BLIF pos_clk_rw_000_int_5_0_1_n.BLIF N_215.BLIF VPA_c.BLIF N_277_i_1.BLIF N_216.BLIF \ - N_306_i_1.BLIF N_214.BLIF pos_clk_un6_bg_030_1_n.BLIF cpu_est_2_2__n.BLIF RST_c.BLIF N_211_1.BLIF N_212.BLIF N_203_1.BLIF cpu_est_2_1__n.BLIF \ - N_199_1.BLIF N_210.BLIF RW_c.BLIF N_185_1.BLIF pos_clk_un9_clk_000_pe_n.BLIF N_179_1.BLIF N_187.BLIF fc_c_0__n.BLIF N_177_1.BLIF \ - N_188.BLIF pos_clk_ipl_1_n.BLIF N_21.BLIF fc_c_1__n.BLIF dsack1_int_0_un3_n.BLIF N_247.BLIF dsack1_int_0_un1_n.BLIF N_282.BLIF dsack1_int_0_un0_n.BLIF \ - pos_clk_un6_bgack_000_n.BLIF AMIGA_BUS_DATA_DIR_c.BLIF rw_000_int_0_un3_n.BLIF N_259.BLIF rw_000_int_0_un1_n.BLIF pos_clk_a0_dma_3_n.BLIF rw_000_int_0_un0_n.BLIF N_101.BLIF as_000_int_0_un3_n.BLIF \ - N_102.BLIF as_000_int_0_un1_n.BLIF N_10.BLIF N_18_i.BLIF as_000_int_0_un0_n.BLIF N_17.BLIF N_42_0.BLIF bg_000_0_un3_n.BLIF N_19.BLIF \ - N_5_i.BLIF bg_000_0_un1_n.BLIF N_22.BLIF N_48_0.BLIF bg_000_0_un0_n.BLIF N_23.BLIF N_4_i.BLIF cpu_est_0_3__un3_n.BLIF N_24.BLIF \ - N_49_0.BLIF cpu_est_0_3__un1_n.BLIF N_25.BLIF N_191_i.BLIF cpu_est_0_3__un0_n.BLIF N_6.BLIF un1_SM_AMIGA_0_sqmuxa_2_0.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n.BLIF un1_SM_AMIGA_0_sqmuxa_3.BLIF \ - N_193_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF N_278.BLIF N_192_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_7.BLIF sm_amiga_nss_0_6__n.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF pos_clk_un3_as_030_d0_n.BLIF \ - N_177_i.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF N_366.BLIF N_194_i.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_122.BLIF sm_amiga_nss_0_5__n.BLIF uds_000_int_0_un3_n.BLIF N_218.BLIF \ - N_195_i.BLIF uds_000_int_0_un1_n.BLIF un22_berr_1.BLIF N_196_i.BLIF uds_000_int_0_un0_n.BLIF pos_clk_un9_bg_030_n.BLIF sm_amiga_nss_0_4__n.BLIF a0_dma_0_un3_n.BLIF N_26.BLIF \ - N_198_i.BLIF a0_dma_0_un1_n.BLIF cpu_est_2_3__n.BLIF N_197_i.BLIF a0_dma_0_un0_n.BLIF N_180.BLIF sm_amiga_nss_0_3__n.BLIF rw_000_dma_0_un3_n.BLIF N_136.BLIF \ - N_204_i.BLIF rw_000_dma_0_un1_n.BLIF N_249.BLIF N_203_i.BLIF rw_000_dma_0_un0_n.BLIF N_181.BLIF N_303_0.BLIF lds_000_int_0_un3_n.BLIF N_183.BLIF \ - N_280_0.BLIF lds_000_int_0_un1_n.BLIF N_184.BLIF N_279_0.BLIF lds_000_int_0_un0_n.BLIF N_257.BLIF N_236_i.BLIF bgack_030_int_0_un3_n.BLIF N_205.BLIF \ - N_391_i.BLIF bgack_030_int_0_un1_n.BLIF N_206.BLIF N_137_0.BLIF bgack_030_int_0_un0_n.BLIF N_213.BLIF N_241_i.BLIF ds_000_enable_0_un3_n.BLIF N_238.BLIF \ - N_240_i.BLIF ds_000_enable_0_un1_n.BLIF N_162.BLIF sm_amiga_nss_0_7__n.BLIF ds_000_enable_0_un0_n.BLIF N_178.BLIF sm_amiga_i_4__n.BLIF as_030_000_sync_0_un3_n.BLIF N_204_1.BLIF \ - N_242_i.BLIF as_030_000_sync_0_un1_n.BLIF N_155.BLIF N_144_0.BLIF as_030_000_sync_0_un0_n.BLIF N_204.BLIF sm_amiga_i_2__n.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF N_239.BLIF \ - N_154_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF N_252.BLIF sm_amiga_i_6__n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_175.BLIF sm_amiga_i_0__n.BLIF cpu_est_0_2__un3_n.BLIF N_176.BLIF \ - N_155_i.BLIF cpu_est_0_2__un1_n.BLIF N_163.BLIF N_160_0.BLIF cpu_est_0_2__un0_n.BLIF N_160.BLIF N_243_i.BLIF cpu_est_0_1__un3_n.BLIF N_144.BLIF \ - N_163_0.BLIF cpu_est_0_1__un1_n.BLIF N_242.BLIF N_176_i.BLIF cpu_est_0_1__un0_n.BLIF N_240.BLIF N_175_i.BLIF vma_int_0_un3_n.BLIF N_241.BLIF \ - N_252_i.BLIF vma_int_0_un1_n.BLIF N_137.BLIF N_239_i.BLIF vma_int_0_un0_n.BLIF N_279.BLIF N_178_i.BLIF size_dma_0_0__un3_n.BLIF N_91.BLIF \ - sm_amiga_nss_i_0_0__n.BLIF size_dma_0_0__un1_n.BLIF N_280.BLIF size_dma_0_0__un0_n.BLIF N_90.BLIF N_181_i.BLIF size_dma_0_1__un3_n.BLIF N_197.BLIF N_180_i.BLIF \ - size_dma_0_1__un1_n.BLIF N_198.BLIF N_179_i.BLIF size_dma_0_1__un0_n.BLIF N_195.BLIF ipl_030_0_0__un3_n.BLIF N_196.BLIF N_185_i.BLIF ipl_030_0_0__un1_n.BLIF \ - N_194.BLIF N_183_i.BLIF ipl_030_0_0__un0_n.BLIF N_192.BLIF N_184_i.BLIF ipl_030_0_1__un3_n.BLIF N_193.BLIF N_162_0.BLIF ipl_030_0_1__un1_n.BLIF \ - un1_SM_AMIGA_0_sqmuxa_2.BLIF N_139_i.BLIF ipl_030_0_1__un0_n.BLIF N_191.BLIF N_238_i.BLIF ipl_030_0_2__un3_n.BLIF N_4.BLIF N_136_0.BLIF ipl_030_0_2__un1_n.BLIF \ - N_5.BLIF N_130_i.BLIF ipl_030_0_2__un0_n.BLIF N_18.BLIF N_213_i.BLIF ds_000_dma_0_un3_n.BLIF un1_amiga_bus_enable_low_i.BLIF N_214_i.BLIF ds_000_dma_0_un1_n.BLIF \ - un21_fpu_cs_i.BLIF cpu_est_2_0_3__n.BLIF ds_000_dma_0_un0_n.BLIF AS_030_i.BLIF N_206_i.BLIF as_000_dma_0_un3_n.BLIF AS_000_INT_i.BLIF N_205_i.BLIF as_000_dma_0_un1_n.BLIF \ - AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN \ - AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN + FC_0_.BLIF A_1_.BLIF SIZE_1_.BLIF AHIGH_31_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF UDS_000.BLIF LDS_000.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF AHIGH_30_.BLIF AHIGH_29_.BLIF AHIGH_28_.BLIF AHIGH_27_.BLIF AHIGH_26_.BLIF AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF N_130_i.BLIF pos_clk_un6_bgack_000_0_n.BLIF N_131_i.BLIF DTACK_c_i.BLIF CLK_030_H_i.BLIF N_56_0.BLIF RW_000_i.BLIF \ + VPA_c_i.BLIF a_i_1__n.BLIF N_55_0.BLIF RESET_OUT_i.BLIF N_6_i.BLIF AS_030_i.BLIF N_47_0.BLIF FPU_SENSE_i.BLIF N_26_i.BLIF \ + inst_BGACK_030_INTreg.BLIF sm_amiga_i_i_7__n.BLIF N_34_0.BLIF vcc_n_n.BLIF a_decode_i_16__n.BLIF BG_030_c_i.BLIF inst_VMA_INTreg.BLIF AS_030_D0_i.BLIF pos_clk_un6_bg_030_i_n.BLIF \ + gnd_n_n.BLIF size_dma_i_0__n.BLIF pos_clk_un9_bg_030_0_n.BLIF un1_amiga_bus_enable_low.BLIF size_dma_i_1__n.BLIF N_25_i.BLIF un6_as_030.BLIF a_decode_i_18__n.BLIF N_35_0.BLIF \ + un3_size.BLIF a_decode_i_19__n.BLIF N_24_i.BLIF un4_size.BLIF ahigh_i_30__n.BLIF N_36_0.BLIF un1_LDS_000_INT.BLIF ahigh_i_31__n.BLIF N_17_i.BLIF \ + un1_UDS_000_INT.BLIF ahigh_i_28__n.BLIF N_43_0.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF ahigh_i_29__n.BLIF N_4_i.BLIF un1_DS_000_ENABLE_0_sqmuxa.BLIF ahigh_i_26__n.BLIF N_49_0.BLIF \ + un4_as_000.BLIF ahigh_i_27__n.BLIF N_3_i.BLIF un10_ciin.BLIF ahigh_i_24__n.BLIF N_50_0.BLIF un21_fpu_cs.BLIF ahigh_i_25__n.BLIF N_215_i.BLIF \ + un21_berr.BLIF N_210_i.BLIF N_216_i.BLIF un6_ds_030.BLIF N_211_i.BLIF N_301_0.BLIF cpu_est_2_.BLIF N_212_i.BLIF N_243_0.BLIF \ + cpu_est_3_.BLIF N_266_i.BLIF cpu_est_0_.BLIF un6_ds_030_i.BLIF N_249_i.BLIF cpu_est_1_.BLIF un4_as_000_i.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF inst_AS_000_INT.BLIF \ + AS_000_INT_i.BLIF N_268_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF un6_as_030_i.BLIF pos_clk_ds_000_dma_4_0_n.BLIF inst_AS_030_D0.BLIF AS_030_c.BLIF CLK_030_c_i.BLIF inst_AS_030_000_SYNC.BLIF \ + N_236_0.BLIF inst_BGACK_030_INT_D.BLIF AS_000_c.BLIF un1_as_000_i.BLIF inst_AS_000_DMA.BLIF N_297_i.BLIF inst_DS_000_DMA.BLIF RW_000_c.BLIF N_160_i.BLIF \ + CYCLE_DMA_0_.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF CYCLE_DMA_1_.BLIF N_100_i.BLIF SIZE_DMA_0_.BLIF UDS_000_c.BLIF N_186_0.BLIF SIZE_DMA_1_.BLIF N_183_0.BLIF \ + inst_VPA_D.BLIF LDS_000_c.BLIF N_182_0.BLIF CLK_000_D_1_.BLIF N_181_0.BLIF inst_DTACK_D0.BLIF size_c_0__n.BLIF N_228_i.BLIF inst_RESET_OUT.BLIF \ + N_176_0.BLIF CLK_000_D_0_.BLIF size_c_1__n.BLIF LDS_000_c_i.BLIF inst_CLK_OUT_PRE_50.BLIF UDS_000_c_i.BLIF inst_CLK_OUT_PRE_25.BLIF ahigh_c_24__n.BLIF N_173_i.BLIF \ + inst_CLK_OUT_PRE_D.BLIF N_304_i.BLIF IPL_D0_0_.BLIF ahigh_c_25__n.BLIF AS_030_000_SYNC_i.BLIF IPL_D0_1_.BLIF N_157_i.BLIF IPL_D0_2_.BLIF ahigh_c_26__n.BLIF \ + N_110_0.BLIF CLK_000_D_2_.BLIF RW_c_i.BLIF pos_clk_un6_bg_030_n.BLIF ahigh_c_27__n.BLIF N_106_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_284_i.BLIF inst_DSACK1_INTreg.BLIF \ + ahigh_c_28__n.BLIF pos_clk_ipl_n.BLIF N_334_i.BLIF inst_LDS_000_INT.BLIF ahigh_c_29__n.BLIF inst_DS_000_ENABLE.BLIF N_278_i.BLIF inst_UDS_000_INT.BLIF ahigh_c_30__n.BLIF \ + N_279_i.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF ahigh_c_31__n.BLIF N_332_i.BLIF SM_AMIGA_1_.BLIF N_237_0.BLIF SM_AMIGA_0_.BLIF un1_SM_AMIGA_0_sqmuxa_1_0.BLIF \ + inst_RW_000_INT.BLIF N_247_i.BLIF inst_RW_000_DMA.BLIF N_248_i.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_246_i.BLIF RST_DLY_2_.BLIF inst_A0_DMA.BLIF \ + pos_clk_a0_dma_3_n.BLIF un10_ciin_i.BLIF inst_CLK_030_H.BLIF N_241_0.BLIF SM_AMIGA_5_.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF SM_AMIGA_3_.BLIF N_242_0.BLIF SM_AMIGA_2_.BLIF \ + N_48_i.BLIF N_227_i.BLIF N_9.BLIF N_225_i.BLIF N_224_i.BLIF N_15.BLIF N_223_i.BLIF N_16.BLIF N_22.BLIF \ + N_218_i.BLIF CLK_OUT_PRE_25_0.BLIF pos_clk_size_dma_6_0_1__n.BLIF N_217_i.BLIF pos_clk_size_dma_6_0_0__n.BLIF N_213_i.BLIF N_319_i.BLIF N_300_0.BLIF N_15_i.BLIF \ + a_decode_c_16__n.BLIF N_45_0.BLIF N_16_i.BLIF a_decode_c_17__n.BLIF N_44_0.BLIF N_22_i.BLIF a_decode_c_18__n.BLIF N_38_0.BLIF pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF \ + a_decode_c_19__n.BLIF pos_clk_un21_bgack_030_int_i_0_i_2_n.BLIF N_238_i_1.BLIF a_decode_c_20__n.BLIF N_238_i_2.BLIF N_239_i_1.BLIF a_decode_c_21__n.BLIF N_239_i_2.BLIF pos_clk_un10_sm_amiga_i_1_n.BLIF \ + a_decode_c_22__n.BLIF un10_ciin_1.BLIF un10_ciin_2.BLIF a_decode_c_23__n.BLIF un10_ciin_3.BLIF un10_ciin_4.BLIF a_c_0__n.BLIF un10_ciin_5.BLIF un10_ciin_6.BLIF \ + SM_AMIGA_i_7_.BLIF a_c_1__n.BLIF un10_ciin_7.BLIF pos_clk_size_dma_6_0__n.BLIF un10_ciin_8.BLIF pos_clk_size_dma_6_1__n.BLIF nEXP_SPACE_c.BLIF un10_ciin_9.BLIF G_107.BLIF \ + un10_ciin_10.BLIF G_108.BLIF BERR_c.BLIF un10_ciin_11.BLIF G_109.BLIF N_357_1.BLIF pos_clk_un21_bgack_030_int_i_0_n.BLIF BG_030_c.BLIF N_357_2.BLIF \ + N_237.BLIF N_357_3.BLIF N_241.BLIF BG_000DFFreg.BLIF N_357_4.BLIF N_242.BLIF N_304_i_1.BLIF un21_fpu_cs_1.BLIF N_283.BLIF \ + BGACK_000_c.BLIF un21_berr_1_0.BLIF N_294.BLIF N_266_1.BLIF N_300.BLIF CLK_030_c.BLIF N_266_2.BLIF N_67_i_1.BLIF N_106.BLIF \ + N_67_i_2.BLIF N_314_1.BLIF N_134.BLIF CLK_OSZI_c.BLIF N_314_2.BLIF N_138.BLIF N_318_1.BLIF N_156.BLIF N_318_2.BLIF \ + N_160.BLIF CLK_OUT_INTreg.BLIF N_341_1.BLIF N_167.BLIF N_341_2.BLIF N_172.BLIF N_151_i_1.BLIF N_173.BLIF FPU_SENSE_c.BLIF \ + N_143_i_1.BLIF N_181.BLIF N_141_i_1.BLIF N_182.BLIF IPL_030DFF_0_reg.BLIF N_237_0_1.BLIF N_183.BLIF N_240_i_1.BLIF N_191.BLIF \ + IPL_030DFF_1_reg.BLIF N_60_i_1.BLIF N_199.BLIF N_64_i_1.BLIF N_205.BLIF IPL_030DFF_2_reg.BLIF N_155_i_1.BLIF N_209.BLIF N_147_i_1.BLIF \ + N_319.BLIF ipl_c_0__n.BLIF N_145_i_1.BLIF N_213.BLIF N_139_i_1.BLIF N_216.BLIF ipl_c_1__n.BLIF pos_clk_un6_bg_030_1_n.BLIF N_217.BLIF \ + N_220_1.BLIF N_218.BLIF ipl_c_2__n.BLIF N_216_1.BLIF N_220.BLIF N_205_1.BLIF N_223.BLIF N_199_1.BLIF N_224.BLIF \ + DTACK_c.BLIF pos_clk_ipl_1_n.BLIF N_225.BLIF uds_000_int_0_un3_n.BLIF N_227.BLIF uds_000_int_0_un1_n.BLIF N_228.BLIF uds_000_int_0_un0_n.BLIF N_246.BLIF \ + VPA_c.BLIF as_000_int_0_un3_n.BLIF N_247.BLIF as_000_int_0_un1_n.BLIF N_248.BLIF as_000_int_0_un0_n.BLIF N_332.BLIF RST_c.BLIF dsack1_int_0_un3_n.BLIF \ + N_278.BLIF dsack1_int_0_un1_n.BLIF N_279.BLIF dsack1_int_0_un0_n.BLIF N_334.BLIF RW_c.BLIF vma_int_0_un3_n.BLIF N_284.BLIF vma_int_0_un1_n.BLIF \ + N_343.BLIF fc_c_0__n.BLIF vma_int_0_un0_n.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF lds_000_int_0_un3_n.BLIF un21_berr_1.BLIF fc_c_1__n.BLIF lds_000_int_0_un1_n.BLIF N_357.BLIF \ + lds_000_int_0_un0_n.BLIF N_266.BLIF ipl_030_0_1__un3_n.BLIF N_186.BLIF AMIGA_BUS_DATA_DIR_c.BLIF ipl_030_0_1__un1_n.BLIF pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF ipl_030_0_1__un0_n.BLIF N_297.BLIF \ + ipl_030_0_0__un3_n.BLIF N_236.BLIF ipl_030_0_0__un1_n.BLIF pos_clk_ds_000_dma_4_n.BLIF ipl_030_0_0__un0_n.BLIF N_268.BLIF UDS_000_INT_i.BLIF cpu_est_0_3__un3_n.BLIF N_249.BLIF \ + un1_UDS_000_INT_0.BLIF cpu_est_0_3__un1_n.BLIF N_243.BLIF LDS_000_INT_i.BLIF cpu_est_0_3__un0_n.BLIF N_215.BLIF un1_LDS_000_INT_0.BLIF cpu_est_0_2__un3_n.BLIF N_130.BLIF \ + N_23_i.BLIF cpu_est_0_2__un1_n.BLIF N_131.BLIF N_37_0.BLIF cpu_est_0_2__un0_n.BLIF N_3.BLIF N_21_i.BLIF cpu_est_0_1__un3_n.BLIF N_4.BLIF \ + N_39_0.BLIF cpu_est_0_1__un1_n.BLIF N_17.BLIF N_20_i.BLIF cpu_est_0_1__un0_n.BLIF N_24.BLIF N_40_0.BLIF ipl_030_0_2__un3_n.BLIF N_25.BLIF \ + N_19_i.BLIF ipl_030_0_2__un1_n.BLIF pos_clk_un9_bg_030_n.BLIF N_41_0.BLIF ipl_030_0_2__un0_n.BLIF N_6.BLIF N_14_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF pos_clk_un6_bgack_000_n.BLIF \ + N_46_0.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF N_26.BLIF ipl_c_i_0__n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_208.BLIF N_52_0.BLIF rw_000_dma_0_un3_n.BLIF N_207.BLIF \ + ipl_c_i_1__n.BLIF rw_000_dma_0_un1_n.BLIF N_349.BLIF N_53_0.BLIF rw_000_dma_0_un0_n.BLIF N_314.BLIF ipl_c_i_2__n.BLIF as_000_dma_0_un3_n.BLIF N_318.BLIF \ + N_54_0.BLIF as_000_dma_0_un1_n.BLIF N_348.BLIF N_27_i.BLIF as_000_dma_0_un0_n.BLIF N_201.BLIF N_31_0.BLIF ds_000_dma_0_un3_n.BLIF N_200.BLIF \ + N_28_i.BLIF ds_000_dma_0_un1_n.BLIF N_203.BLIF N_32_0.BLIF ds_000_dma_0_un0_n.BLIF N_204.BLIF N_29_i.BLIF bgack_030_int_0_un3_n.BLIF N_185.BLIF \ + N_33_0.BLIF bgack_030_int_0_un1_n.BLIF N_184.BLIF a_c_i_0__n.BLIF bgack_030_int_0_un0_n.BLIF N_180.BLIF size_c_i_1__n.BLIF bg_000_0_un3_n.BLIF N_179.BLIF \ + pos_clk_un10_sm_amiga_i_n.BLIF bg_000_0_un1_n.BLIF N_178.BLIF N_256_0.BLIF bg_000_0_un0_n.BLIF N_171.BLIF N_318_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF N_341.BLIF \ + N_314_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF N_342.BLIF pos_clk_un9_clk_000_pe_0_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_169.BLIF N_219_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n.BLIF N_154.BLIF \ + N_220_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF N_165.BLIF cpu_est_2_0_1__n.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_162.BLIF N_221_i.BLIF size_dma_0_0__un3_n.BLIF N_299.BLIF \ + N_348_i.BLIF size_dma_0_0__un1_n.BLIF N_153.BLIF cpu_est_2_0_2__n.BLIF size_dma_0_0__un0_n.BLIF N_142.BLIF N_222_i.BLIF size_dma_0_1__un3_n.BLIF N_298.BLIF \ + N_196_i.BLIF size_dma_0_1__un1_n.BLIF N_80.BLIF N_226_i.BLIF size_dma_0_1__un0_n.BLIF N_232.BLIF ds_000_enable_0_un3_n.BLIF N_233.BLIF N_231_i.BLIF \ + ds_000_enable_0_un1_n.BLIF N_229.BLIF N_229_i.BLIF ds_000_enable_0_un0_n.BLIF N_231.BLIF N_302_i.BLIF as_030_000_sync_0_un3_n.BLIF N_226.BLIF N_233_i.BLIF \ + as_030_000_sync_0_un1_n.BLIF N_221.BLIF N_232_i.BLIF as_030_000_sync_0_un0_n.BLIF N_222.BLIF rw_000_int_0_un3_n.BLIF cpu_est_2_2__n.BLIF N_80_0.BLIF rw_000_int_0_un1_n.BLIF \ + cpu_est_2_1__n.BLIF N_343_i.BLIF rw_000_int_0_un0_n.BLIF N_219.BLIF N_214_0.BLIF a0_dma_0_un3_n.BLIF pos_clk_un9_clk_000_pe_n.BLIF N_166_i.BLIF a0_dma_0_un1_n.BLIF \ + N_256.BLIF N_134_i.BLIF a0_dma_0_un0_n.BLIF N_29.BLIF N_298_i.BLIF a_decode_15__n.BLIF N_28.BLIF N_142_0.BLIF N_27.BLIF \ + N_153_i.BLIF a_decode_14__n.BLIF N_14.BLIF N_154_0.BLIF N_19.BLIF N_156_i.BLIF a_decode_13__n.BLIF N_20.BLIF N_305_i.BLIF \ + N_21.BLIF N_299_i.BLIF a_decode_12__n.BLIF N_23.BLIF N_162_0.BLIF un1_amiga_bus_enable_low_i.BLIF N_165_0.BLIF a_decode_11__n.BLIF un21_fpu_cs_i.BLIF \ + N_169_i.BLIF cpu_est_i_1__n.BLIF VMA_INT_i.BLIF a_decode_10__n.BLIF rst_dly_i_2__n.BLIF N_341_i.BLIF rst_dly_i_1__n.BLIF N_342_i.BLIF a_decode_9__n.BLIF \ + cpu_est_i_0__n.BLIF N_171_i.BLIF cpu_est_i_2__n.BLIF N_172_i.BLIF a_decode_8__n.BLIF sm_amiga_i_0__n.BLIF N_178_0.BLIF sm_amiga_i_3__n.BLIF N_179_0.BLIF \ + a_decode_7__n.BLIF sm_amiga_i_4__n.BLIF N_180_0.BLIF sm_amiga_i_5__n.BLIF N_184_0.BLIF a_decode_6__n.BLIF rst_dly_i_0__n.BLIF N_185_0.BLIF sm_amiga_i_2__n.BLIF \ + N_203_i.BLIF a_decode_5__n.BLIF sm_amiga_i_1__n.BLIF N_204_i.BLIF VPA_D_i.BLIF N_205_i.BLIF a_decode_4__n.BLIF clk_000_d_i_1__n.BLIF cpu_est_i_3__n.BLIF \ + N_200_i.BLIF a_decode_3__n.BLIF sm_amiga_i_6__n.BLIF N_199_i.BLIF clk_000_d_i_0__n.BLIF N_201_i.BLIF a_decode_2__n.BLIF BGACK_030_INT_i.BLIF AS_000_i.BLIF \ + AS_000_DMA_i.BLIF N_208_i.BLIF nEXP_SPACE_i.BLIF N_207_i.BLIF cycle_dma_i_0__n.BLIF N_167_i.BLIF DS_000_DMA_i.BLIF N_138_i.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF \ + N_349_i.BLIF AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN \ + AHIGH_25_.PIN AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN \ + RW.PIN .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E VMA \ - RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D \ - SM_AMIGA_0_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C \ - IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D \ - SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C \ - cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D \ - RST_DLY_2_.C CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ - inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_DS_000_DMA.D inst_DS_000_DMA.C inst_AS_030_D0.D inst_AS_030_D0.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D \ - inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C BG_000DFFreg.D BG_000DFFreg.C \ - inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D inst_A0_DMA.C inst_VMA_INTreg.D inst_VMA_INTreg.C inst_RW_000_DMA.D \ - inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ - inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C pos_clk_un21_bgack_030_int_i_0_o2_2_x2.X1 pos_clk_un21_bgack_030_int_i_0_o2_2_x2.X2 pos_clk_CYCLE_DMA_5_1_i_x2.X1 pos_clk_CYCLE_DMA_5_1_i_x2.X2 CLK_OUT_PRE_25_0.X1 CLK_OUT_PRE_25_0.X2 G_119.X1 \ - G_119.X2 G_120.X1 G_120.X2 G_121.X1 G_121.X2 SIZE_1_ AHIGH_31_ AS_030 AS_000 RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ N_91_i as_000_dma_0_un0_n N_90_i N_248_i a_decode_15__n sm_amiga_i_i_7__n \ - N_26_i AS_030_000_SYNC_i N_34_0 a_decode_14__n sm_amiga_i_3__n BG_030_c_i rst_dly_i_0__n pos_clk_un6_bg_030_i_n a_decode_13__n rst_dly_i_1__n pos_clk_un9_bg_030_0_n \ - clk_000_d_i_1__n pos_clk_un3_as_030_d0_i_n a_decode_12__n N_249_i_0 un10_ciin_i vcc_n_n cpu_est_i_0__n N_127_0 a_decode_11__n rst_dly_i_2__n N_369_0 \ - gnd_n_n FPU_SENSE_i N_367_i a_decode_10__n un1_amiga_bus_enable_low N_122_i un1_SM_AMIGA_0_sqmuxa_3_i un6_as_030 a_decode_i_16__n N_278_0 a_decode_9__n \ - un3_size a_decode_i_18__n N_218_i un4_size a_decode_i_19__n N_366_0 a_decode_8__n un1_LDS_000_INT BGACK_030_INT_i VPA_c_i un1_UDS_000_INT \ - AMIGA_BUS_ENABLE_DMA_LOW_i N_55_0 a_decode_7__n un4_as_000 N_101_i N_7_i un10_ciin N_102_i N_47_0 a_decode_6__n un21_fpu_cs \ - a_i_1__n LDS_000_INT_i un22_berr cpu_est_i_1__n un1_LDS_000_INT_0 a_decode_5__n un6_ds_030 cpu_est_i_2__n UDS_000_INT_i VPA_D_i un1_UDS_000_INT_0 \ - a_decode_4__n DTACK_D0_i N_25_i cpu_est_i_3__n N_35_0 a_decode_3__n nEXP_SPACE_i N_24_i AS_000_i N_36_0 a_decode_2__n \ - clk_000_d_i_0__n N_23_i RESET_OUT_i N_37_0 AS_000_DMA_i N_22_i RW_000_i N_38_0 CLK_030_H_i N_19_i cycle_dma_i_0__n \ - N_41_0 AS_030_D0_i N_17_i size_dma_i_0__n N_43_0 size_dma_i_1__n N_10_i ahigh_i_30__n N_44_0 ahigh_i_31__n a_c_i_0__n \ - ahigh_i_28__n size_c_i_1__n ahigh_i_29__n pos_clk_un10_sm_amiga_i_n ahigh_i_26__n N_259_i ahigh_i_27__n pos_clk_un6_bgack_000_0_n ahigh_i_24__n N_282_0 ahigh_i_25__n \ - N_21_i N_244_i N_39_0 N_245_i N_188_i N_246_i N_187_i N_58_0 pos_clk_un6_bg_030_n un6_ds_030_i N_209_i \ - DS_000_DMA_i N_208_i un4_as_000_i pos_clk_un9_clk_000_pe_0_n pos_clk_ipl_n un6_as_030_i N_210_i AS_030_c N_211_i cpu_est_2_0_1__n AS_000_c \ - N_258_i N_212_i RW_000_c cpu_est_2_0_2__n N_216_i N_215_i UDS_000_c N_40_i N_138_0 LDS_000_c N_142_i \ - N_143_i size_c_0__n VMA_INT_i N_392_i size_c_1__n N_393_i pos_clk_rw_000_int_5_n N_152_i ahigh_c_24__n N_161_0 ahigh_c_25__n \ - N_106_i pos_clk_ds_000_dma_4_n N_186_i N_3 ahigh_c_26__n CLK_030_c_i N_8 N_164_0 ahigh_c_27__n N_67_i LDS_000_c_i \ - ahigh_c_28__n UDS_000_c_i N_156_i ahigh_c_29__n pos_clk_un21_bgack_030_int_i_0_0_n N_27 N_237_i N_28 ahigh_c_30__n N_131_i N_29 \ - ahigh_c_31__n N_368_i N_275_0 N_227_i N_276_0 N_226_i RW_c_i pos_clk_rw_000_int_5_0_n N_225_i pos_clk_ds_000_dma_4_0_n N_224_i \ - pos_clk_size_dma_6_0_1__n N_223_i pos_clk_size_dma_6_0_0__n N_222_i N_201_i N_202_i AMIGA_BUS_DATA_DIR_c_0 N_199_i N_200_i sm_amiga_nss_0_2__n N_189_i \ - N_190_i N_29_i N_33_0 N_28_i N_32_0 N_27_i N_31_0 a_decode_c_16__n ipl_c_i_2__n N_54_0 a_decode_c_17__n \ - ipl_c_i_1__n N_53_0 pos_clk_size_dma_6_0__n a_decode_c_18__n ipl_c_i_0__n pos_clk_size_dma_6_1__n N_52_0 N_106 a_decode_c_19__n DTACK_c_i N_56_0 \ - a_decode_c_20__n N_3_i N_50_0 pos_clk_un21_bgack_030_int_i_0_n a_decode_c_21__n N_8_i N_275 N_46_0 N_276 a_decode_c_22__n pos_clk_un10_sm_amiga_i_1_n \ - sm_amiga_nss_i_0_1_0__n N_108 a_decode_c_23__n sm_amiga_nss_i_0_2_0__n N_110 sm_amiga_nss_i_0_3_0__n a_c_0__n sm_amiga_nss_i_0_4_0__n sm_amiga_nss_i_0_5_0__n N_127 a_c_1__n \ - un10_ciin_1 N_130 un10_ciin_2 N_131 nEXP_SPACE_c un10_ciin_3 N_139 un10_ciin_4 N_152 BERR_c un10_ciin_5 \ - N_156 un10_ciin_6 N_164 BG_030_c un10_ciin_7 N_370 un10_ciin_8 N_177 un10_ciin_9 N_179 un10_ciin_10 \ - N_185 un10_ciin_11 N_186 BGACK_000_c pos_clk_un21_bgack_030_int_i_0_0_1_n N_189 pos_clk_un21_bgack_030_int_i_0_0_2_n N_190 CLK_030_c N_307_i_1 N_199 \ - N_307_i_2 N_200 N_202_1 N_201 N_202_2 N_202 CLK_OSZI_c N_208_1 N_203 N_208_2 N_211 \ - N_209_1 N_217 N_209_2 N_222 N_392_1 N_223 N_392_2 N_224 FPU_SENSE_c N_122_1 N_225 \ - N_122_2 N_226 N_122_3 N_227 N_122_4 N_236 N_218_1 N_237 N_218_2 N_243 un21_fpu_cs_1 \ - N_391 un22_berr_1_0 N_250 ipl_c_0__n N_305_i_1 N_305_i_2 ipl_c_1__n N_304_i_1 N_208 N_304_i_2 N_209 \ - ipl_c_2__n N_178_1 N_258 N_178_2 N_161 N_178_3 N_392 DTACK_c N_204_1_0 N_393 N_125_i_1 \ - N_138 N_276_0_1 N_143 pos_clk_rw_000_int_5_0_1_n N_215 VPA_c N_277_i_1 N_216 N_306_i_1 N_214 pos_clk_un6_bg_030_1_n \ - cpu_est_2_2__n RST_c N_211_1 N_212 N_203_1 cpu_est_2_1__n N_199_1 N_210 RW_c N_185_1 pos_clk_un9_clk_000_pe_n \ - N_179_1 N_187 fc_c_0__n N_177_1 N_188 pos_clk_ipl_1_n N_21 fc_c_1__n dsack1_int_0_un3_n N_247 dsack1_int_0_un1_n \ - N_282 dsack1_int_0_un0_n pos_clk_un6_bgack_000_n AMIGA_BUS_DATA_DIR_c rw_000_int_0_un3_n N_259 rw_000_int_0_un1_n pos_clk_a0_dma_3_n rw_000_int_0_un0_n N_101 as_000_int_0_un3_n \ - N_102 as_000_int_0_un1_n N_10 N_18_i as_000_int_0_un0_n N_17 N_42_0 bg_000_0_un3_n N_19 N_5_i bg_000_0_un1_n \ - N_22 N_48_0 bg_000_0_un0_n N_23 N_4_i cpu_est_0_3__un3_n N_24 N_49_0 cpu_est_0_3__un1_n N_25 N_191_i \ - cpu_est_0_3__un0_n N_6 un1_SM_AMIGA_0_sqmuxa_2_0 un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n un1_SM_AMIGA_0_sqmuxa_3 N_193_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n N_278 N_192_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n N_7 \ - sm_amiga_nss_0_6__n amiga_bus_enable_dma_low_0_un3_n pos_clk_un3_as_030_d0_n N_177_i amiga_bus_enable_dma_low_0_un1_n N_366 N_194_i amiga_bus_enable_dma_low_0_un0_n N_122 sm_amiga_nss_0_5__n uds_000_int_0_un3_n \ - N_218 N_195_i uds_000_int_0_un1_n un22_berr_1 N_196_i uds_000_int_0_un0_n pos_clk_un9_bg_030_n sm_amiga_nss_0_4__n a0_dma_0_un3_n N_26 N_198_i \ - a0_dma_0_un1_n cpu_est_2_3__n N_197_i a0_dma_0_un0_n N_180 sm_amiga_nss_0_3__n rw_000_dma_0_un3_n N_136 N_204_i rw_000_dma_0_un1_n N_249 \ - N_203_i rw_000_dma_0_un0_n N_181 N_303_0 lds_000_int_0_un3_n N_183 N_280_0 lds_000_int_0_un1_n N_184 N_279_0 lds_000_int_0_un0_n \ - N_257 N_236_i bgack_030_int_0_un3_n N_205 N_391_i bgack_030_int_0_un1_n N_206 N_137_0 bgack_030_int_0_un0_n N_213 N_241_i \ - ds_000_enable_0_un3_n N_238 N_240_i ds_000_enable_0_un1_n N_162 sm_amiga_nss_0_7__n ds_000_enable_0_un0_n N_178 sm_amiga_i_4__n as_030_000_sync_0_un3_n N_204_1 \ - N_242_i as_030_000_sync_0_un1_n N_155 N_144_0 as_030_000_sync_0_un0_n N_204 sm_amiga_i_2__n amiga_bus_enable_dma_high_0_un3_n N_239 N_154_i amiga_bus_enable_dma_high_0_un1_n \ - N_252 sm_amiga_i_6__n amiga_bus_enable_dma_high_0_un0_n N_175 sm_amiga_i_0__n cpu_est_0_2__un3_n N_176 N_155_i cpu_est_0_2__un1_n N_163 N_160_0 \ - cpu_est_0_2__un0_n N_160 N_243_i cpu_est_0_1__un3_n N_144 N_163_0 cpu_est_0_1__un1_n N_242 N_176_i cpu_est_0_1__un0_n N_240 \ - N_175_i vma_int_0_un3_n N_241 N_252_i vma_int_0_un1_n N_137 N_239_i vma_int_0_un0_n N_279 N_178_i size_dma_0_0__un3_n \ - N_91 sm_amiga_nss_i_0_0__n size_dma_0_0__un1_n N_280 size_dma_0_0__un0_n N_90 N_181_i size_dma_0_1__un3_n N_197 N_180_i size_dma_0_1__un1_n \ - N_198 N_179_i size_dma_0_1__un0_n N_195 ipl_030_0_0__un3_n N_196 N_185_i ipl_030_0_0__un1_n N_194 N_183_i ipl_030_0_0__un0_n \ - N_192 N_184_i ipl_030_0_1__un3_n N_193 N_162_0 ipl_030_0_1__un1_n un1_SM_AMIGA_0_sqmuxa_2 N_139_i ipl_030_0_1__un0_n N_191 N_238_i \ - ipl_030_0_2__un3_n N_4 N_136_0 ipl_030_0_2__un1_n N_5 N_130_i ipl_030_0_2__un0_n N_18 N_213_i ds_000_dma_0_un3_n un1_amiga_bus_enable_low_i \ - N_214_i ds_000_dma_0_un1_n un21_fpu_cs_i cpu_est_2_0_3__n ds_000_dma_0_un0_n AS_030_i N_206_i as_000_dma_0_un3_n AS_000_INT_i N_205_i as_000_dma_0_un1_n \ + RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D \ + SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C \ + IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ + SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C CLK_000_D_2_.D CLK_000_D_2_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C \ + SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C RST_DLY_0_.D \ + RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C inst_RW_000_INT.D inst_RW_000_INT.C \ + inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C inst_AS_030_D0.D \ + inst_AS_030_D0.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ + inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D \ + inst_A0_DMA.C inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_VMA_INTreg.D inst_VMA_INTreg.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C \ + inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C pos_clk_CYCLE_DMA_5_1_i_0_x2.X1 pos_clk_CYCLE_DMA_5_1_i_0_x2.X2 pos_clk_un21_bgack_030_int_i_0_o2_2_x2.X1 pos_clk_un21_bgack_030_int_i_0_o2_2_x2.X2 CLK_OUT_PRE_25_0.X1 \ + CLK_OUT_PRE_25_0.X2 G_109.X1 G_109.X2 G_108.X1 G_108.X2 G_107.X1 G_107.X2 SIZE_1_ AHIGH_31_ AS_030 AS_000 RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ N_130_i pos_clk_un6_bgack_000_0_n N_131_i DTACK_c_i \ + CLK_030_H_i N_56_0 RW_000_i VPA_c_i a_i_1__n N_55_0 RESET_OUT_i N_6_i AS_030_i N_47_0 FPU_SENSE_i \ + N_26_i sm_amiga_i_i_7__n N_34_0 vcc_n_n a_decode_i_16__n BG_030_c_i AS_030_D0_i pos_clk_un6_bg_030_i_n gnd_n_n size_dma_i_0__n pos_clk_un9_bg_030_0_n \ + un1_amiga_bus_enable_low size_dma_i_1__n N_25_i un6_as_030 a_decode_i_18__n N_35_0 un3_size a_decode_i_19__n N_24_i un4_size ahigh_i_30__n \ + N_36_0 un1_LDS_000_INT ahigh_i_31__n N_17_i un1_UDS_000_INT ahigh_i_28__n N_43_0 un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_29__n N_4_i un1_DS_000_ENABLE_0_sqmuxa \ + ahigh_i_26__n N_49_0 un4_as_000 ahigh_i_27__n N_3_i un10_ciin ahigh_i_24__n N_50_0 un21_fpu_cs ahigh_i_25__n N_215_i \ + un21_berr N_210_i N_216_i un6_ds_030 N_211_i N_301_0 N_212_i N_243_0 N_266_i un6_ds_030_i N_249_i \ + un4_as_000_i AMIGA_BUS_DATA_DIR_c_0 AS_000_INT_i N_268_i un6_as_030_i pos_clk_ds_000_dma_4_0_n AS_030_c CLK_030_c_i N_236_0 AS_000_c un1_as_000_i \ + N_297_i RW_000_c N_160_i pos_clk_un21_bgack_030_int_i_0_i_n N_100_i UDS_000_c N_186_0 N_183_0 LDS_000_c N_182_0 N_181_0 \ + size_c_0__n N_228_i N_176_0 size_c_1__n LDS_000_c_i UDS_000_c_i ahigh_c_24__n N_173_i N_304_i ahigh_c_25__n AS_030_000_SYNC_i \ + N_157_i ahigh_c_26__n N_110_0 RW_c_i pos_clk_un6_bg_030_n ahigh_c_27__n N_106_0 N_284_i ahigh_c_28__n pos_clk_ipl_n N_334_i \ + ahigh_c_29__n N_278_i ahigh_c_30__n N_279_i ahigh_c_31__n N_332_i N_237_0 un1_SM_AMIGA_0_sqmuxa_1_0 N_247_i N_248_i N_246_i \ + pos_clk_a0_dma_3_n un10_ciin_i N_241_0 un1_DS_000_ENABLE_0_sqmuxa_i N_242_0 N_48_i N_227_i N_9 N_225_i N_224_i N_15 \ + N_223_i N_16 N_22 N_218_i pos_clk_size_dma_6_0_1__n N_217_i pos_clk_size_dma_6_0_0__n N_213_i N_319_i N_300_0 N_15_i \ + a_decode_c_16__n N_45_0 N_16_i a_decode_c_17__n N_44_0 N_22_i a_decode_c_18__n N_38_0 pos_clk_un21_bgack_030_int_i_0_i_1_n a_decode_c_19__n pos_clk_un21_bgack_030_int_i_0_i_2_n \ + N_238_i_1 a_decode_c_20__n N_238_i_2 N_239_i_1 a_decode_c_21__n N_239_i_2 pos_clk_un10_sm_amiga_i_1_n a_decode_c_22__n un10_ciin_1 un10_ciin_2 a_decode_c_23__n \ + un10_ciin_3 un10_ciin_4 a_c_0__n un10_ciin_5 un10_ciin_6 a_c_1__n un10_ciin_7 pos_clk_size_dma_6_0__n un10_ciin_8 pos_clk_size_dma_6_1__n nEXP_SPACE_c \ + un10_ciin_9 un10_ciin_10 BERR_c un10_ciin_11 N_357_1 pos_clk_un21_bgack_030_int_i_0_n BG_030_c N_357_2 N_237 N_357_3 N_241 \ + N_357_4 N_242 N_304_i_1 un21_fpu_cs_1 N_283 BGACK_000_c un21_berr_1_0 N_294 N_266_1 N_300 CLK_030_c \ + N_266_2 N_67_i_1 N_106 N_67_i_2 N_314_1 N_134 CLK_OSZI_c N_314_2 N_138 N_318_1 N_156 \ + N_318_2 N_160 N_341_1 N_167 N_341_2 N_172 N_151_i_1 N_173 FPU_SENSE_c N_143_i_1 N_181 \ + N_141_i_1 N_182 N_237_0_1 N_183 N_240_i_1 N_191 N_60_i_1 N_199 N_64_i_1 N_205 N_155_i_1 \ + N_209 N_147_i_1 N_319 ipl_c_0__n N_145_i_1 N_213 N_139_i_1 N_216 ipl_c_1__n pos_clk_un6_bg_030_1_n N_217 \ + N_220_1 N_218 ipl_c_2__n N_216_1 N_220 N_205_1 N_223 N_199_1 N_224 DTACK_c pos_clk_ipl_1_n \ + N_225 uds_000_int_0_un3_n N_227 uds_000_int_0_un1_n N_228 uds_000_int_0_un0_n N_246 VPA_c as_000_int_0_un3_n N_247 as_000_int_0_un1_n \ + N_248 as_000_int_0_un0_n N_332 RST_c dsack1_int_0_un3_n N_278 dsack1_int_0_un1_n N_279 dsack1_int_0_un0_n N_334 RW_c \ + vma_int_0_un3_n N_284 vma_int_0_un1_n N_343 fc_c_0__n vma_int_0_un0_n lds_000_int_0_un3_n un21_berr_1 fc_c_1__n lds_000_int_0_un1_n N_357 \ + lds_000_int_0_un0_n N_266 ipl_030_0_1__un3_n N_186 AMIGA_BUS_DATA_DIR_c ipl_030_0_1__un1_n ipl_030_0_1__un0_n N_297 ipl_030_0_0__un3_n N_236 ipl_030_0_0__un1_n \ + pos_clk_ds_000_dma_4_n ipl_030_0_0__un0_n N_268 UDS_000_INT_i cpu_est_0_3__un3_n N_249 un1_UDS_000_INT_0 cpu_est_0_3__un1_n N_243 LDS_000_INT_i cpu_est_0_3__un0_n \ + N_215 un1_LDS_000_INT_0 cpu_est_0_2__un3_n N_130 N_23_i cpu_est_0_2__un1_n N_131 N_37_0 cpu_est_0_2__un0_n N_3 N_21_i \ + cpu_est_0_1__un3_n N_4 N_39_0 cpu_est_0_1__un1_n N_17 N_20_i cpu_est_0_1__un0_n N_24 N_40_0 ipl_030_0_2__un3_n N_25 \ + N_19_i ipl_030_0_2__un1_n pos_clk_un9_bg_030_n N_41_0 ipl_030_0_2__un0_n N_6 N_14_i amiga_bus_enable_dma_low_0_un3_n pos_clk_un6_bgack_000_n N_46_0 amiga_bus_enable_dma_low_0_un1_n \ + N_26 ipl_c_i_0__n amiga_bus_enable_dma_low_0_un0_n N_208 N_52_0 rw_000_dma_0_un3_n N_207 ipl_c_i_1__n rw_000_dma_0_un1_n N_349 N_53_0 \ + rw_000_dma_0_un0_n N_314 ipl_c_i_2__n as_000_dma_0_un3_n N_318 N_54_0 as_000_dma_0_un1_n N_348 N_27_i as_000_dma_0_un0_n N_201 \ + N_31_0 ds_000_dma_0_un3_n N_200 N_28_i ds_000_dma_0_un1_n N_203 N_32_0 ds_000_dma_0_un0_n N_204 N_29_i bgack_030_int_0_un3_n \ + N_185 N_33_0 bgack_030_int_0_un1_n N_184 a_c_i_0__n bgack_030_int_0_un0_n N_180 size_c_i_1__n bg_000_0_un3_n N_179 pos_clk_un10_sm_amiga_i_n \ + bg_000_0_un1_n N_178 N_256_0 bg_000_0_un0_n N_171 N_318_i amiga_bus_enable_dma_high_0_un3_n N_341 N_314_i amiga_bus_enable_dma_high_0_un1_n N_342 \ + pos_clk_un9_clk_000_pe_0_n amiga_bus_enable_dma_high_0_un0_n N_169 N_219_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n N_154 N_220_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n N_165 cpu_est_2_0_1__n un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n \ + N_162 N_221_i size_dma_0_0__un3_n N_299 N_348_i size_dma_0_0__un1_n N_153 cpu_est_2_0_2__n size_dma_0_0__un0_n N_142 N_222_i \ + size_dma_0_1__un3_n N_298 N_196_i size_dma_0_1__un1_n N_80 N_226_i size_dma_0_1__un0_n N_232 ds_000_enable_0_un3_n N_233 N_231_i \ + ds_000_enable_0_un1_n N_229 N_229_i ds_000_enable_0_un0_n N_231 N_302_i as_030_000_sync_0_un3_n N_226 N_233_i as_030_000_sync_0_un1_n N_221 \ + N_232_i as_030_000_sync_0_un0_n N_222 rw_000_int_0_un3_n cpu_est_2_2__n N_80_0 rw_000_int_0_un1_n cpu_est_2_1__n N_343_i rw_000_int_0_un0_n N_219 \ + N_214_0 a0_dma_0_un3_n pos_clk_un9_clk_000_pe_n N_166_i a0_dma_0_un1_n N_256 N_134_i a0_dma_0_un0_n N_29 N_298_i a_decode_15__n \ + N_28 N_142_0 N_27 N_153_i a_decode_14__n N_14 N_154_0 N_19 N_156_i a_decode_13__n N_20 \ + N_305_i N_21 N_299_i a_decode_12__n N_23 N_162_0 un1_amiga_bus_enable_low_i N_165_0 a_decode_11__n un21_fpu_cs_i N_169_i \ + cpu_est_i_1__n VMA_INT_i a_decode_10__n rst_dly_i_2__n N_341_i rst_dly_i_1__n N_342_i a_decode_9__n cpu_est_i_0__n N_171_i cpu_est_i_2__n \ + N_172_i a_decode_8__n sm_amiga_i_0__n N_178_0 sm_amiga_i_3__n N_179_0 a_decode_7__n sm_amiga_i_4__n N_180_0 sm_amiga_i_5__n N_184_0 \ + a_decode_6__n rst_dly_i_0__n N_185_0 sm_amiga_i_2__n N_203_i a_decode_5__n sm_amiga_i_1__n N_204_i VPA_D_i N_205_i a_decode_4__n \ + clk_000_d_i_1__n cpu_est_i_3__n N_200_i a_decode_3__n sm_amiga_i_6__n N_199_i clk_000_d_i_0__n N_201_i a_decode_2__n BGACK_030_INT_i AS_000_i \ + AS_000_DMA_i N_208_i nEXP_SPACE_i N_207_i cycle_dma_i_0__n N_167_i DS_000_DMA_i N_138_i AMIGA_BUS_ENABLE_DMA_LOW_i N_349_i \ AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE \ AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE \ DS_030.OE DSACK1.OE RESET.OE CIIN.OE @@ -216,113 +207,113 @@ 1 1 .names AS_030.PIN AS_030_c 1 1 -.names N_108.BLIF AS_030.OE +.names N_283.BLIF AS_030.OE 1 1 .names un4_as_000_i.BLIF AS_000 1 1 .names AS_000.PIN AS_000_c 1 1 -.names N_368_i.BLIF AS_000.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 .names inst_RW_000_INT.BLIF RW_000 1 1 .names RW_000.PIN RW_000_c 1 1 -.names N_368_i.BLIF RW_000.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 .names un1_UDS_000_INT.BLIF UDS_000 1 1 .names UDS_000.PIN UDS_000_c 1 1 -.names N_368_i.BLIF UDS_000.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 .names un1_LDS_000_INT.BLIF LDS_000 1 1 .names LDS_000.PIN LDS_000_c 1 1 -.names N_368_i.BLIF LDS_000.OE +.names un1_as_000_i.BLIF LDS_000.OE 1 1 .names un4_size.BLIF SIZE_0_ 1 1 .names SIZE_0_.PIN size_c_0__n 1 1 -.names N_367_i.BLIF SIZE_0_.OE +.names N_48_i.BLIF SIZE_0_.OE 1 1 .names un3_size.BLIF SIZE_1_ 1 1 .names SIZE_1_.PIN size_c_1__n 1 1 -.names N_367_i.BLIF SIZE_1_.OE +.names N_48_i.BLIF SIZE_1_.OE 1 1 .names gnd_n_n.BLIF AHIGH_24_ 1 1 .names AHIGH_24_.PIN ahigh_c_24__n 1 1 -.names N_108.BLIF AHIGH_24_.OE +.names N_283.BLIF AHIGH_24_.OE 1 1 .names gnd_n_n.BLIF AHIGH_25_ 1 1 .names AHIGH_25_.PIN ahigh_c_25__n 1 1 -.names N_108.BLIF AHIGH_25_.OE +.names N_283.BLIF AHIGH_25_.OE 1 1 .names gnd_n_n.BLIF AHIGH_26_ 1 1 .names AHIGH_26_.PIN ahigh_c_26__n 1 1 -.names N_108.BLIF AHIGH_26_.OE +.names N_283.BLIF AHIGH_26_.OE 1 1 .names gnd_n_n.BLIF AHIGH_27_ 1 1 .names AHIGH_27_.PIN ahigh_c_27__n 1 1 -.names N_108.BLIF AHIGH_27_.OE +.names N_283.BLIF AHIGH_27_.OE 1 1 .names gnd_n_n.BLIF AHIGH_28_ 1 1 .names AHIGH_28_.PIN ahigh_c_28__n 1 1 -.names N_108.BLIF AHIGH_28_.OE +.names N_283.BLIF AHIGH_28_.OE 1 1 .names gnd_n_n.BLIF AHIGH_29_ 1 1 .names AHIGH_29_.PIN ahigh_c_29__n 1 1 -.names N_108.BLIF AHIGH_29_.OE +.names N_283.BLIF AHIGH_29_.OE 1 1 .names gnd_n_n.BLIF AHIGH_30_ 1 1 .names AHIGH_30_.PIN ahigh_c_30__n 1 1 -.names N_108.BLIF AHIGH_30_.OE +.names N_283.BLIF AHIGH_30_.OE 1 1 .names gnd_n_n.BLIF AHIGH_31_ 1 1 .names AHIGH_31_.PIN ahigh_c_31__n 1 1 -.names N_108.BLIF AHIGH_31_.OE +.names N_283.BLIF AHIGH_31_.OE 1 1 .names inst_A0_DMA.BLIF A_0_ 1 1 .names A_0_.PIN a_c_0__n 1 1 -.names N_108.BLIF A_0_.OE +.names N_283.BLIF A_0_.OE 1 1 .names gnd_n_n.BLIF BERR 1 1 .names BERR.PIN BERR_c 1 1 -.names un22_berr.BLIF BERR.OE +.names un21_berr.BLIF BERR.OE 1 1 .names inst_RW_000_DMA.BLIF RW 1 1 .names RW.PIN RW_c 1 1 -.names N_110.BLIF RW.OE +.names N_294.BLIF RW.OE 1 1 .names un6_ds_030_i.BLIF DS_030 1 1 -.names N_108.BLIF DS_030.OE +.names N_283.BLIF DS_030.OE 1 1 .names inst_DSACK1_INTreg.BLIF DSACK1 1 1 @@ -334,1416 +325,1348 @@ 1 1 .names un10_ciin.BLIF CIIN 1 1 -.names N_127.BLIF CIIN.OE +.names N_241.BLIF CIIN.OE 1 1 +.names N_238_i_1.BLIF N_238_i_2.BLIF RST_DLY_2_.D +11 1 +.names N_165.BLIF inst_VPA_D.BLIF N_342 +11 1 +.names N_203_i.BLIF N_204_i.BLIF N_239_i_1 +11 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +1 1 +.names N_205_i.BLIF RST_c.BLIF N_239_i_2 +11 1 +.names RW_c.BLIF SM_AMIGA_6_.BLIF N_299 +11 1 +.names N_239_i_1.BLIF N_239_i_2.BLIF RST_DLY_1_.D +11 1 +.names N_134_i.BLIF N_214_0.BLIF N_298 +11 1 +.names size_c_0__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n +11 1 +.names N_179.BLIF sm_amiga_i_2__n.BLIF N_334 +11 1 .names pos_clk_un10_sm_amiga_i_1_n.BLIF size_c_i_1__n.BLIF pos_clk_un10_sm_amiga_i_n 11 1 -.names RST_DLY_0_.BLIF rst_dly_i_0__n +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n 0 1 -.names N_106.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X2 +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C 1 1 -.names N_175_i.BLIF N_176_i.BLIF sm_amiga_nss_i_0_1_0__n -11 1 -.names RST_DLY_1_.BLIF rst_dly_i_1__n -0 1 -.names N_177_i.BLIF sm_amiga_nss_0_7__n.BLIF sm_amiga_nss_i_0_2_0__n -11 1 -.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_139_i -11 1 -.names N_178_i.BLIF N_239_i.BLIF sm_amiga_nss_i_0_3_0__n -11 1 -.names N_130_i.BLIF N_152.BLIF N_162_0 -11 1 -.names inst_CLK_OUT_PRE_25.BLIF CLK_OUT_PRE_25_0.X1 -1 1 -.names sm_amiga_nss_i_0_1_0__n.BLIF sm_amiga_nss_i_0_2_0__n.BLIF sm_amiga_nss_i_0_4_0__n -11 1 -.names pos_clk_un9_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names sm_amiga_nss_i_0_3_0__n.BLIF N_252_i.BLIF sm_amiga_nss_i_0_5_0__n -11 1 -.names BG_030_c.BLIF pos_clk_un9_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_25_0.X2 -1 1 -.names sm_amiga_nss_i_0_4_0__n.BLIF sm_amiga_nss_i_0_5_0__n.BLIF sm_amiga_nss_i_0_0__n -11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 .names ahigh_i_24__n.BLIF ahigh_i_25__n.BLIF un10_ciin_1 11 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_26 -1- 1 --1 1 -.names ahigh_i_26__n.BLIF ahigh_i_27__n.BLIF un10_ciin_2 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names N_242_0.BLIF N_242 +0 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_279 11 1 -.names N_26_i.BLIF RST_c.BLIF N_34_0 -11 1 -.names IPL_D0_0_.BLIF G_119.X1 -1 1 -.names ahigh_i_28__n.BLIF ahigh_i_29__n.BLIF un10_ciin_3 -11 1 -.names N_130.BLIF cpu_est_0_3__un3_n +.names N_227.BLIF N_227_i 0 1 -.names ahigh_i_30__n.BLIF ahigh_i_31__n.BLIF un10_ciin_4 -11 1 -.names cpu_est_3_.BLIF N_130.BLIF cpu_est_0_3__un1_n -11 1 -.names ipl_c_0__n.BLIF G_119.X2 -1 1 -.names N_29.BLIF N_29_i +.names RST_DLY_0_.BLIF rst_dly_i_0__n 0 1 -.names cpu_est_2_3__n.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n -11 1 -.names N_33_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D -1- 1 --1 1 -.names N_28.BLIF N_28_i -0 1 -.names N_136.BLIF N_249.BLIF N_180 -11 1 -.names IPL_D0_1_.BLIF G_120.X1 -1 1 -.names N_32_0.BLIF IPL_030DFF_1_reg.D -0 1 -.names RST_DLY_2_.BLIF rst_dly_i_2__n -0 1 -.names N_27.BLIF N_27_i -0 1 -.names N_130.BLIF rst_dly_i_2__n.BLIF N_181 -11 1 -.names ipl_c_1__n.BLIF G_120.X2 -1 1 -.names N_31_0.BLIF IPL_030DFF_0_reg.D -0 1 -.names N_136.BLIF N_139_i.BLIF N_183 -11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n -0 1 -.names N_257.BLIF rst_dly_i_1__n.BLIF N_184 -11 1 -.names N_54_0.BLIF IPL_D0_2_.D -0 1 -.names N_243.BLIF N_249.BLIF N_188 -11 1 -.names IPL_D0_2_.BLIF G_121.X1 -1 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names N_136.BLIF RST_DLY_0_.BLIF N_189 -11 1 -.names N_53_0.BLIF IPL_D0_1_.D -0 1 -.names N_257.BLIF rst_dly_i_0__n.BLIF N_190 -11 1 -.names ipl_c_2__n.BLIF G_121.X2 -1 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n -0 1 -.names N_130.BLIF cpu_est_i_0__n.BLIF N_205 -11 1 -.names N_52_0.BLIF IPL_D0_0_.D -0 1 -.names N_130_i.BLIF cpu_est_0_.BLIF N_206 -11 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D -1 1 -.names N_56_0.BLIF inst_DTACK_D0.D -0 1 -.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_213 -11 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D -1 1 -.names N_3.BLIF N_3_i -0 1 -.names RST_c.BLIF VPA_c_i.BLIF N_55_0 -11 1 .names N_225.BLIF N_225_i 0 1 -.names a_decode_c_18__n.BLIF a_decode_i_18__n -0 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n -0 1 -.names a_decode_c_19__n.BLIF a_decode_i_19__n -0 1 -.names N_224.BLIF N_224_i -0 1 -.names a_decode_c_16__n.BLIF a_decode_i_16__n -0 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names N_218_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_366_0 -11 1 -.names N_223.BLIF N_223_i -0 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF un1_SM_AMIGA_0_sqmuxa_3_i.BLIF N_278_0 -11 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_367_i -11 1 -.names N_222.BLIF N_222_i -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_369_0 -11 1 -.names N_201.BLIF N_201_i -0 1 -.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_127_0 -11 1 -.names N_202.BLIF N_202_i -0 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n -11 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n -0 1 -.names N_199.BLIF N_199_i -0 1 -.names inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n +.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_305_i 11 1 .names CLK_OSZI_c.BLIF SM_AMIGA_1_.C 1 1 -.names N_200.BLIF N_200_i +.names N_224.BLIF N_224_i 0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n +.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_156_i 11 1 -.names sm_amiga_nss_0_2__n.BLIF SM_AMIGA_5_.D +.names N_223.BLIF N_223_i 0 1 -.names un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_370 -1- 1 --1 1 -.names N_189.BLIF N_189_i +.names cpu_est_3_.BLIF cpu_est_i_3__n 0 1 -.names N_122.BLIF N_122_i +.names N_218.BLIF N_218_i 0 1 -.names N_190.BLIF N_190_i -0 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i +.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_154_0 +11 1 +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n 0 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_153_i +11 1 .names CLK_OSZI_c.BLIF SM_AMIGA_0_.C 1 1 -.names CLK_030_c.BLIF CLK_030_c_i +.names N_217.BLIF N_217_i 0 1 -.names BGACK_000_c.BLIF N_122.BLIF un22_berr_1 +.names N_298_i.BLIF RST_c.BLIF N_142_0 11 1 -.names N_164_0.BLIF N_164 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n 0 1 -.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un9_bg_030_0_n +.names CLK_000_D_1_.BLIF clk_000_d_i_1__n +0 1 +.names N_213.BLIF N_213_i +0 1 +.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_134_i 11 1 -.names N_67_i.BLIF inst_BGACK_030_INT_D.D +.names N_319.BLIF N_319_i 0 1 -.names N_247.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names LDS_000_c.BLIF LDS_000_c_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_247.BLIF amiga_bus_enable_dma_low_0_un1_n +.names N_134.BLIF RST_c.BLIF N_166_i 11 1 .names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C 1 1 +.names N_300_0.BLIF N_300 +0 1 +.names N_343_i.BLIF RST_c.BLIF N_214_0 +11 1 +.names N_15.BLIF N_15_i +0 1 +.names AS_030_D0_i.BLIF N_167.BLIF N_80_0 +11 1 +.names N_45_0.BLIF inst_AS_030_000_SYNC.D +0 1 +.names N_232_i.BLIF N_233_i.BLIF cpu_est_0_.D +11 1 +.names N_16.BLIF N_16_i +0 1 +.names N_229_i.BLIF N_231_i.BLIF N_302_i +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C +1 1 +.names RW_c.BLIF RW_c_i +0 1 +.names N_166_i.BLIF N_226_i.BLIF SM_AMIGA_5_.D +11 1 +.names N_106_0.BLIF N_106 +0 1 +.names N_221_i.BLIF N_222_i.BLIF N_196_i +11 1 +.names N_284.BLIF N_284_i +0 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_0__n +0 1 +.names N_334.BLIF N_334_i +0 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_138_i +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C +1 1 +.names N_278.BLIF N_278_i +0 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names N_279.BLIF N_279_i +0 1 +.names N_138_i.BLIF SM_AMIGA_6_.BLIF N_167_i +11 1 +.names N_332.BLIF N_332_i +0 1 +.names N_237_0.BLIF N_237 +0 1 +.names N_134_i.BLIF SM_AMIGA_5_.BLIF N_185_0 +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_0_.C +1 1 +.names un1_SM_AMIGA_0_sqmuxa_1_0.BLIF un1_SM_AMIGA_0_sqmuxa_1 +0 1 +.names cpu_est_3_.BLIF cpu_est_i_1__n.BLIF N_184_0 +11 1 +.names N_247.BLIF N_247_i +0 1 +.names N_138_i.BLIF SM_AMIGA_4_.BLIF N_180_0 +11 1 +.names N_248.BLIF N_248_i +0 1 +.names N_172_i.BLIF SM_AMIGA_3_.BLIF N_179_0 +11 1 +.names N_246.BLIF N_246_i +0 1 +.names cpu_est_1_.BLIF cpu_est_i_3__n.BLIF N_178_0 +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_1_.C +1 1 +.names un10_ciin.BLIF un10_ciin_i +0 1 +.names N_134_i.BLIF N_171.BLIF N_172_i +11 1 +.names N_241_0.BLIF N_241 +0 1 +.names N_341_i.BLIF N_342_i.BLIF N_171_i +11 1 +.names un1_DS_000_ENABLE_0_sqmuxa.BLIF un1_DS_000_ENABLE_0_sqmuxa_i +0 1 +.names N_134_i.BLIF SM_AMIGA_1_.BLIF N_169_i +11 1 +.names N_236_0.BLIF N_236 +0 1 +.names BERR_c.BLIF inst_DTACK_D0.BLIF N_165_0 +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_2_.C +1 1 +.names N_297.BLIF N_297_i +0 1 +.names N_299_i.BLIF sm_amiga_i_4__n.BLIF N_162_0 +11 1 +.names N_160_i.BLIF N_160 +0 1 +.names DTACK_c_i.BLIF RST_c.BLIF N_56_0 +11 1 +.names pos_clk_un21_bgack_030_int_i_0_i_n.BLIF pos_clk_un21_bgack_030_int_i_0_n +0 1 +.names CYCLE_DMA_0_.BLIF N_138_i.BLIF N_208 +11 1 +.names N_100_i.BLIF inst_BGACK_030_INT_D.D +0 1 +.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C +1 1 +.names N_186_0.BLIF N_186 +0 1 +.names cycle_dma_i_0__n.BLIF N_138.BLIF N_207 +11 1 +.names N_183_0.BLIF N_183 +0 1 +.names N_138_i.BLIF SM_AMIGA_0_.BLIF N_228 +11 1 +.names N_182_0.BLIF N_182 +0 1 +.names nEXP_SPACE_c.BLIF nEXP_SPACE_i +0 1 +.names N_181_0.BLIF N_181 +0 1 +.names N_294.BLIF nEXP_SPACE_i.BLIF N_283 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +1 1 +.names N_228.BLIF N_228_i +0 1 +.names N_138.BLIF SM_AMIGA_2_.BLIF N_278 +11 1 +.names LDS_000_c.BLIF LDS_000_c_i +0 1 +.names AS_000_c.BLIF AS_000_i +0 1 .names UDS_000_c.BLIF UDS_000_c_i 0 1 -.names N_101_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names N_173_i.BLIF N_173 +0 1 +.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 11 1 -.names N_156_i.BLIF N_156 +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C +1 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names N_110_0.BLIF inst_AS_030_D0.D +0 1 +.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_294 +11 1 +.names N_43_0.BLIF inst_RW_000_DMA.D +0 1 +.names AS_000_c.BLIF N_138_i.BLIF N_349 +11 1 +.names N_4.BLIF N_4_i +0 1 +.names BGACK_000_c.BLIF N_349_i.BLIF pos_clk_un6_bgack_000_0_n +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C +1 1 +.names N_49_0.BLIF inst_AS_000_DMA.D +0 1 +.names N_130.BLIF N_130_i +0 1 +.names N_3.BLIF N_3_i +0 1 +.names N_160.BLIF amiga_bus_enable_dma_low_0_un3_n +0 1 +.names N_50_0.BLIF inst_DS_000_DMA.D +0 1 +.names N_130_i.BLIF N_160.BLIF amiga_bus_enable_dma_low_0_un1_n +11 1 +.names CLK_000_D_1_.BLIF CLK_000_D_2_.D +1 1 +.names N_215.BLIF N_215_i +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +11 1 +.names N_216.BLIF N_216_i 0 1 .names amiga_bus_enable_dma_low_0_un1_n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_24 1- 1 -1 1 -.names pos_clk_un21_bgack_030_int_i_0_0_n.BLIF pos_clk_un21_bgack_030_int_i_0_n -0 1 -.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n -0 1 -.names N_237.BLIF N_237_i -0 1 -.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C +.names CLK_OSZI_c.BLIF CLK_000_D_2_.C 1 1 -.names N_131_i.BLIF N_131 +.names N_301_0.BLIF inst_RESET_OUT.D 0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +.names N_160.BLIF rw_000_dma_0_un3_n +0 1 +.names N_243_0.BLIF N_243 +0 1 +.names N_243.BLIF N_160.BLIF rw_000_dma_0_un1_n 11 1 -.names N_275_0.BLIF N_275 +.names N_266.BLIF N_266_i 0 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_23 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names N_249.BLIF N_249_i +0 1 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_17 1- 1 -1 1 -.names N_227.BLIF N_227_i -0 1 -.names N_247.BLIF a0_dma_0_un3_n -0 1 -.names N_276_0.BLIF N_276 -0 1 -.names inst_A0_DMA.BLIF N_247.BLIF a0_dma_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C +.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C 1 1 -.names N_226.BLIF N_226_i +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c 0 1 -.names pos_clk_a0_dma_3_n.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +.names N_236.BLIF as_000_dma_0_un3_n +0 1 +.names N_268.BLIF N_268_i +0 1 +.names pos_clk_un21_bgack_030_int_i_0_n.BLIF N_236.BLIF as_000_dma_0_un1_n 11 1 -.names RW_c.BLIF RW_c_i +.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n 0 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_22 +.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +11 1 +.names CLK_030_c.BLIF CLK_030_c_i +0 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_4 1- 1 -1 1 -.names pos_clk_rw_000_int_5_0_n.BLIF pos_clk_rw_000_int_5_n -0 1 -.names N_247.BLIF rw_000_dma_0_un3_n -0 1 -.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n -0 1 -.names inst_RW_000_DMA.BLIF N_247.BLIF rw_000_dma_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C +.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C 1 1 -.names N_258.BLIF N_258_i +.names N_56_0.BLIF inst_DTACK_D0.D 0 1 -.names N_282.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +.names N_237.BLIF ds_000_dma_0_un3_n +0 1 +.names VPA_c.BLIF VPA_c_i +0 1 +.names pos_clk_ds_000_dma_4_n.BLIF N_237.BLIF ds_000_dma_0_un1_n 11 1 -.names N_212.BLIF N_212_i +.names N_55_0.BLIF inst_VPA_D.D 0 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names N_6.BLIF N_6_i +0 1 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 1- 1 -1 1 -.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n -0 1 -.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n -0 1 -.names N_216.BLIF N_216_i -0 1 -.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C +.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C 1 1 -.names N_215.BLIF N_215_i -0 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names N_138_0.BLIF N_138 -0 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_17 -1- 1 --1 1 -.names N_143_i.BLIF N_143 -0 1 -.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF IPL_D0_2_.C -1 1 -.names N_392.BLIF N_392_i -0 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n -11 1 -.names N_393.BLIF N_393_i -0 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names N_152_i.BLIF N_152 -0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names N_161_0.BLIF N_161 +.names N_47_0.BLIF inst_BGACK_030_INTreg.D 0 1 .names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i 0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C -1 1 -.names N_106.BLIF N_106_i +.names N_26.BLIF N_26_i 0 1 .names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF un1_amiga_bus_enable_low 11 1 -.names N_186.BLIF N_186_i +.names N_34_0.BLIF BG_000DFFreg.D 0 1 -.names N_278.BLIF ds_000_enable_0_un3_n -0 1 -.names a_c_0__n.BLIF a_c_i_0__n -0 1 -.names un1_SM_AMIGA_0_sqmuxa_3.BLIF N_278.BLIF ds_000_enable_0_un1_n +.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un9_bg_030_0_n 11 1 -.names size_c_1__n.BLIF size_c_i_1__n +.names BG_030_c.BLIF BG_030_c_i 0 1 -.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C 1 1 -.names N_259.BLIF N_259_i +.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n 0 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_6 -1- 1 --1 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n -0 1 -.names N_366.BLIF as_030_000_sync_0_un3_n -0 1 -.names N_282_0.BLIF N_282 -0 1 -.names pos_clk_un3_as_030_d0_n.BLIF N_366.BLIF as_030_000_sync_0_un1_n +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 11 1 -.names N_21.BLIF N_21_i +.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n 0 1 -.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -.names N_39_0.BLIF inst_VMA_INTreg.D +.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n 0 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_7 -1- 1 --1 1 -.names N_188.BLIF N_188_i -0 1 -.names N_6.BLIF RST_c.BLIF inst_DS_000_ENABLE.D -11 1 -.names N_187.BLIF N_187_i -0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 -11 1 -.names N_58_0.BLIF inst_RESET_OUT.D -0 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -.names N_209.BLIF N_209_i -0 1 -.names N_7_i.BLIF RST_c.BLIF N_47_0 -11 1 -.names N_208.BLIF N_208_i -0 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n -0 1 -.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_101 -11 1 -.names N_210.BLIF N_210_i -0 1 -.names a_c_1__n.BLIF a_i_1__n -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 -.names N_211.BLIF N_211_i -0 1 -.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_102 -11 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT -0 1 -.names N_247.BLIF RST_c.BLIF N_217 -11 1 .names N_25.BLIF N_25_i 0 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 +.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n 11 1 .names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D 0 1 -.names N_17_i.BLIF RST_c.BLIF N_43_0 +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n 11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +.names CLK_OSZI_c.BLIF cpu_est_0_.C 1 1 .names N_24.BLIF N_24_i 0 1 -.names N_19_i.BLIF RST_c.BLIF N_41_0 -11 1 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_6 +1- 1 +-1 1 .names N_36_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D 0 1 -.names N_22_i.BLIF RST_c.BLIF N_38_0 -11 1 -.names N_23.BLIF N_23_i +.names pos_clk_un9_bg_030_n.BLIF bg_000_0_un3_n 0 1 -.names N_23_i.BLIF RST_c.BLIF N_37_0 +.names N_17.BLIF N_17_i +0 1 +.names BG_030_c.BLIF pos_clk_un9_bg_030_n.BLIF bg_000_0_un1_n 11 1 -.names N_37_0.BLIF inst_UDS_000_INT.D +.names N_184_0.BLIF N_184 +0 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names CLK_OSZI_c.BLIF cpu_est_1_.C +1 1 +.names N_185_0.BLIF N_185 +0 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_26 +1- 1 +-1 1 +.names N_203.BLIF N_203_i +0 1 +.names N_26_i.BLIF RST_c.BLIF N_34_0 +11 1 +.names N_204.BLIF N_204_i +0 1 +.names N_6_i.BLIF RST_c.BLIF N_47_0 +11 1 +.names N_205.BLIF N_205_i +0 1 +.names RST_c.BLIF VPA_c_i.BLIF N_55_0 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_2_.C +1 1 +.names N_200.BLIF N_200_i +0 1 +.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_131 +11 1 +.names N_199.BLIF N_199_i +0 1 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_332 +11 1 +.names N_201.BLIF N_201_i +0 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_268 +11 1 +.names N_208.BLIF N_208_i +0 1 +.names RW_000_c.BLIF RW_000_i +0 1 +.names CLK_OSZI_c.BLIF cpu_est_3_.C +1 1 +.names N_207.BLIF N_207_i +0 1 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_249 +11 1 +.names N_167_i.BLIF N_167 +0 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names N_138_i.BLIF N_138 +0 1 +.names CLK_030_H_i.BLIF N_186.BLIF N_246 +11 1 +.names N_349.BLIF N_349_i +0 1 +.names inst_RESET_OUT.BLIF RST_c.BLIF N_215 +11 1 +.names CLK_OSZI_c.BLIF RST_DLY_0_.C +1 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names N_3_i.BLIF RST_c.BLIF N_50_0 +11 1 +.names DTACK_c.BLIF DTACK_c_i +0 1 +.names N_4_i.BLIF RST_c.BLIF N_49_0 +11 1 +.names N_153_i.BLIF N_153 +0 1 +.names N_17_i.BLIF RST_c.BLIF N_43_0 +11 1 +.names N_154_0.BLIF N_154 0 1 .names N_24_i.BLIF RST_c.BLIF N_36_0 11 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C +.names CLK_OSZI_c.BLIF RST_DLY_1_.C 1 1 -.names N_22.BLIF N_22_i +.names N_156_i.BLIF N_156 0 1 .names N_25_i.BLIF RST_c.BLIF N_35_0 11 1 -.names N_38_0.BLIF inst_A0_DMA.D +.names N_299.BLIF N_299_i 0 1 -.names N_102.BLIF N_102_i +.names N_131.BLIF N_131_i 0 1 -.names N_19.BLIF N_19_i +.names N_162_0.BLIF N_162 0 1 -.names N_247.BLIF amiga_bus_enable_dma_high_0_un3_n +.names N_160.BLIF amiga_bus_enable_dma_high_0_un3_n 0 1 -.names N_41_0.BLIF inst_RW_000_DMA.D +.names N_165_0.BLIF N_165 0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_247.BLIF amiga_bus_enable_dma_high_0_un1_n +.names N_131_i.BLIF N_160.BLIF amiga_bus_enable_dma_high_0_un1_n 11 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C +.names CLK_OSZI_c.BLIF RST_DLY_2_.C 1 1 -.names N_17.BLIF N_17_i +.names N_169_i.BLIF N_169 0 1 -.names N_102_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n 11 1 -.names N_43_0.BLIF inst_LDS_000_INT.D +.names inst_VMA_INTreg.BLIF VMA_INT_i 0 1 .names amiga_bus_enable_dma_high_0_un1_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_25 1- 1 -1 1 -.names N_10.BLIF N_10_i +.names N_341.BLIF N_341_i 0 1 -.names N_101.BLIF N_101_i -0 1 -.names N_44_0.BLIF inst_BGACK_030_INTreg.D -0 1 -.names N_138.BLIF cpu_est_i_2__n.BLIF N_216 +.names AS_030_i.BLIF BGACK_000_c.BLIF un21_berr_1 11 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C -1 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n +.names N_342.BLIF N_342_i 0 1 -.names N_161.BLIF cpu_est_2_.BLIF N_215 -11 1 -.names un10_ciin.BLIF un10_ciin_i -0 1 -.names N_143_i.BLIF cpu_est_2_.BLIF N_214 -11 1 -.names N_127_0.BLIF N_127 -0 1 -.names N_143.BLIF cpu_est_2_.BLIF N_212 -11 1 -.names N_369_0.BLIF inst_AS_030_D0.D -0 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_210 -11 1 -.names CLK_OSZI_c.BLIF cpu_est_0_.C -1 1 -.names un1_SM_AMIGA_0_sqmuxa_3.BLIF un1_SM_AMIGA_0_sqmuxa_3_i -0 1 -.names inst_RESET_OUT.BLIF RST_c.BLIF N_187 -11 1 -.names N_278_0.BLIF N_278 -0 1 -.names N_130.BLIF cpu_est_0_2__un3_n -0 1 -.names N_218.BLIF N_218_i -0 1 -.names cpu_est_2_.BLIF N_130.BLIF cpu_est_0_2__un1_n -11 1 -.names N_366_0.BLIF N_366 -0 1 -.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 -.names CLK_OSZI_c.BLIF cpu_est_1_.C -1 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D -1- 1 --1 1 -.names N_55_0.BLIF inst_VPA_D.D -0 1 -.names N_130.BLIF cpu_est_0_1__un3_n -0 1 -.names N_7.BLIF N_7_i -0 1 -.names cpu_est_1_.BLIF N_130.BLIF cpu_est_0_1__un1_n -11 1 -.names N_47_0.BLIF inst_AS_030_000_SYNC.D -0 1 -.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 -.names N_21_i.BLIF RST_c.BLIF N_39_0 -11 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names N_162_0.BLIF N_162 -0 1 -.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF cpu_est_3_.C -1 1 -.names N_139_i.BLIF N_139 -0 1 -.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names N_238.BLIF N_238_i -0 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names N_136_0.BLIF N_136 -0 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_21 -1- 1 --1 1 -.names N_130_i.BLIF N_130 -0 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_282_0 -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_0_.C -1 1 -.names N_213.BLIF N_213_i -0 1 -.names BGACK_000_c.BLIF N_259_i.BLIF pos_clk_un6_bgack_000_0_n -11 1 -.names N_214.BLIF N_214_i -0 1 -.names AS_000_c.BLIF N_131_i.BLIF N_259 -11 1 -.names cpu_est_2_0_3__n.BLIF cpu_est_2_3__n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_247 -11 1 -.names N_206.BLIF N_206_i -0 1 -.names N_392_i.BLIF N_393_i.BLIF N_152_i -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_1_.C -1 1 -.names N_205.BLIF N_205_i -0 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_143_i -11 1 -.names N_26.BLIF N_26_i -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_2__n.BLIF N_142_i -11 1 -.names N_34_0.BLIF BG_000DFFreg.D -0 1 -.names cpu_est_3_.BLIF cpu_est_i_3__n -0 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names cpu_est_3_.BLIF cpu_est_i_1__n.BLIF N_138_0 -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_2_.C -1 1 -.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n -0 1 -.names N_215_i.BLIF N_216_i.BLIF N_40_i -11 1 -.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n -0 1 -.names N_212_i.BLIF N_258_i.BLIF cpu_est_2_0_2__n -11 1 -.names A_DECODE_16_.BLIF a_decode_c_16__n -1 1 -.names N_160_0.BLIF N_160 -0 1 -.names N_210_i.BLIF N_211_i.BLIF cpu_est_2_0_1__n -11 1 -.names A_DECODE_17_.BLIF a_decode_c_17__n -1 1 -.names N_243.BLIF N_243_i -0 1 -.names N_208_i.BLIF N_209_i.BLIF pos_clk_un9_clk_000_pe_0_n +.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_186_0 11 1 .names CLK_OSZI_c.BLIF CLK_000_D_0_.C 1 1 -.names A_DECODE_18_.BLIF a_decode_c_18__n -1 1 -.names N_163_0.BLIF N_163 +.names N_171_i.BLIF N_171 0 1 -.names N_187_i.BLIF N_188_i.BLIF N_58_0 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_100_i 11 1 -.names A_DECODE_19_.BLIF a_decode_c_19__n -1 1 -.names N_176.BLIF N_176_i +.names N_172_i.BLIF N_172 0 1 -.names N_143_i.BLIF cpu_est_i_2__n.BLIF N_258 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_160_i 11 1 -.names A_DECODE_20_.BLIF a_decode_c_20__n -1 1 -.names N_175.BLIF N_175_i -0 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i +.names N_178_0.BLIF N_178 0 1 .names CLK_000_D_0_.BLIF CLK_000_D_1_.D 1 1 -.names A_DECODE_21_.BLIF a_decode_c_21__n -1 1 -.names N_252.BLIF N_252_i -0 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_393 -11 1 -.names A_DECODE_22_.BLIF a_decode_c_22__n -1 1 -.names N_239.BLIF N_239_i -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_D_1_.C -1 1 -.names A_DECODE_23_.BLIF a_decode_c_23__n -1 1 -.names N_178.BLIF N_178_i -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names sm_amiga_nss_i_0_0__n.BLIF SM_AMIGA_i_7_.D -0 1 -.names N_224_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names A_1_.BLIF a_c_1__n -1 1 -.names N_181.BLIF N_181_i -0 1 -.names N_225_i.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF pos_clk_ds_000_dma_4_0_n -11 1 -.names nEXP_SPACE.BLIF nEXP_SPACE_c -1 1 -.names N_180.BLIF N_180_i -0 1 -.names CLK_030_c_i.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF N_275_0 -11 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C -1 1 -.names N_179.BLIF N_179_i +.names N_179_0.BLIF N_179 0 1 .names inst_RESET_OUT.BLIF RESET_OUT_i 0 1 -.names BG_030.BLIF BG_030_c -1 1 -.names N_185.BLIF N_185_i +.names N_180_0.BLIF N_180 0 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF N_368_i +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF un1_as_000_i 11 1 -.names BG_000DFFreg.BLIF BG_000 +.names CLK_OSZI_c.BLIF CLK_000_D_1_.C 1 1 -.names N_183.BLIF N_183_i +.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n 0 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_0__n +.names CLK_030_c_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_236_0 +11 1 +.names N_221.BLIF N_221_i 0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 +.names N_268_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF pos_clk_ds_000_dma_4_0_n +11 1 +.names N_348.BLIF N_348_i +0 1 +.names N_249_i.BLIF N_266_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n +0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_243_0 +11 1 +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C 1 1 -.names N_184.BLIF N_184_i +.names N_222.BLIF N_222_i 0 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_131_i +.names N_215_i.BLIF N_216_i.BLIF N_301_0 +11 1 +.names N_226.BLIF N_226_i +0 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_297 +11 1 +.names N_231.BLIF N_231_i +0 1 +.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_130 +11 1 +.names N_229.BLIF N_229_i +0 1 +.names a_c_1__n.BLIF a_i_1__n +0 1 +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C +1 1 +.names N_233.BLIF N_233_i +0 1 +.names AS_030_D0_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF N_242_0 +11 1 +.names N_232.BLIF N_232_i +0 1 +.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_241_0 +11 1 +.names N_80_0.BLIF N_80 +0 1 +.names N_167.BLIF N_176_0.BLIF un1_SM_AMIGA_0_sqmuxa_1_0 +11 1 +.names N_343.BLIF N_343_i +0 1 +.names N_176_0.BLIF RW_c_i.BLIF N_106_0 +11 1 +.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C +1 1 +.names N_134_i.BLIF N_134 +0 1 +.names AS_030_i.BLIF RST_c.BLIF N_110_0 +11 1 +.names N_298.BLIF N_298_i +0 1 +.names sm_amiga_i_i_7__n.BLIF nEXP_SPACE_c.BLIF N_157_i +11 1 +.names N_142_0.BLIF N_142 +0 1 +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_173_i +11 1 +.names N_54_0.BLIF IPL_D0_2_.D +0 1 +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +0 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +.names N_27.BLIF N_27_i +0 1 +.names N_228_i.BLIF SM_AMIGA_i_7_.BLIF N_176_0 +11 1 +.names N_31_0.BLIF IPL_030DFF_0_reg.D +0 1 +.names N_157_i.BLIF N_160_i.BLIF N_181_0 +11 1 +.names N_28.BLIF N_28_i +0 1 +.names N_304_i.BLIF nEXP_SPACE_c.BLIF N_182_0 +11 1 +.names N_32_0.BLIF IPL_030DFF_1_reg.D +0 1 +.names N_157_i.BLIF N_304_i.BLIF N_183_0 11 1 .names CLK_OSZI_c.BLIF inst_AS_000_DMA.C 1 1 -.names BGACK_000.BLIF BGACK_000_c +.names A_DECODE_16_.BLIF a_decode_c_16__n 1 1 -.names N_280_0.BLIF N_280 +.names N_29.BLIF N_29_i 0 1 -.names CLK_030.BLIF CLK_030_c +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n +0 1 +.names A_DECODE_17_.BLIF a_decode_c_17__n 1 1 -.names N_279_0.BLIF N_279 +.names N_33_0.BLIF IPL_030DFF_2_reg.D 0 1 -.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_156_i +.names inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n 11 1 -.names CLK_000.BLIF CLK_000_D_0_.D +.names A_DECODE_18_.BLIF a_decode_c_18__n 1 1 -.names N_236.BLIF N_236_i +.names a_c_0__n.BLIF a_c_i_0__n 0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF N_67_i +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n 11 1 -.names CLK_OSZI.BLIF CLK_OSZI_c +.names A_DECODE_19_.BLIF a_decode_c_19__n 1 1 -.names N_391.BLIF N_391_i -0 1 -.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_164_0 -11 1 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT -1 1 -.names N_137_0.BLIF N_137 -0 1 -.names CLK_OUT_INTreg.BLIF CLK_EXP -1 1 -.names N_241.BLIF N_241_i -0 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names un21_fpu_cs_i.BLIF FPU_CS -1 1 -.names N_240.BLIF N_240_i -0 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_i -0 1 -.names FPU_SENSE.BLIF FPU_SENSE_c -1 1 -.names sm_amiga_nss_0_7__n.BLIF SM_AMIGA_0_.D -0 1 -.names cpu_est_1_.BLIF cpu_est_i_3__n.BLIF N_161_0 -11 1 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C -1 1 -.names IPL_030DFF_0_reg.BLIF IPL_030_0_ -1 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names BGACK_030_INT_i.BLIF N_156.BLIF N_223 -11 1 -.names IPL_030DFF_1_reg.BLIF IPL_030_1_ -1 1 -.names N_242.BLIF N_242_i -0 1 -.names BGACK_030_INT_i.BLIF N_156_i.BLIF N_224 -11 1 -.names IPL_030DFF_2_reg.BLIF IPL_030_2_ -1 1 -.names N_144_0.BLIF N_144 -0 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names IPL_0_.BLIF ipl_c_0__n -1 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_225 -11 1 -.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C -1 1 -.names IPL_1_.BLIF ipl_c_1__n -1 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names N_131_i.BLIF SM_AMIGA_0_.BLIF N_226 -11 1 -.names IPL_2_.BLIF ipl_c_2__n -1 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_227 -11 1 -.names N_155_i.BLIF N_155 -0 1 -.names N_110.BLIF nEXP_SPACE_i.BLIF N_108 -11 1 -.names DTACK.BLIF DTACK_c -1 1 -.names N_193.BLIF N_193_i -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i +.names size_c_1__n.BLIF size_c_i_1__n 0 1 +.names un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_191 +1- 1 +-1 1 .names CLK_OSZI_c.BLIF inst_DS_000_DMA.C 1 1 -.names vcc_n_n.BLIF AVEC +.names A_DECODE_20_.BLIF a_decode_c_20__n 1 1 -.names N_192.BLIF N_192_i +.names N_256_0.BLIF N_256 0 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 -11 1 -.names N_40_i.BLIF E +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names A_DECODE_21_.BLIF a_decode_c_21__n 1 1 -.names sm_amiga_nss_0_6__n.BLIF SM_AMIGA_1_.D +.names N_318.BLIF N_318_i 0 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF N_237 -11 1 -.names VPA.BLIF VPA_c +.names AS_030_c.BLIF AS_030_i +0 1 +.names A_DECODE_22_.BLIF a_decode_c_22__n 1 1 -.names N_177.BLIF N_177_i -0 1 -.names N_131_i.BLIF RST_c.BLIF N_391 -11 1 -.names inst_VMA_INTreg.BLIF VMA -1 1 -.names N_194.BLIF N_194_i -0 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_110 -11 1 -.names CLK_OSZI_c.BLIF inst_AS_030_D0.C -1 1 -.names RST.BLIF RST_c -1 1 -.names sm_amiga_nss_0_5__n.BLIF SM_AMIGA_2_.D -0 1 -.names N_199_i.BLIF N_200_i.BLIF sm_amiga_nss_0_2__n -11 1 -.names N_195.BLIF N_195_i -0 1 -.names N_201_i.BLIF N_202_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names N_196.BLIF N_196_i -0 1 -.names N_223_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names FC_0_.BLIF fc_c_0__n -1 1 -.names sm_amiga_nss_0_4__n.BLIF SM_AMIGA_3_.D -0 1 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 -.names FC_1_.BLIF fc_c_1__n -1 1 -.names N_198.BLIF N_198_i -0 1 -.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE -1 1 -.names N_197.BLIF N_197_i -0 1 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -.names sm_amiga_nss_0_3__n.BLIF SM_AMIGA_4_.D -0 1 -.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -.names N_204.BLIF N_204_i -0 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names CLK_OSZI_c.BLIF inst_DTACK_D0.C -1 1 -.names N_370.BLIF AMIGA_BUS_ENABLE_HIGH -1 1 -.names N_203.BLIF N_203_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size -11 1 -.names N_303_0.BLIF SM_AMIGA_6_.D -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names pos_clk_ipl_1_n.BLIF N_245_i.BLIF pos_clk_ipl_n -11 1 -.names N_18.BLIF N_18_i +.names N_314.BLIF N_314_i 0 1 .names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size 11 1 -.names nEXP_SPACE_c.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n +.names A_DECODE_23_.BLIF a_decode_c_23__n +1 1 +.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n +0 1 +.names N_160_i.BLIF RST_c.BLIF N_209 11 1 -.names N_42_0.BLIF inst_RW_000_INT.D +.names CLK_OSZI_c.BLIF inst_AS_030_D0.C +1 1 +.names N_219.BLIF N_219_i +0 1 +.names AS_030_D0_i.BLIF N_181.BLIF N_319 +11 1 +.names A_1_.BLIF a_c_1__n +1 1 +.names N_220.BLIF N_220_i +0 1 +.names AS_030_D0_i.BLIF N_357.BLIF N_213 +11 1 +.names nEXP_SPACE.BLIF nEXP_SPACE_c +1 1 +.names N_23.BLIF N_23_i +0 1 +.names BGACK_030_INT_i.BLIF N_173.BLIF N_217 +11 1 +.names N_37_0.BLIF inst_UDS_000_INT.D +0 1 +.names BGACK_030_INT_i.BLIF N_173_i.BLIF N_218 +11 1 +.names CLK_OSZI_c.BLIF inst_VPA_D.C +1 1 +.names BG_030.BLIF BG_030_c +1 1 +.names N_21.BLIF N_21_i +0 1 +.names N_182.BLIF sm_amiga_i_i_7__n.BLIF N_227 +11 1 +.names BG_000DFFreg.BLIF BG_000 +1 1 +.names N_39_0.BLIF inst_AS_000_INT.D 0 1 .names inst_AS_030_D0.BLIF AS_030_D0_i 0 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030 +1 1 +.names N_20.BLIF N_20_i +0 1 +.names N_183.BLIF sm_amiga_i_6__n.BLIF N_284 +11 1 +.names BGACK_000.BLIF BGACK_000_c +1 1 +.names N_40_0.BLIF inst_DSACK1_INTreg.D +0 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 +.names CLK_OSZI_c.BLIF inst_DTACK_D0.C +1 1 +.names CLK_030.BLIF CLK_030_c +1 1 +.names N_19.BLIF N_19_i +0 1 +.names a_decode_c_16__n.BLIF a_decode_i_16__n +0 1 +.names CLK_000.BLIF CLK_000_D_0_.D +1 1 +.names N_41_0.BLIF inst_VMA_INTreg.D +0 1 +.names N_213_i.BLIF N_319_i.BLIF N_300_0 +11 1 +.names CLK_OSZI.BLIF CLK_OSZI_c +1 1 +.names N_14.BLIF N_14_i +0 1 +.names N_217_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT +1 1 +.names N_46_0.BLIF inst_LDS_000_INT.D +0 1 +.names N_218_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +11 1 .names CLK_OSZI_c.BLIF inst_CLK_030_H.C 1 1 -.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n -11 1 -.names N_5.BLIF N_5_i -0 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n -0 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_211_1 -11 1 -.names N_48_0.BLIF inst_AS_000_INT.D -0 1 -.names cycle_dma_i_0__n.BLIF N_131.BLIF N_186 -11 1 -.names N_211_1.BLIF cpu_est_i_3__n.BLIF N_211 -11 1 -.names N_4.BLIF N_4_i -0 1 -.names N_391.BLIF SM_AMIGA_6_.BLIF N_200 -11 1 -.names N_250.BLIF SM_AMIGA_6_.BLIF N_203_1 -11 1 -.names N_49_0.BLIF inst_DSACK1_INTreg.D -0 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_201 -11 1 -.names CLK_OSZI_c.BLIF inst_RESET_OUT.C +.names CLK_OUT_INTreg.BLIF CLK_EXP 1 1 -.names N_203_1.BLIF SM_AMIGA_i_7_.BLIF N_203 -11 1 -.names N_191.BLIF N_191_i +.names ipl_c_0__n.BLIF ipl_c_i_0__n 0 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names N_130.BLIF N_236.BLIF N_199_1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_48_i 11 1 -.names un1_SM_AMIGA_0_sqmuxa_2_0.BLIF un1_SM_AMIGA_0_sqmuxa_2 -0 1 -.names CLK_030_H_i.BLIF N_164.BLIF N_222 -11 1 -.names N_199_1.BLIF SM_AMIGA_5_.BLIF N_199 -11 1 -.names N_91.BLIF N_91_i -0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 -.names N_248_i.BLIF rst_dly_i_0__n.BLIF N_185_1 -11 1 -.names N_279.BLIF dsack1_int_0_un3_n -0 1 -.names CYCLE_DMA_0_.BLIF N_131_i.BLIF N_106 -11 1 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +.names un21_fpu_cs_i.BLIF FPU_CS 1 1 -.names N_185_1.BLIF rst_dly_i_1__n.BLIF N_185 -11 1 -.names N_91_i.BLIF N_279.BLIF dsack1_int_0_un1_n -11 1 -.names G_119.BLIF N_244_i +.names N_52_0.BLIF IPL_D0_0_.D 0 1 -.names N_139.BLIF N_248_i.BLIF N_179_1 -11 1 -.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names G_120.BLIF N_245_i +.names G_109.BLIF N_212_i 0 1 -.names N_179_1.BLIF rst_dly_i_2__n.BLIF N_179 -11 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_4 -1- 1 --1 1 -.names G_121.BLIF N_246_i -0 1 -.names N_152.BLIF N_243.BLIF N_177_1 -11 1 -.names AS_030_c.BLIF AS_030_i +.names FPU_SENSE.BLIF FPU_SENSE_c +1 1 +.names ipl_c_1__n.BLIF ipl_c_i_1__n 0 1 .names ahigh_c_24__n.BLIF ahigh_i_24__n 0 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_25.C +.names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 -.names N_177_1.BLIF SM_AMIGA_3_.BLIF N_177 -11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i +.names N_53_0.BLIF IPL_D0_1_.D 0 1 .names ahigh_c_25__n.BLIF ahigh_i_25__n 0 1 -.names N_246_i.BLIF N_244_i.BLIF pos_clk_ipl_1_n -11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 +.names CLK_OSZI_c.BLIF inst_RESET_OUT.C +1 1 +.names IPL_030DFF_1_reg.BLIF IPL_030_1_ +1 1 +.names ipl_c_2__n.BLIF ipl_c_i_2__n +0 1 .names ahigh_c_26__n.BLIF ahigh_i_26__n 0 1 -.names N_204_1.BLIF N_243.BLIF N_178_2 -11 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +.names IPL_030DFF_2_reg.BLIF IPL_030_2_ +1 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i 0 1 .names ahigh_c_27__n.BLIF ahigh_i_27__n 0 1 -.names N_178_1.BLIF N_178_2.BLIF N_178_3 -11 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i +.names IPL_0_.BLIF ipl_c_0__n +1 1 +.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT 0 1 .names ahigh_c_28__n.BLIF ahigh_i_28__n 0 1 -.names CLK_OSZI_c.BLIF BG_000DFFreg.C +.names IPL_1_.BLIF ipl_c_1__n 1 1 -.names N_178_3.BLIF sm_amiga_i_3__n.BLIF N_178 -11 1 -.names N_250.BLIF SM_AMIGA_4_.BLIF N_197 -11 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 .names ahigh_c_29__n.BLIF ahigh_i_29__n 0 1 -.names N_204_1.BLIF N_243.BLIF N_204_1_0 -11 1 -.names N_391.BLIF SM_AMIGA_4_.BLIF N_196 -11 1 +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +1 1 +.names IPL_2_.BLIF ipl_c_2__n +1 1 +.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT +0 1 .names ahigh_c_30__n.BLIF ahigh_i_30__n 0 1 -.names N_204_1_0.BLIF sm_amiga_i_i_7__n.BLIF N_204 -11 1 -.names N_162.BLIF N_239.BLIF N_195 +.names N_23_i.BLIF RST_c.BLIF N_37_0 11 1 .names ahigh_c_31__n.BLIF ahigh_i_31__n 0 1 -.names AS_000_i.BLIF N_67_i.BLIF N_125_i_1 +.names DTACK.BLIF DTACK_c +1 1 +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 11 1 -.names N_250.BLIF SM_AMIGA_2_.BLIF N_194 +.names a_decode_c_18__n.BLIF a_decode_i_18__n +0 1 +.names vcc_n_n.BLIF AVEC +1 1 +.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 11 1 -.names N_27_i.BLIF RST_c.BLIF N_31_0 +.names a_decode_c_19__n.BLIF a_decode_i_19__n +0 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_25.C +1 1 +.names N_302_i.BLIF E +1 1 +.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n +0 1 +.names VPA.BLIF VPA_c +1 1 +.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n 11 1 +.names SIZE_DMA_1_.BLIF size_dma_i_1__n +0 1 +.names inst_VMA_INTreg.BLIF VMA +1 1 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size +11 1 +.names RST.BLIF RST_c +1 1 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_23 +1- 1 +-1 1 +.names SIZE_DMA_0_.BLIF size_dma_i_0__n +0 1 +.names CLK_OSZI_c.BLIF BG_000DFFreg.C +1 1 +.names N_80.BLIF as_000_int_0_un3_n +0 1 +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D +0 1 +.names N_167.BLIF N_80.BLIF as_000_int_0_un1_n +11 1 +.names G_107.BLIF N_210_i +0 1 +.names FC_0_.BLIF fc_c_0__n +1 1 +.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names G_108.BLIF N_211_i +0 1 +.names FC_1_.BLIF fc_c_1__n +1 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_21 +1- 1 +-1 1 +.names N_209.BLIF size_dma_0_0__un3_n +0 1 .names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C 1 1 -.names N_125_i_1.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF CYCLE_DMA_1_.D -11 1 -.names N_391.BLIF SM_AMIGA_2_.BLIF N_193 -11 1 -.names N_28_i.BLIF RST_c.BLIF N_32_0 -11 1 -.names N_227_i.BLIF RW_000_i.BLIF N_276_0_1 -11 1 -.names N_130.BLIF N_252.BLIF N_192 -11 1 -.names N_29_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names N_276_0_1.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF N_276_0 -11 1 -.names N_131_i.BLIF N_155.BLIF N_191 -11 1 -.names N_217.BLIF size_dma_0_0__un3_n -0 1 -.names SM_AMIGA_i_7_.BLIF N_226_i.BLIF pos_clk_rw_000_int_5_0_1_n -11 1 -.names N_137.BLIF N_160.BLIF N_176 -11 1 -.names SIZE_DMA_0_.BLIF N_217.BLIF size_dma_0_0__un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C +.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE 1 1 -.names pos_clk_rw_000_int_5_0_1_n.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n +.names N_256.BLIF dsack1_int_0_un3_n +0 1 +.names SIZE_DMA_0_.BLIF N_209.BLIF size_dma_0_0__un1_n 11 1 -.names N_163.BLIF SM_AMIGA_5_.BLIF N_175 +.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR +1 1 +.names N_169.BLIF N_256.BLIF dsack1_int_0_un1_n 11 1 .names pos_clk_size_dma_6_0__n.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n 11 1 -.names N_222_i.BLIF RST_c.BLIF N_277_i_1 -11 1 -.names N_4_i.BLIF RST_c.BLIF N_49_0 +.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW +1 1 +.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n 11 1 .names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 -1 1 -.names N_277_i_1.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF inst_CLK_030_H.D -11 1 -.names N_5_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names N_217.BLIF size_dma_0_1__un3_n -0 1 -.names N_189_i.BLIF N_190_i.BLIF N_306_i_1 -11 1 -.names N_18_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names SIZE_DMA_1_.BLIF N_217.BLIF size_dma_0_1__un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C +.names N_191.BLIF AMIGA_BUS_ENABLE_HIGH 1 1 -.names N_306_i_1.BLIF RST_c.BLIF RST_DLY_0_.D +.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_20 +1- 1 +-1 1 +.names N_209.BLIF size_dma_0_1__un3_n +0 1 +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C +1 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names SIZE_DMA_1_.BLIF N_209.BLIF size_dma_0_1__un1_n 11 1 -.names un1_SM_AMIGA_0_sqmuxa_2.BLIF rw_000_int_0_un3_n +.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n +11 1 +.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n 0 1 .names pos_clk_size_dma_6_1__n.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n 11 1 -.names N_122_4.BLIF N_122_3.BLIF N_122 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_220_1 11 1 -.names pos_clk_rw_000_int_5_n.BLIF un1_SM_AMIGA_0_sqmuxa_2.BLIF rw_000_int_0_un1_n +.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n 11 1 .names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D 1- 1 -1 1 -.names N_122_i.BLIF N_247.BLIF N_218_1 +.names N_220_1.BLIF cpu_est_i_3__n.BLIF N_220 11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n 11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +.names un6_as_030.BLIF un6_as_030_i 0 1 -.names sm_amiga_i_i_7__n.BLIF nEXP_SPACE_c.BLIF N_218_2 +.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C +1 1 +.names N_134_i.BLIF N_343.BLIF N_216_1 11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_18 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_19 1- 1 -1 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names N_216_1.BLIF RST_c.BLIF N_216 11 1 +.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n +0 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +11 1 +.names N_214_0.BLIF rst_dly_i_0__n.BLIF N_205_1 +11 1 +.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n +11 1 +.names un4_as_000.BLIF un4_as_000_i +0 1 +.names N_205_1.BLIF rst_dly_i_1__n.BLIF N_205 +11 1 +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names un6_ds_030.BLIF un6_ds_030_i +0 1 .names CLK_OSZI_c.BLIF inst_A0_DMA.C 1 1 -.names N_218_1.BLIF N_218_2.BLIF N_218 +.names N_156.BLIF N_214_0.BLIF N_199_1 11 1 -.names N_90.BLIF N_90_i -0 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names AS_030_i.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 -11 1 -.names N_280.BLIF as_000_int_0_un3_n -0 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_27 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 1- 1 -1 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs +.names N_242.BLIF ds_000_enable_0_un3_n +0 1 +.names N_199_1.BLIF rst_dly_i_2__n.BLIF N_199 11 1 -.names N_90_i.BLIF N_280.BLIF as_000_int_0_un1_n +.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +0 1 +.names un1_DS_000_ENABLE_0_sqmuxa.BLIF N_242.BLIF ds_000_enable_0_un1_n +11 1 +.names N_212_i.BLIF N_210_i.BLIF pos_clk_ipl_1_n +11 1 +.names un21_fpu_cs.BLIF un21_fpu_cs_i +0 1 +.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n +11 1 +.names pos_clk_ipl_1_n.BLIF N_211_i.BLIF pos_clk_ipl_n 11 1 .names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n 0 1 -.names un22_berr_1.BLIF AS_030_i.BLIF un22_berr_1_0 -11 1 -.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_9 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_AS_000_INT.C +1 1 +.names N_247_i.BLIF N_248_i.BLIF N_240_i_1 11 1 .names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n 11 1 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -.names un22_berr_1_0.BLIF FPU_SENSE_c.BLIF un22_berr +.names N_300.BLIF as_030_000_sync_0_un3_n +0 1 +.names N_240_i_1.BLIF RST_c.BLIF RST_DLY_0_.D 11 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_5 -1- 1 --1 1 .names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n 11 1 -.names N_183_i.BLIF N_184_i.BLIF N_305_i_1 +.names inst_AS_030_000_SYNC.BLIF N_300.BLIF as_030_000_sync_0_un1_n 11 1 -.names N_177_i.BLIF N_194_i.BLIF sm_amiga_nss_0_5__n +.names N_246_i.BLIF RST_c.BLIF N_60_i_1 11 1 .names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_28 1- 1 -1 1 -.names N_185_i.BLIF RST_c.BLIF N_305_i_2 +.names inst_AS_030_D0.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n 11 1 -.names N_192_i.BLIF N_193_i.BLIF sm_amiga_nss_0_6__n +.names N_60_i_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF inst_CLK_030_H.D +11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +0 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_15 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C +1 1 +.names AS_000_i.BLIF N_100_i.BLIF N_64_i_1 +11 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un3_n +0 1 +.names N_64_i_1.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF CYCLE_DMA_1_.D +11 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names N_106.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un1_n +11 1 +.names N_227_i.BLIF N_228_i.BLIF N_155_i_1 +11 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_27 +1- 1 +-1 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names N_155_i_1.BLIF RST_c.BLIF SM_AMIGA_i_7_.D +11 1 +.names N_134.BLIF cpu_est_0_3__un3_n +0 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_16 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C +1 1 +.names N_138.BLIF N_225_i.BLIF N_147_i_1 +11 1 +.names cpu_est_3_.BLIF N_134.BLIF cpu_est_0_3__un1_n +11 1 +.names N_160.BLIF a0_dma_0_un3_n +0 1 +.names N_147_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D +11 1 +.names N_196_i.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names pos_clk_a0_dma_3_n.BLIF N_160.BLIF a0_dma_0_un1_n +11 1 +.names N_172.BLIF N_224_i.BLIF N_145_i_1 +11 1 +.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D +1- 1 +-1 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names N_145_i_1.BLIF RST_c.BLIF SM_AMIGA_3_.D +11 1 +.names N_134.BLIF cpu_est_0_2__un3_n +0 1 +.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_22 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +1 1 +.names N_138.BLIF N_223_i.BLIF N_139_i_1 +11 1 +.names cpu_est_2_.BLIF N_134.BLIF cpu_est_0_2__un1_n +11 1 +.names N_9.BLIF RST_c.BLIF inst_DS_000_ENABLE.D +11 1 +.names N_139_i_1.BLIF RST_c.BLIF SM_AMIGA_0_.D +11 1 +.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n +11 1 +.names N_22_i.BLIF RST_c.BLIF N_38_0 +11 1 +.names nEXP_SPACE_c.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n +11 1 +.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D +1- 1 +-1 1 +.names N_16_i.BLIF RST_c.BLIF N_44_0 +11 1 +.names N_314_1.BLIF N_314_2.BLIF N_314 +11 1 +.names N_134.BLIF cpu_est_0_1__un3_n +0 1 +.names N_15_i.BLIF RST_c.BLIF N_45_0 +11 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C +1 1 +.names N_134_i.BLIF N_348.BLIF N_318_1 +11 1 +.names cpu_est_1_.BLIF N_134.BLIF cpu_est_0_1__un1_n +11 1 +.names vcc_n_n +1 +.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_318_2 +11 1 +.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +11 1 +.names gnd_n_n +.names N_318_1.BLIF N_318_2.BLIF N_318 +11 1 +.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D +1- 1 +-1 1 +.names A_DECODE_15_.BLIF a_decode_15__n +1 1 +.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_D.D +1 1 +.names N_154_0.BLIF N_305_i.BLIF N_341_1 +11 1 +.names N_29_i.BLIF RST_c.BLIF N_33_0 +11 1 +.names A_DECODE_14_.BLIF a_decode_14__n +1 1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF N_341_2 +11 1 +.names N_28_i.BLIF RST_c.BLIF N_32_0 +11 1 +.names A_DECODE_13_.BLIF a_decode_13__n +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C +1 1 +.names N_341_1.BLIF N_341_2.BLIF N_341 +11 1 +.names N_27_i.BLIF RST_c.BLIF N_31_0 +11 1 +.names A_DECODE_12_.BLIF a_decode_12__n +1 1 +.names N_167.BLIF N_284_i.BLIF N_151_i_1 +11 1 +.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 +11 1 +.names A_DECODE_11_.BLIF a_decode_11__n +1 1 +.names N_151_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D +11 1 +.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 +11 1 +.names A_DECODE_10_.BLIF a_decode_10__n +1 1 +.names N_138.BLIF N_334_i.BLIF N_143_i_1 +11 1 +.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 +11 1 +.names A_DECODE_9_.BLIF a_decode_9__n +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C +1 1 +.names N_143_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D +11 1 +.names N_14_i.BLIF RST_c.BLIF N_46_0 +11 1 +.names A_DECODE_8_.BLIF a_decode_8__n +1 1 +.names N_166_i.BLIF N_278_i.BLIF N_141_i_1 +11 1 +.names N_19_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names A_DECODE_7_.BLIF a_decode_7__n +1 1 +.names N_141_i_1.BLIF N_279_i.BLIF SM_AMIGA_1_.D +11 1 +.names N_20_i.BLIF RST_c.BLIF N_40_0 +11 1 +.names A_DECODE_6_.BLIF a_decode_6__n +1 1 +.names N_332_i.BLIF RW_000_i.BLIF N_237_0_1 +11 1 +.names N_21_i.BLIF RST_c.BLIF N_39_0 +11 1 +.names A_DECODE_5_.BLIF a_decode_5__n +1 1 +.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C +1 1 +.names N_237_0_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_237_0 +11 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names A_DECODE_4_.BLIF a_decode_4__n +1 1 +.names N_357_4.BLIF N_357_3.BLIF N_357 +11 1 +.names N_154.BLIF cpu_est_i_2__n.BLIF N_222 +11 1 +.names A_DECODE_3_.BLIF a_decode_3__n +1 1 +.names clk_000_d_i_1__n.BLIF AS_030_000_SYNC_i.BLIF N_304_i_1 +11 1 +.names N_153.BLIF cpu_est_2_.BLIF N_221 +11 1 +.names A_DECODE_2_.BLIF a_decode_2__n +1 1 +.names CYCLE_DMA_1_.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2.X1 +1 1 +.names N_304_i_1.BLIF CLK_000_D_2_.BLIF N_304_i +11 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names un21_berr_1.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 +11 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_219 +11 1 +.names N_208.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2.X2 +1 1 +.names un21_fpu_cs_1.BLIF N_357.BLIF un21_fpu_cs +11 1 +.names RST_DLY_1_.BLIF rst_dly_i_1__n +0 1 +.names un21_berr_1.BLIF FPU_SENSE_c.BLIF un21_berr_1_0 +11 1 +.names N_166_i.BLIF rst_dly_i_1__n.BLIF N_204 +11 1 +.names un21_berr_1_0.BLIF N_357.BLIF un21_berr +11 1 +.names N_142.BLIF N_156_i.BLIF N_203 +11 1 +.names CYCLE_DMA_0_.BLIF pos_clk_un21_bgack_030_int_i_0_o2_2_x2.X1 +1 1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_266_1 +11 1 +.names RST_DLY_2_.BLIF rst_dly_i_2__n +0 1 +.names RW_000_c.BLIF nEXP_SPACE_i.BLIF N_266_2 +11 1 +.names N_134.BLIF rst_dly_i_2__n.BLIF N_201 +11 1 +.names CYCLE_DMA_1_.BLIF pos_clk_un21_bgack_030_int_i_0_o2_2_x2.X2 +1 1 +.names N_266_1.BLIF N_266_2.BLIF N_266 +11 1 +.names N_142.BLIF N_343.BLIF N_200 +11 1 +.names AS_000_i.BLIF N_100_i.BLIF N_67_i_1 +11 1 +.names N_207_i.BLIF N_208_i.BLIF N_67_i_2 +11 1 +.names inst_CLK_OUT_PRE_25.BLIF CLK_OUT_PRE_25_0.X1 +1 1 +.names N_67_i_1.BLIF N_67_i_2.BLIF CYCLE_DMA_0_.D +11 1 +.names N_138_i.BLIF N_305_i.BLIF N_314_1 11 1 .names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n 0 1 -.names N_305_i_1.BLIF N_305_i_2.BLIF RST_DLY_1_.D +.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_25_0.X2 +1 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_314_2 11 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 .names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n 11 1 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -.names N_179_i.BLIF N_180_i.BLIF N_304_i_1 -11 1 -.names N_191_i.BLIF SM_AMIGA_i_7_.BLIF un1_SM_AMIGA_0_sqmuxa_2_0 +.names ahigh_i_26__n.BLIF ahigh_i_27__n.BLIF un10_ciin_2 11 1 .names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n 11 1 -.names N_181_i.BLIF RST_c.BLIF N_304_i_2 -11 1 -.names N_236.BLIF SM_AMIGA_1_.BLIF N_252 +.names ahigh_i_28__n.BLIF ahigh_i_29__n.BLIF un10_ciin_3 11 1 .names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_29 1- 1 -1 1 -.names N_304_i_1.BLIF N_304_i_2.BLIF RST_DLY_2_.D -11 1 -.names N_131.BLIF N_236.BLIF N_250 -11 1 -.names un6_as_030.BLIF un6_as_030_i -0 1 -.names N_154_i.BLIF N_155_i.BLIF N_178_1 -11 1 -.names RW_c.BLIF SM_AMIGA_6_.BLIF N_242 -11 1 -.names un4_as_000.BLIF un4_as_000_i -0 1 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +.names IPL_D0_2_.BLIF G_109.X1 1 1 -.names RW_000_c.BLIF nEXP_SPACE_i.BLIF N_202_2 +.names ahigh_i_30__n.BLIF ahigh_i_31__n.BLIF un10_ciin_4 11 1 -.names N_250.BLIF SM_AMIGA_0_.BLIF N_241 +.names N_166_i.BLIF rst_dly_i_0__n.BLIF N_248 11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names N_202_1.BLIF N_202_2.BLIF N_202 -11 1 -.names N_243.BLIF SM_AMIGA_1_.BLIF N_240 -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names N_131_i.BLIF N_142_i.BLIF N_208_1 -11 1 -.names N_236.BLIF SM_AMIGA_3_.BLIF N_239 -11 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_208_2 -11 1 -.names BERR_c.BLIF RST_c.BLIF N_236 -11 1 -.names N_276.BLIF ds_000_dma_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -.names N_208_1.BLIF N_208_2.BLIF N_208 -11 1 -.names N_131_i.BLIF N_144.BLIF un1_SM_AMIGA_0_sqmuxa_3 -11 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_276.BLIF ds_000_dma_0_un1_n -11 1 -.names N_130_i.BLIF N_258.BLIF N_209_1 -11 1 -.names N_130_i.BLIF SM_AMIGA_1_.BLIF N_91 -11 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_209_2 -11 1 -.names N_131_i.BLIF SM_AMIGA_6_.BLIF N_90 -11 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names N_209_1.BLIF N_209_2.BLIF N_209 -11 1 -.names N_243.BLIF SM_AMIGA_5_.BLIF N_198 -11 1 -.names N_275.BLIF as_000_dma_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -.names N_138_0.BLIF N_142_i.BLIF N_392_1 -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names pos_clk_un21_bgack_030_int_i_0_n.BLIF N_275.BLIF as_000_dma_0_un1_n -11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_392_2 -11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names N_392_1.BLIF N_392_2.BLIF N_392 -11 1 -.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF N_204_1 -11 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_122_1 -11 1 -.names N_236_i.BLIF N_243_i.BLIF N_163_0 -11 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 -11 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C -1 1 -.names a_decode_c_17__n.BLIF a_decode_i_16__n.BLIF N_122_2 -11 1 -.names N_154_i.BLIF sm_amiga_i_6__n.BLIF N_160_0 -11 1 -.names N_3_i.BLIF RST_c.BLIF N_50_0 -11 1 -.names a_decode_i_18__n.BLIF a_decode_i_19__n.BLIF N_122_3 -11 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_6__n.BLIF N_155_i -11 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_56_0 -11 1 -.names N_122_1.BLIF N_122_2.BLIF N_122_4 -11 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_154_i -11 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 -11 1 -.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_D.D -1 1 .names a_decode_c_23__n.BLIF AS_030_D0_i.BLIF un10_ciin_5 11 1 -.names N_242_i.BLIF sm_amiga_i_4__n.BLIF N_144_0 -11 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 +.names N_142.BLIF RST_DLY_0_.BLIF N_247 11 1 +.names ipl_c_2__n.BLIF G_109.X2 +1 1 .names a_decode_c_20__n.BLIF a_decode_c_21__n.BLIF un10_ciin_6 11 1 -.names N_240_i.BLIF N_241_i.BLIF sm_amiga_nss_0_7__n +.names N_138_i.BLIF N_162.BLIF un1_DS_000_ENABLE_0_sqmuxa 11 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C -1 1 .names un10_ciin_1.BLIF un10_ciin_2.BLIF un10_ciin_7 11 1 -.names N_236_i.BLIF N_391_i.BLIF N_137_0 +.names N_134_i.BLIF cpu_est_0_.BLIF N_233 11 1 -.names vcc_n_n -1 .names un10_ciin_3.BLIF un10_ciin_4.BLIF un10_ciin_8 11 1 -.names N_91_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_279_0 +.names N_134.BLIF cpu_est_i_0__n.BLIF N_232 11 1 -.names gnd_n_n +.names IPL_D0_1_.BLIF G_108.X1 +1 1 .names un10_ciin_5.BLIF un10_ciin_6.BLIF un10_ciin_9 11 1 -.names N_90_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_280_0 +.names N_178.BLIF cpu_est_2_.BLIF N_231 11 1 -.names A_DECODE_15_.BLIF a_decode_15__n -1 1 .names un10_ciin_7.BLIF un10_ciin_8.BLIF un10_ciin_10 11 1 -.names N_203_i.BLIF N_204_i.BLIF N_303_0 +.names N_184.BLIF cpu_est_i_2__n.BLIF N_229 11 1 -.names A_DECODE_14_.BLIF a_decode_14__n -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C +.names ipl_c_1__n.BLIF G_108.X2 1 1 .names un10_ciin_9.BLIF a_decode_c_22__n.BLIF un10_ciin_11 11 1 -.names N_197_i.BLIF N_198_i.BLIF sm_amiga_nss_0_3__n -11 1 -.names A_DECODE_13_.BLIF a_decode_13__n -1 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 .names un10_ciin_10.BLIF un10_ciin_11.BLIF un10_ciin 11 1 -.names N_195_i.BLIF N_196_i.BLIF sm_amiga_nss_0_4__n +.names N_167.BLIF sm_amiga_i_5__n.BLIF N_226 11 1 -.names A_DECODE_12_.BLIF a_decode_12__n -1 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF pos_clk_un21_bgack_030_int_i_0_0_1_n +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_357_1 11 1 -.names N_249.BLIF N_249_i_0 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n 0 1 -.names A_DECODE_11_.BLIF a_decode_11__n +.names IPL_D0_0_.BLIF G_107.X1 1 1 -.names pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF N_237_i.BLIF pos_clk_un21_bgack_030_int_i_0_0_2_n +.names a_decode_c_17__n.BLIF a_decode_i_16__n.BLIF N_357_2 11 1 -.names N_130_i.BLIF N_249_i_0.BLIF N_238 +.names N_185.BLIF sm_amiga_i_4__n.BLIF N_225 11 1 -.names A_DECODE_10_.BLIF a_decode_10__n -1 1 -.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C -1 1 -.names pos_clk_un21_bgack_030_int_i_0_0_1_n.BLIF pos_clk_un21_bgack_030_int_i_0_0_2_n.BLIF pos_clk_un21_bgack_030_int_i_0_0_n +.names a_decode_i_18__n.BLIF a_decode_i_19__n.BLIF N_357_3 11 1 -.names N_130_i.BLIF RST_c.BLIF N_243 -11 1 -.names A_DECODE_9_.BLIF a_decode_9__n -1 1 -.names AS_000_i.BLIF N_67_i.BLIF N_307_i_1 -11 1 -.names N_139_i.BLIF RST_DLY_2_.BLIF N_249 -11 1 -.names A_DECODE_8_.BLIF a_decode_8__n -1 1 -.names N_106_i.BLIF N_186_i.BLIF N_307_i_2 -11 1 -.names N_130.BLIF RST_c.BLIF N_257 -11 1 -.names A_DECODE_7_.BLIF a_decode_7__n -1 1 -.names CYCLE_DMA_0_.BLIF pos_clk_un21_bgack_030_int_i_0_o2_2_x2.X1 -1 1 -.names N_307_i_1.BLIF N_307_i_2.BLIF CYCLE_DMA_0_.D -11 1 -.names N_249_i_0.BLIF RST_c.BLIF N_248_i -11 1 -.names A_DECODE_6_.BLIF a_decode_6__n -1 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_202_1 -11 1 -.names N_205_i.BLIF N_206_i.BLIF cpu_est_0_.D -11 1 -.names A_DECODE_5_.BLIF a_decode_5__n -1 1 -.names CYCLE_DMA_1_.BLIF pos_clk_un21_bgack_030_int_i_0_o2_2_x2.X2 -1 1 -.names N_50_0.BLIF inst_DS_000_DMA.D +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n 0 1 -.names N_213_i.BLIF N_214_i.BLIF cpu_est_2_0_3__n +.names ipl_c_0__n.BLIF G_107.X2 +1 1 +.names N_357_1.BLIF N_357_2.BLIF N_357_4 11 1 -.names A_DECODE_4_.BLIF a_decode_4__n -1 1 -.names N_8.BLIF N_8_i -0 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_1__n -0 1 -.names A_DECODE_3_.BLIF a_decode_3__n -1 1 -.names N_46_0.BLIF inst_AS_000_DMA.D -0 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_130_i +.names N_180.BLIF sm_amiga_i_3__n.BLIF N_224 11 1 -.names A_DECODE_2_.BLIF a_decode_2__n -1 1 -.names CYCLE_DMA_1_.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X1 -1 1 -.names size_c_0__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n +.names N_44_0.BLIF inst_RW_000_INT.D +0 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names N_22.BLIF N_22_i +0 1 +.names N_169.BLIF sm_amiga_i_0__n.BLIF N_223 11 1 -.names N_238_i.BLIF RST_c.BLIF N_136_0 +.names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D +1 1 +.names N_38_0.BLIF inst_A0_DMA.D +0 1 +.names N_221_i.BLIF N_348_i.BLIF cpu_est_2_0_2__n +11 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D +1 1 +.names AS_000_i.BLIF pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF pos_clk_un21_bgack_030_int_i_0_i_1_n +11 1 +.names N_219_i.BLIF N_220_i.BLIF cpu_est_2_0_1__n +11 1 +.names BGACK_030_INT_i.BLIF N_297_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_2_n +11 1 +.names N_314_i.BLIF N_318_i.BLIF pos_clk_un9_clk_000_pe_0_n +11 1 +.names pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF pos_clk_un21_bgack_030_int_i_0_i_2_n.BLIF pos_clk_un21_bgack_030_int_i_0_i_n +11 1 +.names AS_030_D0_i.BLIF N_169.BLIF N_256_0 +11 1 +.names N_199_i.BLIF N_200_i.BLIF N_238_i_1 +11 1 +.names N_153_i.BLIF cpu_est_i_2__n.BLIF N_348 +11 1 +.names N_201_i.BLIF RST_c.BLIF N_238_i_2 +11 1 +.names N_156_i.BLIF RST_DLY_2_.BLIF N_343 11 1 .end diff --git a/Logic/BUS68030.bl1 b/Logic/BUS68030.bl1 index 1f7c79b..d2bdb56 100644 --- a/Logic/BUS68030.bl1 +++ b/Logic/BUS68030.bl1 @@ -1,116 +1,112 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Aug 25 22:27:51 2016 +#$ DATE Wed Sep 14 23:54:26 2016 #$ MODULE bus68030 -#$ PINS 75 AHIGH_30_ AHIGH_29_ SIZE_1_ AHIGH_28_ AHIGH_27_ AHIGH_31_ AHIGH_26_ \ -# AHIGH_25_ A_DECODE_23_ AHIGH_24_ A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ IPL_030_2_ \ -# A_DECODE_19_ A_DECODE_18_ IPL_2_ A_DECODE_17_ A_DECODE_16_ FC_1_ A_DECODE_15_ AS_030 \ -# A_DECODE_14_ AS_000 A_DECODE_13_ RW_000 A_DECODE_12_ DS_030 A_DECODE_11_ UDS_000 \ -# A_DECODE_10_ LDS_000 A_DECODE_9_ nEXP_SPACE A_DECODE_8_ BERR A_DECODE_7_ BG_030 \ -# A_DECODE_6_ BG_000 A_DECODE_5_ BGACK_030 A_DECODE_4_ BGACK_000 A_DECODE_3_ CLK_030 \ -# A_DECODE_2_ CLK_000 A_0_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT IPL_030_0_ CLK_EXP IPL_1_ \ -# FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 A_1_ DTACK AVEC E VPA VMA RST RESET RW \ -# AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH \ -# CIIN SIZE_0_ -#$ NODES 637 N_91_i as_000_dma_0_un0_n N_90_i N_248_i a_decode_15__n \ -# sm_amiga_i_i_7__n N_26_i AS_030_000_SYNC_i N_34_0 a_decode_14__n sm_amiga_i_3__n \ -# BG_030_c_i rst_dly_i_0__n pos_clk_un6_bg_030_i_n a_decode_13__n rst_dly_i_1__n \ -# pos_clk_un9_bg_030_0_n clk_000_d_i_1__n pos_clk_un3_as_030_d0_i_n a_decode_12__n \ -# inst_BGACK_030_INTreg N_249_i_0 un10_ciin_i vcc_n_n cpu_est_i_0__n N_127_0 \ -# a_decode_11__n inst_VMA_INTreg rst_dly_i_2__n N_369_0 gnd_n_n FPU_SENSE_i N_367_i \ -# a_decode_10__n un1_amiga_bus_enable_low N_122_i un1_SM_AMIGA_0_sqmuxa_3_i \ -# un6_as_030 a_decode_i_16__n N_278_0 a_decode_9__n un3_size a_decode_i_18__n N_218_i \ -# un4_size a_decode_i_19__n N_366_0 a_decode_8__n un1_LDS_000_INT BGACK_030_INT_i \ -# VPA_c_i un1_UDS_000_INT AMIGA_BUS_ENABLE_DMA_LOW_i N_55_0 a_decode_7__n un4_as_000 \ -# N_101_i N_7_i un10_ciin N_102_i N_47_0 a_decode_6__n un21_fpu_cs a_i_1__n \ -# LDS_000_INT_i un22_berr cpu_est_i_1__n un1_LDS_000_INT_0 a_decode_5__n un6_ds_030 \ -# cpu_est_i_2__n UDS_000_INT_i cpu_est_0_ VPA_D_i un1_UDS_000_INT_0 a_decode_4__n \ -# cpu_est_1_ DTACK_D0_i N_25_i cpu_est_2_ cpu_est_i_3__n N_35_0 a_decode_3__n \ -# cpu_est_3_ nEXP_SPACE_i N_24_i inst_AS_000_INT AS_000_i N_36_0 a_decode_2__n \ -# inst_AMIGA_BUS_ENABLE_DMA_LOW clk_000_d_i_0__n N_23_i inst_AS_030_D0 RESET_OUT_i \ -# N_37_0 inst_AS_030_000_SYNC AS_000_DMA_i N_22_i inst_BGACK_030_INT_D RW_000_i N_38_0 \ -# inst_AS_000_DMA CLK_030_H_i N_19_i inst_DS_000_DMA cycle_dma_i_0__n N_41_0 \ -# CYCLE_DMA_0_ AS_030_D0_i N_17_i CYCLE_DMA_1_ size_dma_i_0__n N_43_0 SIZE_DMA_0_ \ -# size_dma_i_1__n N_10_i SIZE_DMA_1_ ahigh_i_30__n N_44_0 inst_VPA_D ahigh_i_31__n \ -# a_c_i_0__n inst_DTACK_D0 ahigh_i_28__n size_c_i_1__n inst_RESET_OUT ahigh_i_29__n \ -# pos_clk_un10_sm_amiga_i_n CLK_000_D_1_ ahigh_i_26__n N_259_i CLK_000_D_0_ \ -# ahigh_i_27__n pos_clk_un6_bgack_000_0_n inst_CLK_OUT_PRE_50 ahigh_i_24__n N_282_0 \ -# inst_CLK_OUT_PRE_25 ahigh_i_25__n N_21_i inst_CLK_OUT_PRE_D N_244_i N_39_0 IPL_D0_0_ \ -# N_245_i N_188_i IPL_D0_1_ N_246_i N_187_i IPL_D0_2_ N_58_0 pos_clk_un6_bg_030_n \ -# un6_ds_030_i N_209_i inst_AMIGA_BUS_ENABLE_DMA_HIGH DS_000_DMA_i N_208_i \ -# inst_DSACK1_INTreg un4_as_000_i pos_clk_un9_clk_000_pe_0_n pos_clk_ipl_n \ -# un6_as_030_i N_210_i inst_LDS_000_INT AS_030_c N_211_i inst_DS_000_ENABLE \ -# cpu_est_2_0_1__n inst_UDS_000_INT AS_000_c N_258_i SM_AMIGA_6_ N_212_i SM_AMIGA_4_ \ -# RW_000_c cpu_est_2_0_2__n SM_AMIGA_1_ N_216_i SM_AMIGA_0_ N_215_i inst_RW_000_INT \ -# UDS_000_c N_40_i inst_RW_000_DMA N_138_0 RST_DLY_0_ LDS_000_c N_142_i RST_DLY_1_ \ -# N_143_i RST_DLY_2_ size_c_0__n VMA_INT_i inst_A0_DMA N_392_i inst_CLK_030_H \ -# size_c_1__n N_393_i pos_clk_rw_000_int_5_n N_152_i SM_AMIGA_5_ ahigh_c_24__n N_161_0 \ -# SM_AMIGA_3_ SM_AMIGA_2_ ahigh_c_25__n N_106_i pos_clk_ds_000_dma_4_n N_186_i N_3 \ -# ahigh_c_26__n CLK_030_c_i N_8 N_164_0 ahigh_c_27__n N_67_i LDS_000_c_i ahigh_c_28__n \ -# UDS_000_c_i N_156_i ahigh_c_29__n pos_clk_un21_bgack_030_int_i_0_0_n N_27 N_237_i \ -# N_28 ahigh_c_30__n N_131_i N_29 CLK_OUT_PRE_25_0 ahigh_c_31__n N_368_i N_275_0 N_227_i \ -# N_276_0 N_226_i RW_c_i pos_clk_rw_000_int_5_0_n N_225_i pos_clk_ds_000_dma_4_0_n \ -# N_224_i pos_clk_size_dma_6_0_1__n N_223_i pos_clk_size_dma_6_0_0__n N_222_i N_201_i \ -# N_202_i AMIGA_BUS_DATA_DIR_c_0 N_199_i N_200_i sm_amiga_nss_0_2__n N_189_i N_190_i \ -# N_29_i N_33_0 N_28_i SM_AMIGA_i_7_ N_32_0 N_27_i N_31_0 a_decode_c_16__n ipl_c_i_2__n \ -# N_54_0 a_decode_c_17__n ipl_c_i_1__n N_53_0 pos_clk_size_dma_6_0__n \ -# a_decode_c_18__n ipl_c_i_0__n pos_clk_size_dma_6_1__n N_52_0 N_106 a_decode_c_19__n \ -# DTACK_c_i G_119 N_56_0 G_120 a_decode_c_20__n N_3_i G_121 N_50_0 \ -# pos_clk_un21_bgack_030_int_i_0_n a_decode_c_21__n N_8_i N_275 N_46_0 N_276 \ -# a_decode_c_22__n pos_clk_un10_sm_amiga_i_1_n sm_amiga_nss_i_0_1_0__n N_108 \ -# a_decode_c_23__n sm_amiga_nss_i_0_2_0__n N_110 sm_amiga_nss_i_0_3_0__n a_c_0__n \ -# sm_amiga_nss_i_0_4_0__n sm_amiga_nss_i_0_5_0__n N_127 a_c_1__n un10_ciin_1 N_130 \ -# un10_ciin_2 N_131 nEXP_SPACE_c un10_ciin_3 N_139 un10_ciin_4 N_152 BERR_c un10_ciin_5 \ -# N_156 un10_ciin_6 N_164 BG_030_c un10_ciin_7 N_370 un10_ciin_8 N_177 BG_000DFFreg \ -# un10_ciin_9 N_179 un10_ciin_10 N_185 un10_ciin_11 N_186 BGACK_000_c \ -# pos_clk_un21_bgack_030_int_i_0_0_1_n N_189 pos_clk_un21_bgack_030_int_i_0_0_2_n \ -# N_190 CLK_030_c N_307_i_1 N_199 N_307_i_2 N_200 N_202_1 N_201 N_202_2 N_202 CLK_OSZI_c \ -# N_208_1 N_203 N_208_2 N_211 N_209_1 N_217 CLK_OUT_INTreg N_209_2 N_222 N_392_1 N_223 \ -# N_392_2 N_224 FPU_SENSE_c N_122_1 N_225 N_122_2 N_226 IPL_030DFF_0_reg N_122_3 N_227 \ -# N_122_4 N_236 IPL_030DFF_1_reg N_218_1 N_237 N_218_2 N_243 IPL_030DFF_2_reg \ -# un21_fpu_cs_1 N_391 un22_berr_1_0 N_250 ipl_c_0__n N_305_i_1 \ -# pos_clk_un21_bgack_030_int_i_0_o2_2_x2 N_305_i_2 pos_clk_CYCLE_DMA_5_1_i_x2 \ -# ipl_c_1__n N_304_i_1 N_208 N_304_i_2 N_209 ipl_c_2__n N_178_1 N_258 N_178_2 N_161 \ -# N_178_3 N_392 DTACK_c N_204_1_0 N_393 N_125_i_1 N_138 N_276_0_1 N_143 \ -# pos_clk_rw_000_int_5_0_1_n N_215 VPA_c N_277_i_1 N_216 N_306_i_1 N_214 \ -# pos_clk_un6_bg_030_1_n cpu_est_2_2__n RST_c N_211_1 N_212 N_203_1 cpu_est_2_1__n \ -# N_199_1 N_210 RW_c N_185_1 pos_clk_un9_clk_000_pe_n N_179_1 N_187 fc_c_0__n N_177_1 \ -# N_188 pos_clk_ipl_1_n N_21 fc_c_1__n dsack1_int_0_un3_n N_247 dsack1_int_0_un1_n \ -# N_282 dsack1_int_0_un0_n pos_clk_un6_bgack_000_n AMIGA_BUS_DATA_DIR_c \ -# rw_000_int_0_un3_n N_259 rw_000_int_0_un1_n pos_clk_a0_dma_3_n rw_000_int_0_un0_n \ -# N_101 as_000_int_0_un3_n N_102 as_000_int_0_un1_n N_10 N_18_i as_000_int_0_un0_n N_17 \ -# N_42_0 bg_000_0_un3_n N_19 N_5_i bg_000_0_un1_n N_22 N_48_0 bg_000_0_un0_n N_23 N_4_i \ -# cpu_est_0_3__un3_n N_24 N_49_0 cpu_est_0_3__un1_n N_25 N_191_i cpu_est_0_3__un0_n N_6 \ -# un1_SM_AMIGA_0_sqmuxa_2_0 un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n \ -# un1_SM_AMIGA_0_sqmuxa_3 N_193_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n \ -# N_278 N_192_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n N_7 \ -# sm_amiga_nss_0_6__n amiga_bus_enable_dma_low_0_un3_n pos_clk_un3_as_030_d0_n \ -# N_177_i amiga_bus_enable_dma_low_0_un1_n N_366 N_194_i \ -# amiga_bus_enable_dma_low_0_un0_n N_122 sm_amiga_nss_0_5__n uds_000_int_0_un3_n \ -# N_218 N_195_i uds_000_int_0_un1_n un22_berr_1 N_196_i uds_000_int_0_un0_n \ -# pos_clk_un9_bg_030_n sm_amiga_nss_0_4__n a0_dma_0_un3_n N_26 N_198_i a0_dma_0_un1_n \ -# cpu_est_2_3__n N_197_i a0_dma_0_un0_n N_180 sm_amiga_nss_0_3__n rw_000_dma_0_un3_n \ -# N_136 N_204_i rw_000_dma_0_un1_n N_249 N_203_i rw_000_dma_0_un0_n N_181 N_303_0 \ -# lds_000_int_0_un3_n N_183 N_280_0 lds_000_int_0_un1_n N_184 N_279_0 \ -# lds_000_int_0_un0_n N_257 N_236_i bgack_030_int_0_un3_n N_205 N_391_i \ -# bgack_030_int_0_un1_n N_206 N_137_0 bgack_030_int_0_un0_n N_213 N_241_i \ -# ds_000_enable_0_un3_n N_238 N_240_i ds_000_enable_0_un1_n N_162 sm_amiga_nss_0_7__n \ -# ds_000_enable_0_un0_n N_178 sm_amiga_i_4__n as_030_000_sync_0_un3_n N_204_1 N_242_i \ -# as_030_000_sync_0_un1_n N_155 N_144_0 as_030_000_sync_0_un0_n N_204 sm_amiga_i_2__n \ -# amiga_bus_enable_dma_high_0_un3_n N_239 N_154_i amiga_bus_enable_dma_high_0_un1_n \ -# N_252 sm_amiga_i_6__n amiga_bus_enable_dma_high_0_un0_n N_175 sm_amiga_i_0__n \ -# cpu_est_0_2__un3_n N_176 N_155_i cpu_est_0_2__un1_n N_163 N_160_0 cpu_est_0_2__un0_n \ -# N_160 N_243_i cpu_est_0_1__un3_n N_144 N_163_0 cpu_est_0_1__un1_n N_242 N_176_i \ -# cpu_est_0_1__un0_n N_240 N_175_i vma_int_0_un3_n N_241 N_252_i vma_int_0_un1_n N_137 \ -# N_239_i vma_int_0_un0_n N_279 N_178_i size_dma_0_0__un3_n N_91 sm_amiga_nss_i_0_0__n \ -# size_dma_0_0__un1_n N_280 size_dma_0_0__un0_n N_90 N_181_i size_dma_0_1__un3_n N_197 \ -# N_180_i size_dma_0_1__un1_n N_198 N_179_i size_dma_0_1__un0_n N_195 \ -# ipl_030_0_0__un3_n N_196 N_185_i ipl_030_0_0__un1_n N_194 N_183_i ipl_030_0_0__un0_n \ -# N_192 N_184_i ipl_030_0_1__un3_n N_193 N_162_0 ipl_030_0_1__un1_n \ -# un1_SM_AMIGA_0_sqmuxa_2 N_139_i ipl_030_0_1__un0_n N_191 N_238_i ipl_030_0_2__un3_n \ -# N_4 N_136_0 ipl_030_0_2__un1_n N_5 N_130_i ipl_030_0_2__un0_n N_18 N_213_i \ -# ds_000_dma_0_un3_n un1_amiga_bus_enable_low_i N_214_i ds_000_dma_0_un1_n \ -# un21_fpu_cs_i cpu_est_2_0_3__n ds_000_dma_0_un0_n AS_030_i N_206_i \ -# as_000_dma_0_un3_n AS_000_INT_i N_205_i as_000_dma_0_un1_n +#$ PINS 75 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 \ +# DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 \ +# SIZE_0_ CLK_OSZI AHIGH_30_ CLK_DIV_OUT AHIGH_29_ CLK_EXP AHIGH_28_ FPU_CS AHIGH_27_ \ +# FPU_SENSE AHIGH_26_ DSACK1 AHIGH_25_ DTACK AHIGH_24_ AVEC A_DECODE_22_ E A_DECODE_21_ \ +# VPA A_DECODE_20_ VMA A_DECODE_19_ RST A_DECODE_18_ RESET A_DECODE_17_ RW A_DECODE_16_ \ +# AMIGA_ADDR_ENABLE A_DECODE_15_ AMIGA_BUS_DATA_DIR A_DECODE_14_ \ +# AMIGA_BUS_ENABLE_LOW A_DECODE_13_ AMIGA_BUS_ENABLE_HIGH A_DECODE_12_ CIIN \ +# A_DECODE_11_ A_DECODE_10_ A_DECODE_9_ A_DECODE_8_ A_DECODE_7_ A_DECODE_6_ \ +# A_DECODE_5_ A_DECODE_4_ A_DECODE_3_ A_DECODE_2_ A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ \ +# IPL_0_ FC_0_ A_1_ +#$ NODES 602 N_130_i pos_clk_un6_bgack_000_0_n N_131_i DTACK_c_i CLK_030_H_i N_56_0 \ +# RW_000_i VPA_c_i a_i_1__n N_55_0 RESET_OUT_i N_6_i AS_030_i N_47_0 FPU_SENSE_i N_26_i \ +# inst_BGACK_030_INTreg sm_amiga_i_i_7__n N_34_0 vcc_n_n a_decode_i_16__n BG_030_c_i \ +# inst_VMA_INTreg AS_030_D0_i pos_clk_un6_bg_030_i_n gnd_n_n size_dma_i_0__n \ +# pos_clk_un9_bg_030_0_n un1_amiga_bus_enable_low size_dma_i_1__n N_25_i un6_as_030 \ +# a_decode_i_18__n N_35_0 un3_size a_decode_i_19__n N_24_i un4_size ahigh_i_30__n \ +# N_36_0 un1_LDS_000_INT ahigh_i_31__n N_17_i un1_UDS_000_INT ahigh_i_28__n N_43_0 \ +# un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_29__n N_4_i un1_DS_000_ENABLE_0_sqmuxa \ +# ahigh_i_26__n N_49_0 un4_as_000 ahigh_i_27__n N_3_i un10_ciin ahigh_i_24__n N_50_0 \ +# un21_fpu_cs ahigh_i_25__n N_215_i un21_berr N_210_i N_216_i un6_ds_030 N_211_i N_301_0 \ +# cpu_est_2_ N_212_i N_243_0 cpu_est_3_ N_266_i cpu_est_0_ un6_ds_030_i N_249_i \ +# cpu_est_1_ un4_as_000_i AMIGA_BUS_DATA_DIR_c_0 inst_AS_000_INT AS_000_INT_i N_268_i \ +# inst_AMIGA_BUS_ENABLE_DMA_LOW un6_as_030_i pos_clk_ds_000_dma_4_0_n \ +# inst_AS_030_D0 AS_030_c CLK_030_c_i inst_AS_030_000_SYNC N_236_0 \ +# inst_BGACK_030_INT_D AS_000_c un1_as_000_i inst_AS_000_DMA N_297_i inst_DS_000_DMA \ +# RW_000_c N_160_i CYCLE_DMA_0_ pos_clk_un21_bgack_030_int_i_0_i_n CYCLE_DMA_1_ \ +# N_100_i SIZE_DMA_0_ UDS_000_c N_186_0 SIZE_DMA_1_ N_183_0 inst_VPA_D LDS_000_c N_182_0 \ +# CLK_000_D_1_ N_181_0 inst_DTACK_D0 size_c_0__n N_228_i inst_RESET_OUT N_176_0 \ +# CLK_000_D_0_ size_c_1__n LDS_000_c_i inst_CLK_OUT_PRE_50 UDS_000_c_i \ +# inst_CLK_OUT_PRE_25 ahigh_c_24__n N_173_i inst_CLK_OUT_PRE_D N_304_i IPL_D0_0_ \ +# ahigh_c_25__n AS_030_000_SYNC_i IPL_D0_1_ N_157_i IPL_D0_2_ ahigh_c_26__n N_110_0 \ +# CLK_000_D_2_ RW_c_i pos_clk_un6_bg_030_n ahigh_c_27__n N_106_0 \ +# inst_AMIGA_BUS_ENABLE_DMA_HIGH N_284_i inst_DSACK1_INTreg ahigh_c_28__n \ +# pos_clk_ipl_n N_334_i inst_LDS_000_INT ahigh_c_29__n inst_DS_000_ENABLE N_278_i \ +# inst_UDS_000_INT ahigh_c_30__n N_279_i SM_AMIGA_6_ SM_AMIGA_4_ ahigh_c_31__n N_332_i \ +# SM_AMIGA_1_ N_237_0 SM_AMIGA_0_ un1_SM_AMIGA_0_sqmuxa_1_0 inst_RW_000_INT N_247_i \ +# inst_RW_000_DMA N_248_i RST_DLY_0_ RST_DLY_1_ N_246_i RST_DLY_2_ inst_A0_DMA \ +# pos_clk_a0_dma_3_n un10_ciin_i inst_CLK_030_H N_241_0 SM_AMIGA_5_ \ +# un1_DS_000_ENABLE_0_sqmuxa_i SM_AMIGA_3_ N_242_0 SM_AMIGA_2_ N_48_i N_227_i N_9 \ +# N_225_i N_224_i N_15 N_223_i N_16 N_22 N_218_i CLK_OUT_PRE_25_0 \ +# pos_clk_size_dma_6_0_1__n N_217_i pos_clk_size_dma_6_0_0__n N_213_i N_319_i N_300_0 \ +# N_15_i a_decode_c_16__n N_45_0 N_16_i a_decode_c_17__n N_44_0 N_22_i a_decode_c_18__n \ +# N_38_0 pos_clk_un21_bgack_030_int_i_0_i_1_n a_decode_c_19__n \ +# pos_clk_un21_bgack_030_int_i_0_i_2_n N_238_i_1 a_decode_c_20__n N_238_i_2 \ +# N_239_i_1 a_decode_c_21__n N_239_i_2 pos_clk_un10_sm_amiga_i_1_n a_decode_c_22__n \ +# un10_ciin_1 un10_ciin_2 a_decode_c_23__n un10_ciin_3 un10_ciin_4 a_c_0__n \ +# un10_ciin_5 un10_ciin_6 SM_AMIGA_i_7_ a_c_1__n un10_ciin_7 pos_clk_size_dma_6_0__n \ +# un10_ciin_8 pos_clk_size_dma_6_1__n nEXP_SPACE_c un10_ciin_9 G_107 un10_ciin_10 \ +# G_108 BERR_c un10_ciin_11 G_109 N_357_1 pos_clk_un21_bgack_030_int_i_0_n BG_030_c \ +# N_357_2 N_237 N_357_3 N_241 BG_000DFFreg N_357_4 N_242 N_304_i_1 un21_fpu_cs_1 N_283 \ +# BGACK_000_c un21_berr_1_0 N_294 N_266_1 N_300 CLK_030_c N_266_2 N_67_i_1 N_106 N_67_i_2 \ +# N_314_1 N_134 CLK_OSZI_c N_314_2 N_138 N_318_1 N_156 N_318_2 N_160 CLK_OUT_INTreg \ +# N_341_1 N_167 N_341_2 N_172 N_151_i_1 N_173 FPU_SENSE_c N_143_i_1 N_181 N_141_i_1 N_182 \ +# IPL_030DFF_0_reg N_237_0_1 N_183 N_240_i_1 N_191 IPL_030DFF_1_reg N_60_i_1 N_199 \ +# N_64_i_1 N_205 IPL_030DFF_2_reg N_155_i_1 N_209 N_147_i_1 N_319 ipl_c_0__n N_145_i_1 \ +# N_213 N_139_i_1 N_216 ipl_c_1__n pos_clk_un6_bg_030_1_n N_217 N_220_1 N_218 ipl_c_2__n \ +# N_216_1 N_220 N_205_1 N_223 N_199_1 N_224 DTACK_c pos_clk_ipl_1_n N_225 \ +# uds_000_int_0_un3_n N_227 uds_000_int_0_un1_n N_228 uds_000_int_0_un0_n N_246 VPA_c \ +# as_000_int_0_un3_n N_247 as_000_int_0_un1_n N_248 as_000_int_0_un0_n N_332 RST_c \ +# dsack1_int_0_un3_n N_278 dsack1_int_0_un1_n N_279 dsack1_int_0_un0_n N_334 RW_c \ +# vma_int_0_un3_n N_284 vma_int_0_un1_n N_343 fc_c_0__n vma_int_0_un0_n \ +# pos_clk_CYCLE_DMA_5_1_i_0_x2 lds_000_int_0_un3_n un21_berr_1 fc_c_1__n \ +# lds_000_int_0_un1_n N_357 lds_000_int_0_un0_n N_266 ipl_030_0_1__un3_n N_186 \ +# AMIGA_BUS_DATA_DIR_c ipl_030_0_1__un1_n pos_clk_un21_bgack_030_int_i_0_o2_2_x2 \ +# ipl_030_0_1__un0_n N_297 ipl_030_0_0__un3_n N_236 ipl_030_0_0__un1_n \ +# pos_clk_ds_000_dma_4_n ipl_030_0_0__un0_n N_268 UDS_000_INT_i cpu_est_0_3__un3_n \ +# N_249 un1_UDS_000_INT_0 cpu_est_0_3__un1_n N_243 LDS_000_INT_i cpu_est_0_3__un0_n \ +# N_215 un1_LDS_000_INT_0 cpu_est_0_2__un3_n N_130 N_23_i cpu_est_0_2__un1_n N_131 \ +# N_37_0 cpu_est_0_2__un0_n N_3 N_21_i cpu_est_0_1__un3_n N_4 N_39_0 cpu_est_0_1__un1_n \ +# N_17 N_20_i cpu_est_0_1__un0_n N_24 N_40_0 ipl_030_0_2__un3_n N_25 N_19_i \ +# ipl_030_0_2__un1_n pos_clk_un9_bg_030_n N_41_0 ipl_030_0_2__un0_n N_6 N_14_i \ +# amiga_bus_enable_dma_low_0_un3_n pos_clk_un6_bgack_000_n N_46_0 \ +# amiga_bus_enable_dma_low_0_un1_n N_26 ipl_c_i_0__n \ +# amiga_bus_enable_dma_low_0_un0_n N_208 N_52_0 rw_000_dma_0_un3_n N_207 ipl_c_i_1__n \ +# rw_000_dma_0_un1_n N_349 N_53_0 rw_000_dma_0_un0_n N_314 ipl_c_i_2__n \ +# as_000_dma_0_un3_n N_318 N_54_0 as_000_dma_0_un1_n N_348 N_27_i as_000_dma_0_un0_n \ +# N_201 N_31_0 ds_000_dma_0_un3_n N_200 N_28_i ds_000_dma_0_un1_n N_203 N_32_0 \ +# ds_000_dma_0_un0_n N_204 N_29_i bgack_030_int_0_un3_n N_185 N_33_0 \ +# bgack_030_int_0_un1_n N_184 a_c_i_0__n bgack_030_int_0_un0_n N_180 size_c_i_1__n \ +# bg_000_0_un3_n N_179 pos_clk_un10_sm_amiga_i_n bg_000_0_un1_n N_178 N_256_0 \ +# bg_000_0_un0_n N_171 N_318_i amiga_bus_enable_dma_high_0_un3_n N_341 N_314_i \ +# amiga_bus_enable_dma_high_0_un1_n N_342 pos_clk_un9_clk_000_pe_0_n \ +# amiga_bus_enable_dma_high_0_un0_n N_169 N_219_i \ +# un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n N_154 N_220_i \ +# un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n N_165 cpu_est_2_0_1__n \ +# un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n N_162 N_221_i \ +# size_dma_0_0__un3_n N_299 N_348_i size_dma_0_0__un1_n N_153 cpu_est_2_0_2__n \ +# size_dma_0_0__un0_n N_142 N_222_i size_dma_0_1__un3_n N_298 N_196_i \ +# size_dma_0_1__un1_n N_80 N_226_i size_dma_0_1__un0_n N_232 ds_000_enable_0_un3_n \ +# N_233 N_231_i ds_000_enable_0_un1_n N_229 N_229_i ds_000_enable_0_un0_n N_231 N_302_i \ +# as_030_000_sync_0_un3_n N_226 N_233_i as_030_000_sync_0_un1_n N_221 N_232_i \ +# as_030_000_sync_0_un0_n N_222 rw_000_int_0_un3_n cpu_est_2_2__n N_80_0 \ +# rw_000_int_0_un1_n cpu_est_2_1__n N_343_i rw_000_int_0_un0_n N_219 N_214_0 \ +# a0_dma_0_un3_n pos_clk_un9_clk_000_pe_n N_166_i a0_dma_0_un1_n N_256 N_134_i \ +# a0_dma_0_un0_n N_29 N_298_i a_decode_15__n N_28 N_142_0 N_27 N_153_i a_decode_14__n \ +# N_14 N_154_0 N_19 N_156_i a_decode_13__n N_20 N_305_i N_21 N_299_i a_decode_12__n N_23 \ +# N_162_0 un1_amiga_bus_enable_low_i N_165_0 a_decode_11__n un21_fpu_cs_i N_169_i \ +# cpu_est_i_1__n VMA_INT_i a_decode_10__n rst_dly_i_2__n N_341_i rst_dly_i_1__n \ +# N_342_i a_decode_9__n cpu_est_i_0__n N_171_i cpu_est_i_2__n N_172_i a_decode_8__n \ +# sm_amiga_i_0__n N_178_0 sm_amiga_i_3__n N_179_0 a_decode_7__n sm_amiga_i_4__n \ +# N_180_0 sm_amiga_i_5__n N_184_0 a_decode_6__n rst_dly_i_0__n N_185_0 sm_amiga_i_2__n \ +# N_203_i a_decode_5__n sm_amiga_i_1__n N_204_i VPA_D_i N_205_i a_decode_4__n \ +# clk_000_d_i_1__n cpu_est_i_3__n N_200_i a_decode_3__n sm_amiga_i_6__n N_199_i \ +# clk_000_d_i_0__n N_201_i a_decode_2__n BGACK_030_INT_i AS_000_i AS_000_DMA_i N_208_i \ +# nEXP_SPACE_i N_207_i cycle_dma_i_0__n N_167_i DS_000_DMA_i N_138_i \ +# AMIGA_BUS_ENABLE_DMA_LOW_i N_349_i .model bus68030 .inputs A_DECODE_23_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ @@ -123,307 +119,295 @@ A_DECODE_4_.BLIF A_DECODE_3_.BLIF A_DECODE_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF \ FC_0_.BLIF A_1_.BLIF SIZE_1_.BLIF AHIGH_31_.BLIF AS_030.BLIF AS_000.BLIF \ RW_000.BLIF UDS_000.BLIF LDS_000.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF \ AHIGH_30_.BLIF AHIGH_29_.BLIF AHIGH_28_.BLIF AHIGH_27_.BLIF AHIGH_26_.BLIF \ -AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF N_91_i.BLIF as_000_dma_0_un0_n.BLIF \ -N_90_i.BLIF N_248_i.BLIF a_decode_15__n.BLIF sm_amiga_i_i_7__n.BLIF \ -N_26_i.BLIF AS_030_000_SYNC_i.BLIF N_34_0.BLIF a_decode_14__n.BLIF \ -sm_amiga_i_3__n.BLIF BG_030_c_i.BLIF rst_dly_i_0__n.BLIF \ -pos_clk_un6_bg_030_i_n.BLIF a_decode_13__n.BLIF rst_dly_i_1__n.BLIF \ -pos_clk_un9_bg_030_0_n.BLIF clk_000_d_i_1__n.BLIF \ -pos_clk_un3_as_030_d0_i_n.BLIF a_decode_12__n.BLIF inst_BGACK_030_INTreg.BLIF \ -N_249_i_0.BLIF un10_ciin_i.BLIF vcc_n_n.BLIF cpu_est_i_0__n.BLIF N_127_0.BLIF \ -a_decode_11__n.BLIF inst_VMA_INTreg.BLIF rst_dly_i_2__n.BLIF N_369_0.BLIF \ -gnd_n_n.BLIF FPU_SENSE_i.BLIF N_367_i.BLIF a_decode_10__n.BLIF \ -un1_amiga_bus_enable_low.BLIF N_122_i.BLIF un1_SM_AMIGA_0_sqmuxa_3_i.BLIF \ -un6_as_030.BLIF a_decode_i_16__n.BLIF N_278_0.BLIF a_decode_9__n.BLIF \ -un3_size.BLIF a_decode_i_18__n.BLIF N_218_i.BLIF un4_size.BLIF \ -a_decode_i_19__n.BLIF N_366_0.BLIF a_decode_8__n.BLIF un1_LDS_000_INT.BLIF \ -BGACK_030_INT_i.BLIF VPA_c_i.BLIF un1_UDS_000_INT.BLIF \ -AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_55_0.BLIF a_decode_7__n.BLIF un4_as_000.BLIF \ -N_101_i.BLIF N_7_i.BLIF un10_ciin.BLIF N_102_i.BLIF N_47_0.BLIF \ -a_decode_6__n.BLIF un21_fpu_cs.BLIF a_i_1__n.BLIF LDS_000_INT_i.BLIF \ -un22_berr.BLIF cpu_est_i_1__n.BLIF un1_LDS_000_INT_0.BLIF a_decode_5__n.BLIF \ -un6_ds_030.BLIF cpu_est_i_2__n.BLIF UDS_000_INT_i.BLIF cpu_est_0_.BLIF \ -VPA_D_i.BLIF un1_UDS_000_INT_0.BLIF a_decode_4__n.BLIF cpu_est_1_.BLIF \ -DTACK_D0_i.BLIF N_25_i.BLIF cpu_est_2_.BLIF cpu_est_i_3__n.BLIF N_35_0.BLIF \ -a_decode_3__n.BLIF cpu_est_3_.BLIF nEXP_SPACE_i.BLIF N_24_i.BLIF \ -inst_AS_000_INT.BLIF AS_000_i.BLIF N_36_0.BLIF a_decode_2__n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF clk_000_d_i_0__n.BLIF N_23_i.BLIF \ -inst_AS_030_D0.BLIF RESET_OUT_i.BLIF N_37_0.BLIF inst_AS_030_000_SYNC.BLIF \ -AS_000_DMA_i.BLIF N_22_i.BLIF inst_BGACK_030_INT_D.BLIF RW_000_i.BLIF \ -N_38_0.BLIF inst_AS_000_DMA.BLIF CLK_030_H_i.BLIF N_19_i.BLIF \ -inst_DS_000_DMA.BLIF cycle_dma_i_0__n.BLIF N_41_0.BLIF CYCLE_DMA_0_.BLIF \ -AS_030_D0_i.BLIF N_17_i.BLIF CYCLE_DMA_1_.BLIF size_dma_i_0__n.BLIF \ -N_43_0.BLIF SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF N_10_i.BLIF SIZE_DMA_1_.BLIF \ -ahigh_i_30__n.BLIF N_44_0.BLIF inst_VPA_D.BLIF ahigh_i_31__n.BLIF \ -a_c_i_0__n.BLIF inst_DTACK_D0.BLIF ahigh_i_28__n.BLIF size_c_i_1__n.BLIF \ -inst_RESET_OUT.BLIF ahigh_i_29__n.BLIF pos_clk_un10_sm_amiga_i_n.BLIF \ -CLK_000_D_1_.BLIF ahigh_i_26__n.BLIF N_259_i.BLIF CLK_000_D_0_.BLIF \ -ahigh_i_27__n.BLIF pos_clk_un6_bgack_000_0_n.BLIF inst_CLK_OUT_PRE_50.BLIF \ -ahigh_i_24__n.BLIF N_282_0.BLIF inst_CLK_OUT_PRE_25.BLIF ahigh_i_25__n.BLIF \ -N_21_i.BLIF inst_CLK_OUT_PRE_D.BLIF N_244_i.BLIF N_39_0.BLIF IPL_D0_0_.BLIF \ -N_245_i.BLIF N_188_i.BLIF IPL_D0_1_.BLIF N_246_i.BLIF N_187_i.BLIF \ -IPL_D0_2_.BLIF N_58_0.BLIF pos_clk_un6_bg_030_n.BLIF un6_ds_030_i.BLIF \ -N_209_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF DS_000_DMA_i.BLIF \ -N_208_i.BLIF inst_DSACK1_INTreg.BLIF un4_as_000_i.BLIF \ -pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_ipl_n.BLIF un6_as_030_i.BLIF \ -N_210_i.BLIF inst_LDS_000_INT.BLIF AS_030_c.BLIF N_211_i.BLIF \ -inst_DS_000_ENABLE.BLIF cpu_est_2_0_1__n.BLIF inst_UDS_000_INT.BLIF \ -AS_000_c.BLIF N_258_i.BLIF SM_AMIGA_6_.BLIF N_212_i.BLIF SM_AMIGA_4_.BLIF \ -RW_000_c.BLIF cpu_est_2_0_2__n.BLIF SM_AMIGA_1_.BLIF N_216_i.BLIF \ -SM_AMIGA_0_.BLIF N_215_i.BLIF inst_RW_000_INT.BLIF UDS_000_c.BLIF N_40_i.BLIF \ -inst_RW_000_DMA.BLIF N_138_0.BLIF RST_DLY_0_.BLIF LDS_000_c.BLIF N_142_i.BLIF \ -RST_DLY_1_.BLIF N_143_i.BLIF RST_DLY_2_.BLIF size_c_0__n.BLIF VMA_INT_i.BLIF \ -inst_A0_DMA.BLIF N_392_i.BLIF inst_CLK_030_H.BLIF size_c_1__n.BLIF \ -N_393_i.BLIF pos_clk_rw_000_int_5_n.BLIF N_152_i.BLIF SM_AMIGA_5_.BLIF \ -ahigh_c_24__n.BLIF N_161_0.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF \ -ahigh_c_25__n.BLIF N_106_i.BLIF pos_clk_ds_000_dma_4_n.BLIF N_186_i.BLIF \ -N_3.BLIF ahigh_c_26__n.BLIF CLK_030_c_i.BLIF N_8.BLIF N_164_0.BLIF \ -ahigh_c_27__n.BLIF N_67_i.BLIF LDS_000_c_i.BLIF ahigh_c_28__n.BLIF \ -UDS_000_c_i.BLIF N_156_i.BLIF ahigh_c_29__n.BLIF \ -pos_clk_un21_bgack_030_int_i_0_0_n.BLIF N_27.BLIF N_237_i.BLIF N_28.BLIF \ -ahigh_c_30__n.BLIF N_131_i.BLIF N_29.BLIF CLK_OUT_PRE_25_0.BLIF \ -ahigh_c_31__n.BLIF N_368_i.BLIF N_275_0.BLIF N_227_i.BLIF N_276_0.BLIF \ -N_226_i.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n.BLIF N_225_i.BLIF \ -pos_clk_ds_000_dma_4_0_n.BLIF N_224_i.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ -N_223_i.BLIF pos_clk_size_dma_6_0_0__n.BLIF N_222_i.BLIF N_201_i.BLIF \ -N_202_i.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_199_i.BLIF N_200_i.BLIF \ -sm_amiga_nss_0_2__n.BLIF N_189_i.BLIF N_190_i.BLIF N_29_i.BLIF N_33_0.BLIF \ -N_28_i.BLIF SM_AMIGA_i_7_.BLIF N_32_0.BLIF N_27_i.BLIF N_31_0.BLIF \ -a_decode_c_16__n.BLIF ipl_c_i_2__n.BLIF N_54_0.BLIF a_decode_c_17__n.BLIF \ -ipl_c_i_1__n.BLIF N_53_0.BLIF pos_clk_size_dma_6_0__n.BLIF \ -a_decode_c_18__n.BLIF ipl_c_i_0__n.BLIF pos_clk_size_dma_6_1__n.BLIF \ -N_52_0.BLIF N_106.BLIF a_decode_c_19__n.BLIF DTACK_c_i.BLIF G_119.BLIF \ -N_56_0.BLIF G_120.BLIF a_decode_c_20__n.BLIF N_3_i.BLIF G_121.BLIF N_50_0.BLIF \ -pos_clk_un21_bgack_030_int_i_0_n.BLIF a_decode_c_21__n.BLIF N_8_i.BLIF \ -N_275.BLIF N_46_0.BLIF N_276.BLIF a_decode_c_22__n.BLIF \ -pos_clk_un10_sm_amiga_i_1_n.BLIF sm_amiga_nss_i_0_1_0__n.BLIF N_108.BLIF \ -a_decode_c_23__n.BLIF sm_amiga_nss_i_0_2_0__n.BLIF N_110.BLIF \ -sm_amiga_nss_i_0_3_0__n.BLIF a_c_0__n.BLIF sm_amiga_nss_i_0_4_0__n.BLIF \ -sm_amiga_nss_i_0_5_0__n.BLIF N_127.BLIF a_c_1__n.BLIF un10_ciin_1.BLIF \ -N_130.BLIF un10_ciin_2.BLIF N_131.BLIF nEXP_SPACE_c.BLIF un10_ciin_3.BLIF \ -N_139.BLIF un10_ciin_4.BLIF N_152.BLIF BERR_c.BLIF un10_ciin_5.BLIF N_156.BLIF \ -un10_ciin_6.BLIF N_164.BLIF BG_030_c.BLIF un10_ciin_7.BLIF N_370.BLIF \ -un10_ciin_8.BLIF N_177.BLIF BG_000DFFreg.BLIF un10_ciin_9.BLIF N_179.BLIF \ -un10_ciin_10.BLIF N_185.BLIF un10_ciin_11.BLIF N_186.BLIF BGACK_000_c.BLIF \ -pos_clk_un21_bgack_030_int_i_0_0_1_n.BLIF N_189.BLIF \ -pos_clk_un21_bgack_030_int_i_0_0_2_n.BLIF N_190.BLIF CLK_030_c.BLIF \ -N_307_i_1.BLIF N_199.BLIF N_307_i_2.BLIF N_200.BLIF N_202_1.BLIF N_201.BLIF \ -N_202_2.BLIF N_202.BLIF CLK_OSZI_c.BLIF N_208_1.BLIF N_203.BLIF N_208_2.BLIF \ -N_211.BLIF N_209_1.BLIF N_217.BLIF CLK_OUT_INTreg.BLIF N_209_2.BLIF N_222.BLIF \ -N_392_1.BLIF N_223.BLIF N_392_2.BLIF N_224.BLIF FPU_SENSE_c.BLIF N_122_1.BLIF \ -N_225.BLIF N_122_2.BLIF N_226.BLIF IPL_030DFF_0_reg.BLIF N_122_3.BLIF \ -N_227.BLIF N_122_4.BLIF N_236.BLIF IPL_030DFF_1_reg.BLIF N_218_1.BLIF \ -N_237.BLIF N_218_2.BLIF N_243.BLIF IPL_030DFF_2_reg.BLIF un21_fpu_cs_1.BLIF \ -N_391.BLIF un22_berr_1_0.BLIF N_250.BLIF ipl_c_0__n.BLIF N_305_i_1.BLIF \ -pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF N_305_i_2.BLIF \ -pos_clk_CYCLE_DMA_5_1_i_x2.BLIF ipl_c_1__n.BLIF N_304_i_1.BLIF N_208.BLIF \ -N_304_i_2.BLIF N_209.BLIF ipl_c_2__n.BLIF N_178_1.BLIF N_258.BLIF N_178_2.BLIF \ -N_161.BLIF N_178_3.BLIF N_392.BLIF DTACK_c.BLIF N_204_1_0.BLIF N_393.BLIF \ -N_125_i_1.BLIF N_138.BLIF N_276_0_1.BLIF N_143.BLIF \ -pos_clk_rw_000_int_5_0_1_n.BLIF N_215.BLIF VPA_c.BLIF N_277_i_1.BLIF \ -N_216.BLIF N_306_i_1.BLIF N_214.BLIF pos_clk_un6_bg_030_1_n.BLIF \ -cpu_est_2_2__n.BLIF RST_c.BLIF N_211_1.BLIF N_212.BLIF N_203_1.BLIF \ -cpu_est_2_1__n.BLIF N_199_1.BLIF N_210.BLIF RW_c.BLIF N_185_1.BLIF \ -pos_clk_un9_clk_000_pe_n.BLIF N_179_1.BLIF N_187.BLIF fc_c_0__n.BLIF \ -N_177_1.BLIF N_188.BLIF pos_clk_ipl_1_n.BLIF N_21.BLIF fc_c_1__n.BLIF \ -dsack1_int_0_un3_n.BLIF N_247.BLIF dsack1_int_0_un1_n.BLIF N_282.BLIF \ -dsack1_int_0_un0_n.BLIF pos_clk_un6_bgack_000_n.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ -rw_000_int_0_un3_n.BLIF N_259.BLIF rw_000_int_0_un1_n.BLIF \ -pos_clk_a0_dma_3_n.BLIF rw_000_int_0_un0_n.BLIF N_101.BLIF \ -as_000_int_0_un3_n.BLIF N_102.BLIF as_000_int_0_un1_n.BLIF N_10.BLIF \ -N_18_i.BLIF as_000_int_0_un0_n.BLIF N_17.BLIF N_42_0.BLIF bg_000_0_un3_n.BLIF \ -N_19.BLIF N_5_i.BLIF bg_000_0_un1_n.BLIF N_22.BLIF N_48_0.BLIF \ -bg_000_0_un0_n.BLIF N_23.BLIF N_4_i.BLIF cpu_est_0_3__un3_n.BLIF N_24.BLIF \ -N_49_0.BLIF cpu_est_0_3__un1_n.BLIF N_25.BLIF N_191_i.BLIF \ -cpu_est_0_3__un0_n.BLIF N_6.BLIF un1_SM_AMIGA_0_sqmuxa_2_0.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n.BLIF \ -un1_SM_AMIGA_0_sqmuxa_3.BLIF N_193_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF N_278.BLIF N_192_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_7.BLIF \ -sm_amiga_nss_0_6__n.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF \ -pos_clk_un3_as_030_d0_n.BLIF N_177_i.BLIF \ -amiga_bus_enable_dma_low_0_un1_n.BLIF N_366.BLIF N_194_i.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_122.BLIF sm_amiga_nss_0_5__n.BLIF \ -uds_000_int_0_un3_n.BLIF N_218.BLIF N_195_i.BLIF uds_000_int_0_un1_n.BLIF \ -un22_berr_1.BLIF N_196_i.BLIF uds_000_int_0_un0_n.BLIF \ -pos_clk_un9_bg_030_n.BLIF sm_amiga_nss_0_4__n.BLIF a0_dma_0_un3_n.BLIF \ -N_26.BLIF N_198_i.BLIF a0_dma_0_un1_n.BLIF cpu_est_2_3__n.BLIF N_197_i.BLIF \ -a0_dma_0_un0_n.BLIF N_180.BLIF sm_amiga_nss_0_3__n.BLIF \ -rw_000_dma_0_un3_n.BLIF N_136.BLIF N_204_i.BLIF rw_000_dma_0_un1_n.BLIF \ -N_249.BLIF N_203_i.BLIF rw_000_dma_0_un0_n.BLIF N_181.BLIF N_303_0.BLIF \ -lds_000_int_0_un3_n.BLIF N_183.BLIF N_280_0.BLIF lds_000_int_0_un1_n.BLIF \ -N_184.BLIF N_279_0.BLIF lds_000_int_0_un0_n.BLIF N_257.BLIF N_236_i.BLIF \ -bgack_030_int_0_un3_n.BLIF N_205.BLIF N_391_i.BLIF bgack_030_int_0_un1_n.BLIF \ -N_206.BLIF N_137_0.BLIF bgack_030_int_0_un0_n.BLIF N_213.BLIF N_241_i.BLIF \ -ds_000_enable_0_un3_n.BLIF N_238.BLIF N_240_i.BLIF ds_000_enable_0_un1_n.BLIF \ -N_162.BLIF sm_amiga_nss_0_7__n.BLIF ds_000_enable_0_un0_n.BLIF N_178.BLIF \ -sm_amiga_i_4__n.BLIF as_030_000_sync_0_un3_n.BLIF N_204_1.BLIF N_242_i.BLIF \ -as_030_000_sync_0_un1_n.BLIF N_155.BLIF N_144_0.BLIF \ -as_030_000_sync_0_un0_n.BLIF N_204.BLIF sm_amiga_i_2__n.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF N_239.BLIF N_154_i.BLIF \ -amiga_bus_enable_dma_high_0_un1_n.BLIF N_252.BLIF sm_amiga_i_6__n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF N_175.BLIF sm_amiga_i_0__n.BLIF \ -cpu_est_0_2__un3_n.BLIF N_176.BLIF N_155_i.BLIF cpu_est_0_2__un1_n.BLIF \ -N_163.BLIF N_160_0.BLIF cpu_est_0_2__un0_n.BLIF N_160.BLIF N_243_i.BLIF \ -cpu_est_0_1__un3_n.BLIF N_144.BLIF N_163_0.BLIF cpu_est_0_1__un1_n.BLIF \ -N_242.BLIF N_176_i.BLIF cpu_est_0_1__un0_n.BLIF N_240.BLIF N_175_i.BLIF \ -vma_int_0_un3_n.BLIF N_241.BLIF N_252_i.BLIF vma_int_0_un1_n.BLIF N_137.BLIF \ -N_239_i.BLIF vma_int_0_un0_n.BLIF N_279.BLIF N_178_i.BLIF \ -size_dma_0_0__un3_n.BLIF N_91.BLIF sm_amiga_nss_i_0_0__n.BLIF \ -size_dma_0_0__un1_n.BLIF N_280.BLIF size_dma_0_0__un0_n.BLIF N_90.BLIF \ -N_181_i.BLIF size_dma_0_1__un3_n.BLIF N_197.BLIF N_180_i.BLIF \ -size_dma_0_1__un1_n.BLIF N_198.BLIF N_179_i.BLIF size_dma_0_1__un0_n.BLIF \ -N_195.BLIF ipl_030_0_0__un3_n.BLIF N_196.BLIF N_185_i.BLIF \ -ipl_030_0_0__un1_n.BLIF N_194.BLIF N_183_i.BLIF ipl_030_0_0__un0_n.BLIF \ -N_192.BLIF N_184_i.BLIF ipl_030_0_1__un3_n.BLIF N_193.BLIF N_162_0.BLIF \ -ipl_030_0_1__un1_n.BLIF un1_SM_AMIGA_0_sqmuxa_2.BLIF N_139_i.BLIF \ -ipl_030_0_1__un0_n.BLIF N_191.BLIF N_238_i.BLIF ipl_030_0_2__un3_n.BLIF \ -N_4.BLIF N_136_0.BLIF ipl_030_0_2__un1_n.BLIF N_5.BLIF N_130_i.BLIF \ -ipl_030_0_2__un0_n.BLIF N_18.BLIF N_213_i.BLIF ds_000_dma_0_un3_n.BLIF \ -un1_amiga_bus_enable_low_i.BLIF N_214_i.BLIF ds_000_dma_0_un1_n.BLIF \ -un21_fpu_cs_i.BLIF cpu_est_2_0_3__n.BLIF ds_000_dma_0_un0_n.BLIF AS_030_i.BLIF \ -N_206_i.BLIF as_000_dma_0_un3_n.BLIF AS_000_INT_i.BLIF N_205_i.BLIF \ -as_000_dma_0_un1_n.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ -UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ -AHIGH_24_.PIN.BLIF AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF AHIGH_27_.PIN.BLIF \ -AHIGH_28_.PIN.BLIF AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF AHIGH_31_.PIN.BLIF \ -A_0_.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF +AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF N_130_i.BLIF \ +pos_clk_un6_bgack_000_0_n.BLIF N_131_i.BLIF DTACK_c_i.BLIF CLK_030_H_i.BLIF \ +N_56_0.BLIF RW_000_i.BLIF VPA_c_i.BLIF a_i_1__n.BLIF N_55_0.BLIF \ +RESET_OUT_i.BLIF N_6_i.BLIF AS_030_i.BLIF N_47_0.BLIF FPU_SENSE_i.BLIF \ +N_26_i.BLIF inst_BGACK_030_INTreg.BLIF sm_amiga_i_i_7__n.BLIF N_34_0.BLIF \ +vcc_n_n.BLIF a_decode_i_16__n.BLIF BG_030_c_i.BLIF inst_VMA_INTreg.BLIF \ +AS_030_D0_i.BLIF pos_clk_un6_bg_030_i_n.BLIF gnd_n_n.BLIF size_dma_i_0__n.BLIF \ +pos_clk_un9_bg_030_0_n.BLIF un1_amiga_bus_enable_low.BLIF size_dma_i_1__n.BLIF \ +N_25_i.BLIF un6_as_030.BLIF a_decode_i_18__n.BLIF N_35_0.BLIF un3_size.BLIF \ +a_decode_i_19__n.BLIF N_24_i.BLIF un4_size.BLIF ahigh_i_30__n.BLIF N_36_0.BLIF \ +un1_LDS_000_INT.BLIF ahigh_i_31__n.BLIF N_17_i.BLIF un1_UDS_000_INT.BLIF \ +ahigh_i_28__n.BLIF N_43_0.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF ahigh_i_29__n.BLIF \ +N_4_i.BLIF un1_DS_000_ENABLE_0_sqmuxa.BLIF ahigh_i_26__n.BLIF N_49_0.BLIF \ +un4_as_000.BLIF ahigh_i_27__n.BLIF N_3_i.BLIF un10_ciin.BLIF \ +ahigh_i_24__n.BLIF N_50_0.BLIF un21_fpu_cs.BLIF ahigh_i_25__n.BLIF \ +N_215_i.BLIF un21_berr.BLIF N_210_i.BLIF N_216_i.BLIF un6_ds_030.BLIF \ +N_211_i.BLIF N_301_0.BLIF cpu_est_2_.BLIF N_212_i.BLIF N_243_0.BLIF \ +cpu_est_3_.BLIF N_266_i.BLIF cpu_est_0_.BLIF un6_ds_030_i.BLIF N_249_i.BLIF \ +cpu_est_1_.BLIF un4_as_000_i.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ +inst_AS_000_INT.BLIF AS_000_INT_i.BLIF N_268_i.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF un6_as_030_i.BLIF \ +pos_clk_ds_000_dma_4_0_n.BLIF inst_AS_030_D0.BLIF AS_030_c.BLIF \ +CLK_030_c_i.BLIF inst_AS_030_000_SYNC.BLIF N_236_0.BLIF \ +inst_BGACK_030_INT_D.BLIF AS_000_c.BLIF un1_as_000_i.BLIF inst_AS_000_DMA.BLIF \ +N_297_i.BLIF inst_DS_000_DMA.BLIF RW_000_c.BLIF N_160_i.BLIF CYCLE_DMA_0_.BLIF \ +pos_clk_un21_bgack_030_int_i_0_i_n.BLIF CYCLE_DMA_1_.BLIF N_100_i.BLIF \ +SIZE_DMA_0_.BLIF UDS_000_c.BLIF N_186_0.BLIF SIZE_DMA_1_.BLIF N_183_0.BLIF \ +inst_VPA_D.BLIF LDS_000_c.BLIF N_182_0.BLIF CLK_000_D_1_.BLIF N_181_0.BLIF \ +inst_DTACK_D0.BLIF size_c_0__n.BLIF N_228_i.BLIF inst_RESET_OUT.BLIF \ +N_176_0.BLIF CLK_000_D_0_.BLIF size_c_1__n.BLIF LDS_000_c_i.BLIF \ +inst_CLK_OUT_PRE_50.BLIF UDS_000_c_i.BLIF inst_CLK_OUT_PRE_25.BLIF \ +ahigh_c_24__n.BLIF N_173_i.BLIF inst_CLK_OUT_PRE_D.BLIF N_304_i.BLIF \ +IPL_D0_0_.BLIF ahigh_c_25__n.BLIF AS_030_000_SYNC_i.BLIF IPL_D0_1_.BLIF \ +N_157_i.BLIF IPL_D0_2_.BLIF ahigh_c_26__n.BLIF N_110_0.BLIF CLK_000_D_2_.BLIF \ +RW_c_i.BLIF pos_clk_un6_bg_030_n.BLIF ahigh_c_27__n.BLIF N_106_0.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_284_i.BLIF inst_DSACK1_INTreg.BLIF \ +ahigh_c_28__n.BLIF pos_clk_ipl_n.BLIF N_334_i.BLIF inst_LDS_000_INT.BLIF \ +ahigh_c_29__n.BLIF inst_DS_000_ENABLE.BLIF N_278_i.BLIF inst_UDS_000_INT.BLIF \ +ahigh_c_30__n.BLIF N_279_i.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF \ +ahigh_c_31__n.BLIF N_332_i.BLIF SM_AMIGA_1_.BLIF N_237_0.BLIF SM_AMIGA_0_.BLIF \ +un1_SM_AMIGA_0_sqmuxa_1_0.BLIF inst_RW_000_INT.BLIF N_247_i.BLIF \ +inst_RW_000_DMA.BLIF N_248_i.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_246_i.BLIF \ +RST_DLY_2_.BLIF inst_A0_DMA.BLIF pos_clk_a0_dma_3_n.BLIF un10_ciin_i.BLIF \ +inst_CLK_030_H.BLIF N_241_0.BLIF SM_AMIGA_5_.BLIF \ +un1_DS_000_ENABLE_0_sqmuxa_i.BLIF SM_AMIGA_3_.BLIF N_242_0.BLIF \ +SM_AMIGA_2_.BLIF N_48_i.BLIF N_227_i.BLIF N_9.BLIF N_225_i.BLIF N_224_i.BLIF \ +N_15.BLIF N_223_i.BLIF N_16.BLIF N_22.BLIF N_218_i.BLIF CLK_OUT_PRE_25_0.BLIF \ +pos_clk_size_dma_6_0_1__n.BLIF N_217_i.BLIF pos_clk_size_dma_6_0_0__n.BLIF \ +N_213_i.BLIF N_319_i.BLIF N_300_0.BLIF N_15_i.BLIF a_decode_c_16__n.BLIF \ +N_45_0.BLIF N_16_i.BLIF a_decode_c_17__n.BLIF N_44_0.BLIF N_22_i.BLIF \ +a_decode_c_18__n.BLIF N_38_0.BLIF pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF \ +a_decode_c_19__n.BLIF pos_clk_un21_bgack_030_int_i_0_i_2_n.BLIF N_238_i_1.BLIF \ +a_decode_c_20__n.BLIF N_238_i_2.BLIF N_239_i_1.BLIF a_decode_c_21__n.BLIF \ +N_239_i_2.BLIF pos_clk_un10_sm_amiga_i_1_n.BLIF a_decode_c_22__n.BLIF \ +un10_ciin_1.BLIF un10_ciin_2.BLIF a_decode_c_23__n.BLIF un10_ciin_3.BLIF \ +un10_ciin_4.BLIF a_c_0__n.BLIF un10_ciin_5.BLIF un10_ciin_6.BLIF \ +SM_AMIGA_i_7_.BLIF a_c_1__n.BLIF un10_ciin_7.BLIF pos_clk_size_dma_6_0__n.BLIF \ +un10_ciin_8.BLIF pos_clk_size_dma_6_1__n.BLIF nEXP_SPACE_c.BLIF \ +un10_ciin_9.BLIF G_107.BLIF un10_ciin_10.BLIF G_108.BLIF BERR_c.BLIF \ +un10_ciin_11.BLIF G_109.BLIF N_357_1.BLIF \ +pos_clk_un21_bgack_030_int_i_0_n.BLIF BG_030_c.BLIF N_357_2.BLIF N_237.BLIF \ +N_357_3.BLIF N_241.BLIF BG_000DFFreg.BLIF N_357_4.BLIF N_242.BLIF \ +N_304_i_1.BLIF un21_fpu_cs_1.BLIF N_283.BLIF BGACK_000_c.BLIF \ +un21_berr_1_0.BLIF N_294.BLIF N_266_1.BLIF N_300.BLIF CLK_030_c.BLIF \ +N_266_2.BLIF N_67_i_1.BLIF N_106.BLIF N_67_i_2.BLIF N_314_1.BLIF N_134.BLIF \ +CLK_OSZI_c.BLIF N_314_2.BLIF N_138.BLIF N_318_1.BLIF N_156.BLIF N_318_2.BLIF \ +N_160.BLIF CLK_OUT_INTreg.BLIF N_341_1.BLIF N_167.BLIF N_341_2.BLIF N_172.BLIF \ +N_151_i_1.BLIF N_173.BLIF FPU_SENSE_c.BLIF N_143_i_1.BLIF N_181.BLIF \ +N_141_i_1.BLIF N_182.BLIF IPL_030DFF_0_reg.BLIF N_237_0_1.BLIF N_183.BLIF \ +N_240_i_1.BLIF N_191.BLIF IPL_030DFF_1_reg.BLIF N_60_i_1.BLIF N_199.BLIF \ +N_64_i_1.BLIF N_205.BLIF IPL_030DFF_2_reg.BLIF N_155_i_1.BLIF N_209.BLIF \ +N_147_i_1.BLIF N_319.BLIF ipl_c_0__n.BLIF N_145_i_1.BLIF N_213.BLIF \ +N_139_i_1.BLIF N_216.BLIF ipl_c_1__n.BLIF pos_clk_un6_bg_030_1_n.BLIF \ +N_217.BLIF N_220_1.BLIF N_218.BLIF ipl_c_2__n.BLIF N_216_1.BLIF N_220.BLIF \ +N_205_1.BLIF N_223.BLIF N_199_1.BLIF N_224.BLIF DTACK_c.BLIF \ +pos_clk_ipl_1_n.BLIF N_225.BLIF uds_000_int_0_un3_n.BLIF N_227.BLIF \ +uds_000_int_0_un1_n.BLIF N_228.BLIF uds_000_int_0_un0_n.BLIF N_246.BLIF \ +VPA_c.BLIF as_000_int_0_un3_n.BLIF N_247.BLIF as_000_int_0_un1_n.BLIF \ +N_248.BLIF as_000_int_0_un0_n.BLIF N_332.BLIF RST_c.BLIF \ +dsack1_int_0_un3_n.BLIF N_278.BLIF dsack1_int_0_un1_n.BLIF N_279.BLIF \ +dsack1_int_0_un0_n.BLIF N_334.BLIF RW_c.BLIF vma_int_0_un3_n.BLIF N_284.BLIF \ +vma_int_0_un1_n.BLIF N_343.BLIF fc_c_0__n.BLIF vma_int_0_un0_n.BLIF \ +pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF lds_000_int_0_un3_n.BLIF un21_berr_1.BLIF \ +fc_c_1__n.BLIF lds_000_int_0_un1_n.BLIF N_357.BLIF lds_000_int_0_un0_n.BLIF \ +N_266.BLIF ipl_030_0_1__un3_n.BLIF N_186.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ +ipl_030_0_1__un1_n.BLIF pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF \ +ipl_030_0_1__un0_n.BLIF N_297.BLIF ipl_030_0_0__un3_n.BLIF N_236.BLIF \ +ipl_030_0_0__un1_n.BLIF pos_clk_ds_000_dma_4_n.BLIF ipl_030_0_0__un0_n.BLIF \ +N_268.BLIF UDS_000_INT_i.BLIF cpu_est_0_3__un3_n.BLIF N_249.BLIF \ +un1_UDS_000_INT_0.BLIF cpu_est_0_3__un1_n.BLIF N_243.BLIF LDS_000_INT_i.BLIF \ +cpu_est_0_3__un0_n.BLIF N_215.BLIF un1_LDS_000_INT_0.BLIF \ +cpu_est_0_2__un3_n.BLIF N_130.BLIF N_23_i.BLIF cpu_est_0_2__un1_n.BLIF \ +N_131.BLIF N_37_0.BLIF cpu_est_0_2__un0_n.BLIF N_3.BLIF N_21_i.BLIF \ +cpu_est_0_1__un3_n.BLIF N_4.BLIF N_39_0.BLIF cpu_est_0_1__un1_n.BLIF N_17.BLIF \ +N_20_i.BLIF cpu_est_0_1__un0_n.BLIF N_24.BLIF N_40_0.BLIF \ +ipl_030_0_2__un3_n.BLIF N_25.BLIF N_19_i.BLIF ipl_030_0_2__un1_n.BLIF \ +pos_clk_un9_bg_030_n.BLIF N_41_0.BLIF ipl_030_0_2__un0_n.BLIF N_6.BLIF \ +N_14_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF pos_clk_un6_bgack_000_n.BLIF \ +N_46_0.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF N_26.BLIF ipl_c_i_0__n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_208.BLIF N_52_0.BLIF \ +rw_000_dma_0_un3_n.BLIF N_207.BLIF ipl_c_i_1__n.BLIF rw_000_dma_0_un1_n.BLIF \ +N_349.BLIF N_53_0.BLIF rw_000_dma_0_un0_n.BLIF N_314.BLIF ipl_c_i_2__n.BLIF \ +as_000_dma_0_un3_n.BLIF N_318.BLIF N_54_0.BLIF as_000_dma_0_un1_n.BLIF \ +N_348.BLIF N_27_i.BLIF as_000_dma_0_un0_n.BLIF N_201.BLIF N_31_0.BLIF \ +ds_000_dma_0_un3_n.BLIF N_200.BLIF N_28_i.BLIF ds_000_dma_0_un1_n.BLIF \ +N_203.BLIF N_32_0.BLIF ds_000_dma_0_un0_n.BLIF N_204.BLIF N_29_i.BLIF \ +bgack_030_int_0_un3_n.BLIF N_185.BLIF N_33_0.BLIF bgack_030_int_0_un1_n.BLIF \ +N_184.BLIF a_c_i_0__n.BLIF bgack_030_int_0_un0_n.BLIF N_180.BLIF \ +size_c_i_1__n.BLIF bg_000_0_un3_n.BLIF N_179.BLIF \ +pos_clk_un10_sm_amiga_i_n.BLIF bg_000_0_un1_n.BLIF N_178.BLIF N_256_0.BLIF \ +bg_000_0_un0_n.BLIF N_171.BLIF N_318_i.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF N_341.BLIF N_314_i.BLIF \ +amiga_bus_enable_dma_high_0_un1_n.BLIF N_342.BLIF \ +pos_clk_un9_clk_000_pe_0_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF \ +N_169.BLIF N_219_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n.BLIF \ +N_154.BLIF N_220_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF \ +N_165.BLIF cpu_est_2_0_1__n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_162.BLIF N_221_i.BLIF \ +size_dma_0_0__un3_n.BLIF N_299.BLIF N_348_i.BLIF size_dma_0_0__un1_n.BLIF \ +N_153.BLIF cpu_est_2_0_2__n.BLIF size_dma_0_0__un0_n.BLIF N_142.BLIF \ +N_222_i.BLIF size_dma_0_1__un3_n.BLIF N_298.BLIF N_196_i.BLIF \ +size_dma_0_1__un1_n.BLIF N_80.BLIF N_226_i.BLIF size_dma_0_1__un0_n.BLIF \ +N_232.BLIF ds_000_enable_0_un3_n.BLIF N_233.BLIF N_231_i.BLIF \ +ds_000_enable_0_un1_n.BLIF N_229.BLIF N_229_i.BLIF ds_000_enable_0_un0_n.BLIF \ +N_231.BLIF N_302_i.BLIF as_030_000_sync_0_un3_n.BLIF N_226.BLIF N_233_i.BLIF \ +as_030_000_sync_0_un1_n.BLIF N_221.BLIF N_232_i.BLIF \ +as_030_000_sync_0_un0_n.BLIF N_222.BLIF rw_000_int_0_un3_n.BLIF \ +cpu_est_2_2__n.BLIF N_80_0.BLIF rw_000_int_0_un1_n.BLIF cpu_est_2_1__n.BLIF \ +N_343_i.BLIF rw_000_int_0_un0_n.BLIF N_219.BLIF N_214_0.BLIF \ +a0_dma_0_un3_n.BLIF pos_clk_un9_clk_000_pe_n.BLIF N_166_i.BLIF \ +a0_dma_0_un1_n.BLIF N_256.BLIF N_134_i.BLIF a0_dma_0_un0_n.BLIF N_29.BLIF \ +N_298_i.BLIF a_decode_15__n.BLIF N_28.BLIF N_142_0.BLIF N_27.BLIF N_153_i.BLIF \ +a_decode_14__n.BLIF N_14.BLIF N_154_0.BLIF N_19.BLIF N_156_i.BLIF \ +a_decode_13__n.BLIF N_20.BLIF N_305_i.BLIF N_21.BLIF N_299_i.BLIF \ +a_decode_12__n.BLIF N_23.BLIF N_162_0.BLIF un1_amiga_bus_enable_low_i.BLIF \ +N_165_0.BLIF a_decode_11__n.BLIF un21_fpu_cs_i.BLIF N_169_i.BLIF \ +cpu_est_i_1__n.BLIF VMA_INT_i.BLIF a_decode_10__n.BLIF rst_dly_i_2__n.BLIF \ +N_341_i.BLIF rst_dly_i_1__n.BLIF N_342_i.BLIF a_decode_9__n.BLIF \ +cpu_est_i_0__n.BLIF N_171_i.BLIF cpu_est_i_2__n.BLIF N_172_i.BLIF \ +a_decode_8__n.BLIF sm_amiga_i_0__n.BLIF N_178_0.BLIF sm_amiga_i_3__n.BLIF \ +N_179_0.BLIF a_decode_7__n.BLIF sm_amiga_i_4__n.BLIF N_180_0.BLIF \ +sm_amiga_i_5__n.BLIF N_184_0.BLIF a_decode_6__n.BLIF rst_dly_i_0__n.BLIF \ +N_185_0.BLIF sm_amiga_i_2__n.BLIF N_203_i.BLIF a_decode_5__n.BLIF \ +sm_amiga_i_1__n.BLIF N_204_i.BLIF VPA_D_i.BLIF N_205_i.BLIF a_decode_4__n.BLIF \ +clk_000_d_i_1__n.BLIF cpu_est_i_3__n.BLIF N_200_i.BLIF a_decode_3__n.BLIF \ +sm_amiga_i_6__n.BLIF N_199_i.BLIF clk_000_d_i_0__n.BLIF N_201_i.BLIF \ +a_decode_2__n.BLIF BGACK_030_INT_i.BLIF AS_000_i.BLIF AS_000_DMA_i.BLIF \ +N_208_i.BLIF nEXP_SPACE_i.BLIF N_207_i.BLIF cycle_dma_i_0__n.BLIF N_167_i.BLIF \ +DS_000_DMA_i.BLIF N_138_i.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_349_i.BLIF \ +AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF \ +LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF AHIGH_24_.PIN.BLIF \ +AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF AHIGH_27_.PIN.BLIF AHIGH_28_.PIN.BLIF \ +AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF AHIGH_31_.PIN.BLIF A_0_.PIN.BLIF \ +BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 \ AVEC E VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_1_.D SM_AMIGA_1_.C \ -SM_AMIGA_0_.D SM_AMIGA_0_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C \ -IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C \ -IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C \ -SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ -SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C \ -SM_AMIGA_2_.D SM_AMIGA_2_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D \ -SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C \ -cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C \ -RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ -CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C CYCLE_DMA_0_.D \ -CYCLE_DMA_0_.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_030_000_SYNC.D \ -inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C \ -inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_DS_000_DMA.D inst_DS_000_DMA.C \ -inst_AS_030_D0.D inst_AS_030_D0.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D \ -inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUT.D \ -inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_3_.D SM_AMIGA_3_.C \ +SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D \ +SM_AMIGA_0_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D \ +IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D \ +IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D \ +SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C \ +SM_AMIGA_4_.D SM_AMIGA_4_.C CLK_000_D_2_.D CLK_000_D_2_.C CYCLE_DMA_0_.D \ +CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C \ +SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D \ +cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C RST_DLY_0_.D \ +RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ +CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C inst_RW_000_INT.D \ +inst_RW_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ +inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D \ +inst_BGACK_030_INTreg.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D \ +inst_DS_000_DMA.C inst_AS_030_D0.D inst_AS_030_D0.C inst_VPA_D.D inst_VPA_D.C \ +inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C \ +inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C BG_000DFFreg.D BG_000DFFreg.C \ inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D inst_A0_DMA.C \ +inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ inst_VMA_INTreg.D inst_VMA_INTreg.C inst_RW_000_DMA.D inst_RW_000_DMA.C \ -inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INT_D.D \ -inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ -inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C \ -SIZE_1_ AHIGH_31_ AS_030 AS_000 RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ \ -AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ \ -N_91_i as_000_dma_0_un0_n N_90_i N_248_i a_decode_15__n sm_amiga_i_i_7__n \ -N_26_i AS_030_000_SYNC_i N_34_0 a_decode_14__n sm_amiga_i_3__n BG_030_c_i \ -rst_dly_i_0__n pos_clk_un6_bg_030_i_n a_decode_13__n rst_dly_i_1__n \ -pos_clk_un9_bg_030_0_n clk_000_d_i_1__n pos_clk_un3_as_030_d0_i_n \ -a_decode_12__n N_249_i_0 un10_ciin_i vcc_n_n cpu_est_i_0__n N_127_0 \ -a_decode_11__n rst_dly_i_2__n N_369_0 gnd_n_n FPU_SENSE_i N_367_i \ -a_decode_10__n un1_amiga_bus_enable_low N_122_i un1_SM_AMIGA_0_sqmuxa_3_i \ -un6_as_030 a_decode_i_16__n N_278_0 a_decode_9__n un3_size a_decode_i_18__n \ -N_218_i un4_size a_decode_i_19__n N_366_0 a_decode_8__n un1_LDS_000_INT \ -BGACK_030_INT_i VPA_c_i un1_UDS_000_INT AMIGA_BUS_ENABLE_DMA_LOW_i N_55_0 \ -a_decode_7__n un4_as_000 N_101_i N_7_i un10_ciin N_102_i N_47_0 a_decode_6__n \ -un21_fpu_cs a_i_1__n LDS_000_INT_i un22_berr cpu_est_i_1__n un1_LDS_000_INT_0 \ -a_decode_5__n un6_ds_030 cpu_est_i_2__n UDS_000_INT_i VPA_D_i \ -un1_UDS_000_INT_0 a_decode_4__n DTACK_D0_i N_25_i cpu_est_i_3__n N_35_0 \ -a_decode_3__n nEXP_SPACE_i N_24_i AS_000_i N_36_0 a_decode_2__n \ -clk_000_d_i_0__n N_23_i RESET_OUT_i N_37_0 AS_000_DMA_i N_22_i RW_000_i N_38_0 \ -CLK_030_H_i N_19_i cycle_dma_i_0__n N_41_0 AS_030_D0_i N_17_i size_dma_i_0__n \ -N_43_0 size_dma_i_1__n N_10_i ahigh_i_30__n N_44_0 ahigh_i_31__n a_c_i_0__n \ -ahigh_i_28__n size_c_i_1__n ahigh_i_29__n pos_clk_un10_sm_amiga_i_n \ -ahigh_i_26__n N_259_i ahigh_i_27__n pos_clk_un6_bgack_000_0_n ahigh_i_24__n \ -N_282_0 ahigh_i_25__n N_21_i N_244_i N_39_0 N_245_i N_188_i N_246_i N_187_i \ -N_58_0 pos_clk_un6_bg_030_n un6_ds_030_i N_209_i DS_000_DMA_i N_208_i \ -un4_as_000_i pos_clk_un9_clk_000_pe_0_n pos_clk_ipl_n un6_as_030_i N_210_i \ -AS_030_c N_211_i cpu_est_2_0_1__n AS_000_c N_258_i N_212_i RW_000_c \ -cpu_est_2_0_2__n N_216_i N_215_i UDS_000_c N_40_i N_138_0 LDS_000_c N_142_i \ -N_143_i size_c_0__n VMA_INT_i N_392_i size_c_1__n N_393_i \ -pos_clk_rw_000_int_5_n N_152_i ahigh_c_24__n N_161_0 ahigh_c_25__n N_106_i \ -pos_clk_ds_000_dma_4_n N_186_i N_3 ahigh_c_26__n CLK_030_c_i N_8 N_164_0 \ -ahigh_c_27__n N_67_i LDS_000_c_i ahigh_c_28__n UDS_000_c_i N_156_i \ -ahigh_c_29__n pos_clk_un21_bgack_030_int_i_0_0_n N_27 N_237_i N_28 \ -ahigh_c_30__n N_131_i N_29 ahigh_c_31__n N_368_i N_275_0 N_227_i N_276_0 \ -N_226_i RW_c_i pos_clk_rw_000_int_5_0_n N_225_i pos_clk_ds_000_dma_4_0_n \ -N_224_i pos_clk_size_dma_6_0_1__n N_223_i pos_clk_size_dma_6_0_0__n N_222_i \ -N_201_i N_202_i AMIGA_BUS_DATA_DIR_c_0 N_199_i N_200_i sm_amiga_nss_0_2__n \ -N_189_i N_190_i N_29_i N_33_0 N_28_i N_32_0 N_27_i N_31_0 a_decode_c_16__n \ -ipl_c_i_2__n N_54_0 a_decode_c_17__n ipl_c_i_1__n N_53_0 \ -pos_clk_size_dma_6_0__n a_decode_c_18__n ipl_c_i_0__n pos_clk_size_dma_6_1__n \ -N_52_0 N_106 a_decode_c_19__n DTACK_c_i N_56_0 a_decode_c_20__n N_3_i N_50_0 \ -pos_clk_un21_bgack_030_int_i_0_n a_decode_c_21__n N_8_i N_275 N_46_0 N_276 \ -a_decode_c_22__n pos_clk_un10_sm_amiga_i_1_n sm_amiga_nss_i_0_1_0__n N_108 \ -a_decode_c_23__n sm_amiga_nss_i_0_2_0__n N_110 sm_amiga_nss_i_0_3_0__n \ -a_c_0__n sm_amiga_nss_i_0_4_0__n sm_amiga_nss_i_0_5_0__n N_127 a_c_1__n \ -un10_ciin_1 N_130 un10_ciin_2 N_131 nEXP_SPACE_c un10_ciin_3 N_139 un10_ciin_4 \ -N_152 BERR_c un10_ciin_5 N_156 un10_ciin_6 N_164 BG_030_c un10_ciin_7 N_370 \ -un10_ciin_8 N_177 un10_ciin_9 N_179 un10_ciin_10 N_185 un10_ciin_11 N_186 \ -BGACK_000_c pos_clk_un21_bgack_030_int_i_0_0_1_n N_189 \ -pos_clk_un21_bgack_030_int_i_0_0_2_n N_190 CLK_030_c N_307_i_1 N_199 N_307_i_2 \ -N_200 N_202_1 N_201 N_202_2 N_202 CLK_OSZI_c N_208_1 N_203 N_208_2 N_211 \ -N_209_1 N_217 N_209_2 N_222 N_392_1 N_223 N_392_2 N_224 FPU_SENSE_c N_122_1 \ -N_225 N_122_2 N_226 N_122_3 N_227 N_122_4 N_236 N_218_1 N_237 N_218_2 N_243 \ -un21_fpu_cs_1 N_391 un22_berr_1_0 N_250 ipl_c_0__n N_305_i_1 N_305_i_2 \ -ipl_c_1__n N_304_i_1 N_208 N_304_i_2 N_209 ipl_c_2__n N_178_1 N_258 N_178_2 \ -N_161 N_178_3 N_392 DTACK_c N_204_1_0 N_393 N_125_i_1 N_138 N_276_0_1 N_143 \ -pos_clk_rw_000_int_5_0_1_n N_215 VPA_c N_277_i_1 N_216 N_306_i_1 N_214 \ -pos_clk_un6_bg_030_1_n cpu_est_2_2__n RST_c N_211_1 N_212 N_203_1 \ -cpu_est_2_1__n N_199_1 N_210 RW_c N_185_1 pos_clk_un9_clk_000_pe_n N_179_1 \ -N_187 fc_c_0__n N_177_1 N_188 pos_clk_ipl_1_n N_21 fc_c_1__n \ -dsack1_int_0_un3_n N_247 dsack1_int_0_un1_n N_282 dsack1_int_0_un0_n \ -pos_clk_un6_bgack_000_n AMIGA_BUS_DATA_DIR_c rw_000_int_0_un3_n N_259 \ -rw_000_int_0_un1_n pos_clk_a0_dma_3_n rw_000_int_0_un0_n N_101 \ -as_000_int_0_un3_n N_102 as_000_int_0_un1_n N_10 N_18_i as_000_int_0_un0_n \ -N_17 N_42_0 bg_000_0_un3_n N_19 N_5_i bg_000_0_un1_n N_22 N_48_0 \ -bg_000_0_un0_n N_23 N_4_i cpu_est_0_3__un3_n N_24 N_49_0 cpu_est_0_3__un1_n \ -N_25 N_191_i cpu_est_0_3__un0_n N_6 un1_SM_AMIGA_0_sqmuxa_2_0 \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n un1_SM_AMIGA_0_sqmuxa_3 \ -N_193_i un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n N_278 N_192_i \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n N_7 sm_amiga_nss_0_6__n \ -amiga_bus_enable_dma_low_0_un3_n pos_clk_un3_as_030_d0_n N_177_i \ -amiga_bus_enable_dma_low_0_un1_n N_366 N_194_i \ -amiga_bus_enable_dma_low_0_un0_n N_122 sm_amiga_nss_0_5__n uds_000_int_0_un3_n \ -N_218 N_195_i uds_000_int_0_un1_n un22_berr_1 N_196_i uds_000_int_0_un0_n \ -pos_clk_un9_bg_030_n sm_amiga_nss_0_4__n a0_dma_0_un3_n N_26 N_198_i \ -a0_dma_0_un1_n cpu_est_2_3__n N_197_i a0_dma_0_un0_n N_180 sm_amiga_nss_0_3__n \ -rw_000_dma_0_un3_n N_136 N_204_i rw_000_dma_0_un1_n N_249 N_203_i \ -rw_000_dma_0_un0_n N_181 N_303_0 lds_000_int_0_un3_n N_183 N_280_0 \ -lds_000_int_0_un1_n N_184 N_279_0 lds_000_int_0_un0_n N_257 N_236_i \ -bgack_030_int_0_un3_n N_205 N_391_i bgack_030_int_0_un1_n N_206 N_137_0 \ -bgack_030_int_0_un0_n N_213 N_241_i ds_000_enable_0_un3_n N_238 N_240_i \ -ds_000_enable_0_un1_n N_162 sm_amiga_nss_0_7__n ds_000_enable_0_un0_n N_178 \ -sm_amiga_i_4__n as_030_000_sync_0_un3_n N_204_1 N_242_i \ -as_030_000_sync_0_un1_n N_155 N_144_0 as_030_000_sync_0_un0_n N_204 \ -sm_amiga_i_2__n amiga_bus_enable_dma_high_0_un3_n N_239 N_154_i \ -amiga_bus_enable_dma_high_0_un1_n N_252 sm_amiga_i_6__n \ -amiga_bus_enable_dma_high_0_un0_n N_175 sm_amiga_i_0__n cpu_est_0_2__un3_n \ -N_176 N_155_i cpu_est_0_2__un1_n N_163 N_160_0 cpu_est_0_2__un0_n N_160 \ -N_243_i cpu_est_0_1__un3_n N_144 N_163_0 cpu_est_0_1__un1_n N_242 N_176_i \ -cpu_est_0_1__un0_n N_240 N_175_i vma_int_0_un3_n N_241 N_252_i vma_int_0_un1_n \ -N_137 N_239_i vma_int_0_un0_n N_279 N_178_i size_dma_0_0__un3_n N_91 \ -sm_amiga_nss_i_0_0__n size_dma_0_0__un1_n N_280 size_dma_0_0__un0_n N_90 \ -N_181_i size_dma_0_1__un3_n N_197 N_180_i size_dma_0_1__un1_n N_198 N_179_i \ -size_dma_0_1__un0_n N_195 ipl_030_0_0__un3_n N_196 N_185_i ipl_030_0_0__un1_n \ -N_194 N_183_i ipl_030_0_0__un0_n N_192 N_184_i ipl_030_0_1__un3_n N_193 \ -N_162_0 ipl_030_0_1__un1_n un1_SM_AMIGA_0_sqmuxa_2 N_139_i ipl_030_0_1__un0_n \ -N_191 N_238_i ipl_030_0_2__un3_n N_4 N_136_0 ipl_030_0_2__un1_n N_5 N_130_i \ -ipl_030_0_2__un0_n N_18 N_213_i ds_000_dma_0_un3_n un1_amiga_bus_enable_low_i \ -N_214_i ds_000_dma_0_un1_n un21_fpu_cs_i cpu_est_2_0_3__n ds_000_dma_0_un0_n \ -AS_030_i N_206_i as_000_dma_0_un3_n AS_000_INT_i N_205_i as_000_dma_0_un1_n \ -AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE \ -AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE \ -AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE \ -CIIN.OE CLK_OUT_PRE_25_0 G_119 G_120 G_121 \ -pos_clk_un21_bgack_030_int_i_0_o2_2_x2 pos_clk_CYCLE_DMA_5_1_i_x2 -.names sm_amiga_nss_0_6__n.BLIF SM_AMIGA_1_.D -0 1 -.names sm_amiga_nss_0_7__n.BLIF SM_AMIGA_0_.D -0 1 +inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_OUT_PRE_D.D \ +inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ +CLK_OUT_INTreg.D CLK_OUT_INTreg.C SIZE_1_ AHIGH_31_ AS_030 AS_000 RW_000 \ +UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ \ +AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ N_130_i pos_clk_un6_bgack_000_0_n N_131_i \ +DTACK_c_i CLK_030_H_i N_56_0 RW_000_i VPA_c_i a_i_1__n N_55_0 RESET_OUT_i \ +N_6_i AS_030_i N_47_0 FPU_SENSE_i N_26_i sm_amiga_i_i_7__n N_34_0 vcc_n_n \ +a_decode_i_16__n BG_030_c_i AS_030_D0_i pos_clk_un6_bg_030_i_n gnd_n_n \ +size_dma_i_0__n pos_clk_un9_bg_030_0_n un1_amiga_bus_enable_low \ +size_dma_i_1__n N_25_i un6_as_030 a_decode_i_18__n N_35_0 un3_size \ +a_decode_i_19__n N_24_i un4_size ahigh_i_30__n N_36_0 un1_LDS_000_INT \ +ahigh_i_31__n N_17_i un1_UDS_000_INT ahigh_i_28__n N_43_0 \ +un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_29__n N_4_i un1_DS_000_ENABLE_0_sqmuxa \ +ahigh_i_26__n N_49_0 un4_as_000 ahigh_i_27__n N_3_i un10_ciin ahigh_i_24__n \ +N_50_0 un21_fpu_cs ahigh_i_25__n N_215_i un21_berr N_210_i N_216_i un6_ds_030 \ +N_211_i N_301_0 N_212_i N_243_0 N_266_i un6_ds_030_i N_249_i un4_as_000_i \ +AMIGA_BUS_DATA_DIR_c_0 AS_000_INT_i N_268_i un6_as_030_i \ +pos_clk_ds_000_dma_4_0_n AS_030_c CLK_030_c_i N_236_0 AS_000_c un1_as_000_i \ +N_297_i RW_000_c N_160_i pos_clk_un21_bgack_030_int_i_0_i_n N_100_i UDS_000_c \ +N_186_0 N_183_0 LDS_000_c N_182_0 N_181_0 size_c_0__n N_228_i N_176_0 \ +size_c_1__n LDS_000_c_i UDS_000_c_i ahigh_c_24__n N_173_i N_304_i \ +ahigh_c_25__n AS_030_000_SYNC_i N_157_i ahigh_c_26__n N_110_0 RW_c_i \ +pos_clk_un6_bg_030_n ahigh_c_27__n N_106_0 N_284_i ahigh_c_28__n pos_clk_ipl_n \ +N_334_i ahigh_c_29__n N_278_i ahigh_c_30__n N_279_i ahigh_c_31__n N_332_i \ +N_237_0 un1_SM_AMIGA_0_sqmuxa_1_0 N_247_i N_248_i N_246_i pos_clk_a0_dma_3_n \ +un10_ciin_i N_241_0 un1_DS_000_ENABLE_0_sqmuxa_i N_242_0 N_48_i N_227_i N_9 \ +N_225_i N_224_i N_15 N_223_i N_16 N_22 N_218_i pos_clk_size_dma_6_0_1__n \ +N_217_i pos_clk_size_dma_6_0_0__n N_213_i N_319_i N_300_0 N_15_i \ +a_decode_c_16__n N_45_0 N_16_i a_decode_c_17__n N_44_0 N_22_i a_decode_c_18__n \ +N_38_0 pos_clk_un21_bgack_030_int_i_0_i_1_n a_decode_c_19__n \ +pos_clk_un21_bgack_030_int_i_0_i_2_n N_238_i_1 a_decode_c_20__n N_238_i_2 \ +N_239_i_1 a_decode_c_21__n N_239_i_2 pos_clk_un10_sm_amiga_i_1_n \ +a_decode_c_22__n un10_ciin_1 un10_ciin_2 a_decode_c_23__n un10_ciin_3 \ +un10_ciin_4 a_c_0__n un10_ciin_5 un10_ciin_6 a_c_1__n un10_ciin_7 \ +pos_clk_size_dma_6_0__n un10_ciin_8 pos_clk_size_dma_6_1__n nEXP_SPACE_c \ +un10_ciin_9 un10_ciin_10 BERR_c un10_ciin_11 N_357_1 \ +pos_clk_un21_bgack_030_int_i_0_n BG_030_c N_357_2 N_237 N_357_3 N_241 N_357_4 \ +N_242 N_304_i_1 un21_fpu_cs_1 N_283 BGACK_000_c un21_berr_1_0 N_294 N_266_1 \ +N_300 CLK_030_c N_266_2 N_67_i_1 N_106 N_67_i_2 N_314_1 N_134 CLK_OSZI_c \ +N_314_2 N_138 N_318_1 N_156 N_318_2 N_160 N_341_1 N_167 N_341_2 N_172 \ +N_151_i_1 N_173 FPU_SENSE_c N_143_i_1 N_181 N_141_i_1 N_182 N_237_0_1 N_183 \ +N_240_i_1 N_191 N_60_i_1 N_199 N_64_i_1 N_205 N_155_i_1 N_209 N_147_i_1 N_319 \ +ipl_c_0__n N_145_i_1 N_213 N_139_i_1 N_216 ipl_c_1__n pos_clk_un6_bg_030_1_n \ +N_217 N_220_1 N_218 ipl_c_2__n N_216_1 N_220 N_205_1 N_223 N_199_1 N_224 \ +DTACK_c pos_clk_ipl_1_n N_225 uds_000_int_0_un3_n N_227 uds_000_int_0_un1_n \ +N_228 uds_000_int_0_un0_n N_246 VPA_c as_000_int_0_un3_n N_247 \ +as_000_int_0_un1_n N_248 as_000_int_0_un0_n N_332 RST_c dsack1_int_0_un3_n \ +N_278 dsack1_int_0_un1_n N_279 dsack1_int_0_un0_n N_334 RW_c vma_int_0_un3_n \ +N_284 vma_int_0_un1_n N_343 fc_c_0__n vma_int_0_un0_n lds_000_int_0_un3_n \ +un21_berr_1 fc_c_1__n lds_000_int_0_un1_n N_357 lds_000_int_0_un0_n N_266 \ +ipl_030_0_1__un3_n N_186 AMIGA_BUS_DATA_DIR_c ipl_030_0_1__un1_n \ +ipl_030_0_1__un0_n N_297 ipl_030_0_0__un3_n N_236 ipl_030_0_0__un1_n \ +pos_clk_ds_000_dma_4_n ipl_030_0_0__un0_n N_268 UDS_000_INT_i \ +cpu_est_0_3__un3_n N_249 un1_UDS_000_INT_0 cpu_est_0_3__un1_n N_243 \ +LDS_000_INT_i cpu_est_0_3__un0_n N_215 un1_LDS_000_INT_0 cpu_est_0_2__un3_n \ +N_130 N_23_i cpu_est_0_2__un1_n N_131 N_37_0 cpu_est_0_2__un0_n N_3 N_21_i \ +cpu_est_0_1__un3_n N_4 N_39_0 cpu_est_0_1__un1_n N_17 N_20_i \ +cpu_est_0_1__un0_n N_24 N_40_0 ipl_030_0_2__un3_n N_25 N_19_i \ +ipl_030_0_2__un1_n pos_clk_un9_bg_030_n N_41_0 ipl_030_0_2__un0_n N_6 N_14_i \ +amiga_bus_enable_dma_low_0_un3_n pos_clk_un6_bgack_000_n N_46_0 \ +amiga_bus_enable_dma_low_0_un1_n N_26 ipl_c_i_0__n \ +amiga_bus_enable_dma_low_0_un0_n N_208 N_52_0 rw_000_dma_0_un3_n N_207 \ +ipl_c_i_1__n rw_000_dma_0_un1_n N_349 N_53_0 rw_000_dma_0_un0_n N_314 \ +ipl_c_i_2__n as_000_dma_0_un3_n N_318 N_54_0 as_000_dma_0_un1_n N_348 N_27_i \ +as_000_dma_0_un0_n N_201 N_31_0 ds_000_dma_0_un3_n N_200 N_28_i \ +ds_000_dma_0_un1_n N_203 N_32_0 ds_000_dma_0_un0_n N_204 N_29_i \ +bgack_030_int_0_un3_n N_185 N_33_0 bgack_030_int_0_un1_n N_184 a_c_i_0__n \ +bgack_030_int_0_un0_n N_180 size_c_i_1__n bg_000_0_un3_n N_179 \ +pos_clk_un10_sm_amiga_i_n bg_000_0_un1_n N_178 N_256_0 bg_000_0_un0_n N_171 \ +N_318_i amiga_bus_enable_dma_high_0_un3_n N_341 N_314_i \ +amiga_bus_enable_dma_high_0_un1_n N_342 pos_clk_un9_clk_000_pe_0_n \ +amiga_bus_enable_dma_high_0_un0_n N_169 N_219_i \ +un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n N_154 N_220_i \ +un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n N_165 cpu_est_2_0_1__n \ +un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n N_162 N_221_i \ +size_dma_0_0__un3_n N_299 N_348_i size_dma_0_0__un1_n N_153 cpu_est_2_0_2__n \ +size_dma_0_0__un0_n N_142 N_222_i size_dma_0_1__un3_n N_298 N_196_i \ +size_dma_0_1__un1_n N_80 N_226_i size_dma_0_1__un0_n N_232 \ +ds_000_enable_0_un3_n N_233 N_231_i ds_000_enable_0_un1_n N_229 N_229_i \ +ds_000_enable_0_un0_n N_231 N_302_i as_030_000_sync_0_un3_n N_226 N_233_i \ +as_030_000_sync_0_un1_n N_221 N_232_i as_030_000_sync_0_un0_n N_222 \ +rw_000_int_0_un3_n cpu_est_2_2__n N_80_0 rw_000_int_0_un1_n cpu_est_2_1__n \ +N_343_i rw_000_int_0_un0_n N_219 N_214_0 a0_dma_0_un3_n \ +pos_clk_un9_clk_000_pe_n N_166_i a0_dma_0_un1_n N_256 N_134_i a0_dma_0_un0_n \ +N_29 N_298_i a_decode_15__n N_28 N_142_0 N_27 N_153_i a_decode_14__n N_14 \ +N_154_0 N_19 N_156_i a_decode_13__n N_20 N_305_i N_21 N_299_i a_decode_12__n \ +N_23 N_162_0 un1_amiga_bus_enable_low_i N_165_0 a_decode_11__n un21_fpu_cs_i \ +N_169_i cpu_est_i_1__n VMA_INT_i a_decode_10__n rst_dly_i_2__n N_341_i \ +rst_dly_i_1__n N_342_i a_decode_9__n cpu_est_i_0__n N_171_i cpu_est_i_2__n \ +N_172_i a_decode_8__n sm_amiga_i_0__n N_178_0 sm_amiga_i_3__n N_179_0 \ +a_decode_7__n sm_amiga_i_4__n N_180_0 sm_amiga_i_5__n N_184_0 a_decode_6__n \ +rst_dly_i_0__n N_185_0 sm_amiga_i_2__n N_203_i a_decode_5__n sm_amiga_i_1__n \ +N_204_i VPA_D_i N_205_i a_decode_4__n clk_000_d_i_1__n cpu_est_i_3__n N_200_i \ +a_decode_3__n sm_amiga_i_6__n N_199_i clk_000_d_i_0__n N_201_i a_decode_2__n \ +BGACK_030_INT_i AS_000_i AS_000_DMA_i N_208_i nEXP_SPACE_i N_207_i \ +cycle_dma_i_0__n N_167_i DS_000_DMA_i N_138_i AMIGA_BUS_ENABLE_DMA_LOW_i \ +N_349_i AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \ +SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE \ +AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE \ +DSACK1.OE RESET.OE CIIN.OE CLK_OUT_PRE_25_0 G_107 G_108 G_109 \ +pos_clk_CYCLE_DMA_5_1_i_0_x2 pos_clk_un21_bgack_030_int_i_0_o2_2_x2 +.names N_145_i_1.BLIF RST_c.BLIF SM_AMIGA_3_.D +11 1 +.names N_143_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D +11 1 +.names N_141_i_1.BLIF N_279_i.BLIF SM_AMIGA_1_.D +11 1 +.names N_139_i_1.BLIF RST_c.BLIF SM_AMIGA_0_.D +11 1 .names N_31_0.BLIF IPL_030DFF_0_reg.D 0 1 .names N_32_0.BLIF IPL_030DFF_1_reg.D @@ -436,19 +420,17 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 pos_clk_CYCLE_DMA_5_1_i_x2 0 1 .names N_54_0.BLIF IPL_D0_2_.D 0 1 -.names sm_amiga_nss_i_0_0__n.BLIF SM_AMIGA_i_7_.D -0 1 -.names N_303_0.BLIF SM_AMIGA_6_.D -0 1 -.names sm_amiga_nss_0_2__n.BLIF SM_AMIGA_5_.D -0 1 -.names sm_amiga_nss_0_3__n.BLIF SM_AMIGA_4_.D -0 1 -.names sm_amiga_nss_0_4__n.BLIF SM_AMIGA_3_.D -0 1 -.names sm_amiga_nss_0_5__n.BLIF SM_AMIGA_2_.D -0 1 -.names N_125_i_1.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF CYCLE_DMA_1_.D +.names N_155_i_1.BLIF RST_c.BLIF SM_AMIGA_i_7_.D +11 1 +.names N_151_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D +11 1 +.names N_166_i.BLIF N_226_i.BLIF SM_AMIGA_5_.D +11 1 +.names N_147_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D +11 1 +.names N_67_i_1.BLIF N_67_i_2.BLIF CYCLE_DMA_0_.D +11 1 +.names N_64_i_1.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2.BLIF CYCLE_DMA_1_.D 11 1 .names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 @@ -456,7 +438,7 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 pos_clk_CYCLE_DMA_5_1_i_x2 .names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D 1- 1 -1 1 -.names N_205_i.BLIF N_206_i.BLIF cpu_est_0_.D +.names N_232_i.BLIF N_233_i.BLIF cpu_est_0_.D 11 1 .names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D 1- 1 @@ -467,35 +449,35 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 pos_clk_CYCLE_DMA_5_1_i_x2 .names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D 1- 1 -1 1 -.names N_306_i_1.BLIF RST_c.BLIF RST_DLY_0_.D +.names N_240_i_1.BLIF RST_c.BLIF RST_DLY_0_.D 11 1 -.names N_305_i_1.BLIF N_305_i_2.BLIF RST_DLY_1_.D +.names N_239_i_1.BLIF N_239_i_2.BLIF RST_DLY_1_.D 11 1 -.names N_304_i_1.BLIF N_304_i_2.BLIF RST_DLY_2_.D +.names N_238_i_1.BLIF N_238_i_2.BLIF RST_DLY_2_.D 11 1 -.names N_307_i_1.BLIF N_307_i_2.BLIF CYCLE_DMA_0_.D -11 1 -.names N_46_0.BLIF inst_AS_000_DMA.D +.names N_44_0.BLIF inst_RW_000_INT.D 0 1 -.names N_47_0.BLIF inst_AS_030_000_SYNC.D +.names N_45_0.BLIF inst_AS_030_000_SYNC.D 0 1 -.names N_48_0.BLIF inst_AS_000_INT.D +.names N_46_0.BLIF inst_LDS_000_INT.D 0 1 -.names N_49_0.BLIF inst_DSACK1_INTreg.D +.names N_47_0.BLIF inst_BGACK_030_INTreg.D +0 1 +.names N_49_0.BLIF inst_AS_000_DMA.D 0 1 .names N_50_0.BLIF inst_DS_000_DMA.D 0 1 -.names N_369_0.BLIF inst_AS_030_D0.D +.names N_110_0.BLIF inst_AS_030_D0.D 0 1 .names N_55_0.BLIF inst_VPA_D.D 0 1 .names N_56_0.BLIF inst_DTACK_D0.D 0 1 -.names N_277_i_1.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF inst_CLK_030_H.D +.names N_60_i_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF inst_CLK_030_H.D 11 1 -.names N_58_0.BLIF inst_RESET_OUT.D +.names N_301_0.BLIF inst_RESET_OUT.D 0 1 -.names N_6.BLIF RST_c.BLIF inst_DS_000_ENABLE.D +.names N_9.BLIF RST_c.BLIF inst_DS_000_ENABLE.D 11 1 .names N_34_0.BLIF BG_000DFFreg.D 0 1 @@ -507,1110 +489,1031 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 pos_clk_CYCLE_DMA_5_1_i_x2 0 1 .names N_38_0.BLIF inst_A0_DMA.D 0 1 -.names N_39_0.BLIF inst_VMA_INTreg.D +.names N_39_0.BLIF inst_AS_000_INT.D 0 1 -.names N_41_0.BLIF inst_RW_000_DMA.D +.names N_40_0.BLIF inst_DSACK1_INTreg.D 0 1 -.names N_42_0.BLIF inst_RW_000_INT.D +.names N_41_0.BLIF inst_VMA_INTreg.D 0 1 -.names N_43_0.BLIF inst_LDS_000_INT.D +.names N_43_0.BLIF inst_RW_000_DMA.D 0 1 -.names N_44_0.BLIF inst_BGACK_030_INTreg.D -0 1 -.names N_67_i.BLIF inst_BGACK_030_INT_D.D +.names N_100_i.BLIF inst_BGACK_030_INT_D.D 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names N_91.BLIF N_91_i +.names N_130.BLIF N_130_i 0 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +.names BGACK_000_c.BLIF N_349_i.BLIF pos_clk_un6_bgack_000_0_n 11 1 -.names N_90.BLIF N_90_i +.names N_131.BLIF N_131_i 0 1 -.names N_249_i_0.BLIF RST_c.BLIF N_248_i +.names DTACK_c.BLIF DTACK_c_i +0 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names DTACK_c_i.BLIF RST_c.BLIF N_56_0 11 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +.names RW_000_c.BLIF RW_000_i +0 1 +.names VPA_c.BLIF VPA_c_i +0 1 +.names a_c_1__n.BLIF a_i_1__n +0 1 +.names RST_c.BLIF VPA_c_i.BLIF N_55_0 +11 1 +.names inst_RESET_OUT.BLIF RESET_OUT_i +0 1 +.names N_6.BLIF N_6_i +0 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names N_6_i.BLIF RST_c.BLIF N_47_0 +11 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i 0 1 .names N_26.BLIF N_26_i 0 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n 0 1 .names N_26_i.BLIF RST_c.BLIF N_34_0 11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +.names vcc_n_n + 1 +.names a_decode_c_16__n.BLIF a_decode_i_16__n 0 1 .names BG_030_c.BLIF BG_030_c_i 0 1 -.names RST_DLY_0_.BLIF rst_dly_i_0__n +.names inst_AS_030_D0.BLIF AS_030_D0_i 0 1 .names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n 0 1 -.names RST_DLY_1_.BLIF rst_dly_i_1__n +.names gnd_n_n +.names SIZE_DMA_0_.BLIF size_dma_i_0__n 0 1 .names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un9_bg_030_0_n 11 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_1__n -0 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n -11 1 -.names N_249.BLIF N_249_i_0 -0 1 -.names un10_ciin.BLIF un10_ciin_i -0 1 -.names vcc_n_n - 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_127_0 -11 1 -.names RST_DLY_2_.BLIF rst_dly_i_2__n -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_369_0 -11 1 -.names gnd_n_n -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_367_i -11 1 .names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ un1_amiga_bus_enable_low 11 1 -.names N_122.BLIF N_122_i -0 1 -.names un1_SM_AMIGA_0_sqmuxa_3.BLIF un1_SM_AMIGA_0_sqmuxa_3_i -0 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 -11 1 -.names a_decode_c_16__n.BLIF a_decode_i_16__n -0 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF un1_SM_AMIGA_0_sqmuxa_3_i.BLIF N_278_0 -11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size -11 1 -.names a_decode_c_18__n.BLIF a_decode_i_18__n -0 1 -.names N_218.BLIF N_218_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size -11 1 -.names a_decode_c_19__n.BLIF a_decode_i_19__n -0 1 -.names N_218_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_366_0 -11 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names RST_c.BLIF VPA_c_i.BLIF N_55_0 -11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names N_101.BLIF N_101_i -0 1 -.names N_7.BLIF N_7_i -0 1 -.names un10_ciin_10.BLIF un10_ciin_11.BLIF un10_ciin -11 1 -.names N_102.BLIF N_102_i -0 1 -.names N_7_i.BLIF RST_c.BLIF N_47_0 -11 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs -11 1 -.names a_c_1__n.BLIF a_i_1__n -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names un22_berr_1_0.BLIF FPU_SENSE_c.BLIF un22_berr -11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 -11 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i +.names SIZE_DMA_1_.BLIF size_dma_i_1__n 0 1 .names N_25.BLIF N_25_i 0 1 -.names cpu_est_3_.BLIF cpu_est_i_3__n +.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 +11 1 +.names a_decode_c_18__n.BLIF a_decode_i_18__n 0 1 .names N_25_i.BLIF RST_c.BLIF N_35_0 11 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_i +.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size +11 1 +.names a_decode_c_19__n.BLIF a_decode_i_19__n 0 1 .names N_24.BLIF N_24_i 0 1 -.names AS_000_c.BLIF AS_000_i +.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size +11 1 +.names ahigh_c_30__n.BLIF ahigh_i_30__n 0 1 .names N_24_i.BLIF RST_c.BLIF N_36_0 11 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_0__n +.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT 0 1 -.names N_23.BLIF N_23_i -0 1 -.names inst_RESET_OUT.BLIF RESET_OUT_i -0 1 -.names N_23_i.BLIF RST_c.BLIF N_37_0 -11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names N_22.BLIF N_22_i -0 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_22_i.BLIF RST_c.BLIF N_38_0 -11 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names N_19.BLIF N_19_i -0 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n -0 1 -.names N_19_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i +.names ahigh_c_31__n.BLIF ahigh_i_31__n 0 1 .names N_17.BLIF N_17_i 0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names N_17_i.BLIF RST_c.BLIF N_43_0 -11 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names N_10.BLIF N_10_i -0 1 -.names ahigh_c_30__n.BLIF ahigh_i_30__n -0 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names ahigh_c_31__n.BLIF ahigh_i_31__n -0 1 -.names a_c_0__n.BLIF a_c_i_0__n +.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT 0 1 .names ahigh_c_28__n.BLIF ahigh_i_28__n 0 1 -.names size_c_1__n.BLIF size_c_i_1__n +.names N_17_i.BLIF RST_c.BLIF N_43_0 +11 1 +.names un1_SM_AMIGA_0_sqmuxa_1_0.BLIF un1_SM_AMIGA_0_sqmuxa_1 0 1 .names ahigh_c_29__n.BLIF ahigh_i_29__n 0 1 -.names pos_clk_un10_sm_amiga_i_1_n.BLIF size_c_i_1__n.BLIF \ -pos_clk_un10_sm_amiga_i_n +.names N_4.BLIF N_4_i +0 1 +.names N_138_i.BLIF N_162.BLIF un1_DS_000_ENABLE_0_sqmuxa 11 1 .names ahigh_c_26__n.BLIF ahigh_i_26__n 0 1 -.names N_259.BLIF N_259_i -0 1 +.names N_4_i.BLIF RST_c.BLIF N_49_0 +11 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +11 1 .names ahigh_c_27__n.BLIF ahigh_i_27__n 0 1 -.names BGACK_000_c.BLIF N_259_i.BLIF pos_clk_un6_bgack_000_0_n +.names N_3.BLIF N_3_i +0 1 +.names un10_ciin_10.BLIF un10_ciin_11.BLIF un10_ciin 11 1 .names ahigh_c_24__n.BLIF ahigh_i_24__n 0 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_282_0 +.names N_3_i.BLIF RST_c.BLIF N_50_0 +11 1 +.names un21_fpu_cs_1.BLIF N_357.BLIF un21_fpu_cs 11 1 .names ahigh_c_25__n.BLIF ahigh_i_25__n 0 1 -.names N_21.BLIF N_21_i +.names N_215.BLIF N_215_i 0 1 -.names G_119.BLIF N_244_i -0 1 -.names N_21_i.BLIF RST_c.BLIF N_39_0 +.names un21_berr_1_0.BLIF N_357.BLIF un21_berr 11 1 -.names G_120.BLIF N_245_i +.names G_107.BLIF N_210_i 0 1 -.names N_188.BLIF N_188_i +.names N_216.BLIF N_216_i 0 1 -.names G_121.BLIF N_246_i -0 1 -.names N_187.BLIF N_187_i -0 1 -.names N_187_i.BLIF N_188_i.BLIF N_58_0 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 11 1 -.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n +.names G_108.BLIF N_211_i +0 1 +.names N_215_i.BLIF N_216_i.BLIF N_301_0 11 1 +.names G_109.BLIF N_212_i +0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_243_0 +11 1 +.names N_266.BLIF N_266_i +0 1 .names un6_ds_030.BLIF un6_ds_030_i 0 1 -.names N_209.BLIF N_209_i -0 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names N_208.BLIF N_208_i +.names N_249.BLIF N_249_i 0 1 .names un4_as_000.BLIF un4_as_000_i 0 1 -.names N_208_i.BLIF N_209_i.BLIF pos_clk_un9_clk_000_pe_0_n -11 1 -.names pos_clk_ipl_1_n.BLIF N_245_i.BLIF pos_clk_ipl_n +.names N_249_i.BLIF N_266_i.BLIF AMIGA_BUS_DATA_DIR_c_0 11 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names N_268.BLIF N_268_i +0 1 .names un6_as_030.BLIF un6_as_030_i 0 1 -.names N_210.BLIF N_210_i -0 1 -.names N_211.BLIF N_211_i -0 1 -.names N_210_i.BLIF N_211_i.BLIF cpu_est_2_0_1__n +.names N_268_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF \ +pos_clk_ds_000_dma_4_0_n 11 1 -.names N_258.BLIF N_258_i -0 1 -.names N_212.BLIF N_212_i -0 1 -.names N_212_i.BLIF N_258_i.BLIF cpu_est_2_0_2__n -11 1 -.names N_216.BLIF N_216_i -0 1 -.names N_215.BLIF N_215_i -0 1 -.names N_215_i.BLIF N_216_i.BLIF N_40_i -11 1 -.names cpu_est_3_.BLIF cpu_est_i_1__n.BLIF N_138_0 -11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_2__n.BLIF N_142_i -11 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_143_i -11 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names N_392.BLIF N_392_i -0 1 -.names N_393.BLIF N_393_i -0 1 -.names pos_clk_rw_000_int_5_0_n.BLIF pos_clk_rw_000_int_5_n -0 1 -.names N_392_i.BLIF N_393_i.BLIF N_152_i -11 1 -.names cpu_est_1_.BLIF cpu_est_i_3__n.BLIF N_161_0 -11 1 -.names N_106.BLIF N_106_i -0 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n -0 1 -.names N_186.BLIF N_186_i -0 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 .names CLK_030_c.BLIF CLK_030_c_i 0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_164_0 +.names CLK_030_c_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_236_0 11 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF N_67_i +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF un1_as_000_i +11 1 +.names N_297.BLIF N_297_i +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_160_i +11 1 +.names pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF \ +pos_clk_un21_bgack_030_int_i_0_i_2_n.BLIF pos_clk_un21_bgack_030_int_i_0_i_n +11 1 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_100_i +11 1 +.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_186_0 +11 1 +.names N_157_i.BLIF N_304_i.BLIF N_183_0 +11 1 +.names N_304_i.BLIF nEXP_SPACE_c.BLIF N_182_0 +11 1 +.names N_157_i.BLIF N_160_i.BLIF N_181_0 +11 1 +.names N_228.BLIF N_228_i +0 1 +.names N_228_i.BLIF SM_AMIGA_i_7_.BLIF N_176_0 11 1 .names LDS_000_c.BLIF LDS_000_c_i 0 1 .names UDS_000_c.BLIF UDS_000_c_i 0 1 -.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_156_i +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_173_i 11 1 -.names pos_clk_un21_bgack_030_int_i_0_0_1_n.BLIF \ -pos_clk_un21_bgack_030_int_i_0_0_2_n.BLIF pos_clk_un21_bgack_030_int_i_0_0_n +.names N_304_i_1.BLIF CLK_000_D_2_.BLIF N_304_i 11 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_27 -1- 1 --1 1 -.names N_237.BLIF N_237_i +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i 0 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_28 -1- 1 --1 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_131_i +.names sm_amiga_i_i_7__n.BLIF nEXP_SPACE_c.BLIF N_157_i 11 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_29 -1- 1 --1 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF N_368_i +.names AS_030_i.BLIF RST_c.BLIF N_110_0 11 1 -.names CLK_030_c_i.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF N_275_0 +.names RW_c.BLIF RW_c_i +0 1 +.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n +11 1 +.names N_176_0.BLIF RW_c_i.BLIF N_106_0 +11 1 +.names N_284.BLIF N_284_i +0 1 +.names pos_clk_ipl_1_n.BLIF N_211_i.BLIF pos_clk_ipl_n +11 1 +.names N_334.BLIF N_334_i +0 1 +.names N_278.BLIF N_278_i +0 1 +.names N_279.BLIF N_279_i +0 1 +.names N_332.BLIF N_332_i +0 1 +.names N_237_0_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_237_0 +11 1 +.names N_167.BLIF N_176_0.BLIF un1_SM_AMIGA_0_sqmuxa_1_0 +11 1 +.names N_247.BLIF N_247_i +0 1 +.names N_248.BLIF N_248_i +0 1 +.names N_246.BLIF N_246_i +0 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 +.names un10_ciin.BLIF un10_ciin_i +0 1 +.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_241_0 +11 1 +.names un1_DS_000_ENABLE_0_sqmuxa.BLIF un1_DS_000_ENABLE_0_sqmuxa_i +0 1 +.names AS_030_D0_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF N_242_0 +11 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_48_i 11 1 .names N_227.BLIF N_227_i 0 1 -.names N_276_0_1.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF N_276_0 -11 1 -.names N_226.BLIF N_226_i -0 1 -.names RW_c.BLIF RW_c_i -0 1 -.names pos_clk_rw_000_int_5_0_1_n.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n -11 1 +.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_9 +1- 1 +-1 1 .names N_225.BLIF N_225_i 0 1 -.names N_225_i.BLIF pos_clk_un21_bgack_030_int_i_0_0_n.BLIF \ -pos_clk_ds_000_dma_4_0_n -11 1 .names N_224.BLIF N_224_i 0 1 -.names N_224_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_15 +1- 1 +-1 1 .names N_223.BLIF N_223_i 0 1 -.names N_223_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names N_222.BLIF N_222_i -0 1 -.names N_201.BLIF N_201_i -0 1 -.names N_202.BLIF N_202_i -0 1 -.names N_201_i.BLIF N_202_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names N_199.BLIF N_199_i -0 1 -.names N_200.BLIF N_200_i -0 1 -.names N_199_i.BLIF N_200_i.BLIF sm_amiga_nss_0_2__n -11 1 -.names N_189.BLIF N_189_i -0 1 -.names N_190.BLIF N_190_i -0 1 -.names N_29.BLIF N_29_i -0 1 -.names N_29_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names N_28.BLIF N_28_i -0 1 -.names N_28_i.BLIF RST_c.BLIF N_32_0 -11 1 -.names N_27.BLIF N_27_i -0 1 -.names N_27_i.BLIF RST_c.BLIF N_31_0 -11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n -0 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 -11 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 -11 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n -0 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 -11 1 -.names CYCLE_DMA_0_.BLIF N_131_i.BLIF N_106 -11 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_56_0 -11 1 -.names N_3.BLIF N_3_i -0 1 -.names N_3_i.BLIF RST_c.BLIF N_50_0 -11 1 -.names pos_clk_un21_bgack_030_int_i_0_0_n.BLIF \ -pos_clk_un21_bgack_030_int_i_0_n -0 1 -.names N_8.BLIF N_8_i -0 1 -.names N_275_0.BLIF N_275 -0 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 -11 1 -.names N_276_0.BLIF N_276 -0 1 -.names size_c_0__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n -11 1 -.names N_175_i.BLIF N_176_i.BLIF sm_amiga_nss_i_0_1_0__n -11 1 -.names N_110.BLIF nEXP_SPACE_i.BLIF N_108 -11 1 -.names N_177_i.BLIF sm_amiga_nss_0_7__n.BLIF sm_amiga_nss_i_0_2_0__n -11 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_110 -11 1 -.names N_178_i.BLIF N_239_i.BLIF sm_amiga_nss_i_0_3_0__n -11 1 -.names sm_amiga_nss_i_0_1_0__n.BLIF sm_amiga_nss_i_0_2_0__n.BLIF \ -sm_amiga_nss_i_0_4_0__n -11 1 -.names sm_amiga_nss_i_0_3_0__n.BLIF N_252_i.BLIF sm_amiga_nss_i_0_5_0__n -11 1 -.names N_127_0.BLIF N_127 -0 1 -.names ahigh_i_24__n.BLIF ahigh_i_25__n.BLIF un10_ciin_1 -11 1 -.names N_130_i.BLIF N_130 -0 1 -.names ahigh_i_26__n.BLIF ahigh_i_27__n.BLIF un10_ciin_2 -11 1 -.names N_131_i.BLIF N_131 -0 1 -.names ahigh_i_28__n.BLIF ahigh_i_29__n.BLIF un10_ciin_3 -11 1 -.names N_139_i.BLIF N_139 -0 1 -.names ahigh_i_30__n.BLIF ahigh_i_31__n.BLIF un10_ciin_4 -11 1 -.names N_152_i.BLIF N_152 -0 1 -.names a_decode_c_23__n.BLIF AS_030_D0_i.BLIF un10_ciin_5 -11 1 -.names N_156_i.BLIF N_156 -0 1 -.names a_decode_c_20__n.BLIF a_decode_c_21__n.BLIF un10_ciin_6 -11 1 -.names N_164_0.BLIF N_164 -0 1 -.names un10_ciin_1.BLIF un10_ciin_2.BLIF un10_ciin_7 -11 1 -.names un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_370 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_16 1- 1 -1 1 -.names un10_ciin_3.BLIF un10_ciin_4.BLIF un10_ciin_8 -11 1 -.names N_177_1.BLIF SM_AMIGA_3_.BLIF N_177 -11 1 -.names un10_ciin_5.BLIF un10_ciin_6.BLIF un10_ciin_9 -11 1 -.names N_179_1.BLIF rst_dly_i_2__n.BLIF N_179 -11 1 -.names un10_ciin_7.BLIF un10_ciin_8.BLIF un10_ciin_10 -11 1 -.names N_185_1.BLIF rst_dly_i_1__n.BLIF N_185 -11 1 -.names un10_ciin_9.BLIF a_decode_c_22__n.BLIF un10_ciin_11 -11 1 -.names cycle_dma_i_0__n.BLIF N_131.BLIF N_186 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF pos_clk_un21_bgack_030_int_i_0_0_1_n -11 1 -.names N_136.BLIF RST_DLY_0_.BLIF N_189 -11 1 -.names pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF N_237_i.BLIF \ -pos_clk_un21_bgack_030_int_i_0_0_2_n -11 1 -.names N_257.BLIF rst_dly_i_0__n.BLIF N_190 -11 1 -.names AS_000_i.BLIF N_67_i.BLIF N_307_i_1 -11 1 -.names N_199_1.BLIF SM_AMIGA_5_.BLIF N_199 -11 1 -.names N_106_i.BLIF N_186_i.BLIF N_307_i_2 -11 1 -.names N_391.BLIF SM_AMIGA_6_.BLIF N_200 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_202_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_201 -11 1 -.names RW_000_c.BLIF nEXP_SPACE_i.BLIF N_202_2 -11 1 -.names N_202_1.BLIF N_202_2.BLIF N_202 -11 1 -.names N_131_i.BLIF N_142_i.BLIF N_208_1 -11 1 -.names N_203_1.BLIF SM_AMIGA_i_7_.BLIF N_203 -11 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_208_2 -11 1 -.names N_211_1.BLIF cpu_est_i_3__n.BLIF N_211 -11 1 -.names N_130_i.BLIF N_258.BLIF N_209_1 -11 1 -.names N_247.BLIF RST_c.BLIF N_217 -11 1 -.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_209_2 -11 1 -.names CLK_030_H_i.BLIF N_164.BLIF N_222 -11 1 -.names N_138_0.BLIF N_142_i.BLIF N_392_1 -11 1 -.names BGACK_030_INT_i.BLIF N_156.BLIF N_223 -11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_392_2 -11 1 -.names BGACK_030_INT_i.BLIF N_156_i.BLIF N_224 -11 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_122_1 -11 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_225 -11 1 -.names a_decode_c_17__n.BLIF a_decode_i_16__n.BLIF N_122_2 -11 1 -.names N_131_i.BLIF SM_AMIGA_0_.BLIF N_226 -11 1 -.names a_decode_i_18__n.BLIF a_decode_i_19__n.BLIF N_122_3 -11 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_227 -11 1 -.names N_122_1.BLIF N_122_2.BLIF N_122_4 -11 1 -.names BERR_c.BLIF RST_c.BLIF N_236 -11 1 -.names N_122_i.BLIF N_247.BLIF N_218_1 -11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF N_237 -11 1 -.names sm_amiga_i_i_7__n.BLIF nEXP_SPACE_c.BLIF N_218_2 -11 1 -.names N_130_i.BLIF RST_c.BLIF N_243 -11 1 -.names AS_030_i.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 -11 1 -.names N_131_i.BLIF RST_c.BLIF N_391 -11 1 -.names un22_berr_1.BLIF AS_030_i.BLIF un22_berr_1_0 -11 1 -.names N_131.BLIF N_236.BLIF N_250 -11 1 -.names N_183_i.BLIF N_184_i.BLIF N_305_i_1 -11 1 -.names N_185_i.BLIF RST_c.BLIF N_305_i_2 -11 1 -.names N_179_i.BLIF N_180_i.BLIF N_304_i_1 -11 1 -.names N_208_1.BLIF N_208_2.BLIF N_208 -11 1 -.names N_181_i.BLIF RST_c.BLIF N_304_i_2 -11 1 -.names N_209_1.BLIF N_209_2.BLIF N_209 -11 1 -.names N_154_i.BLIF N_155_i.BLIF N_178_1 -11 1 -.names N_143_i.BLIF cpu_est_i_2__n.BLIF N_258 -11 1 -.names N_204_1.BLIF N_243.BLIF N_178_2 -11 1 -.names N_161_0.BLIF N_161 -0 1 -.names N_178_1.BLIF N_178_2.BLIF N_178_3 -11 1 -.names N_392_1.BLIF N_392_2.BLIF N_392 -11 1 -.names N_204_1.BLIF N_243.BLIF N_204_1_0 -11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_393 -11 1 -.names AS_000_i.BLIF N_67_i.BLIF N_125_i_1 -11 1 -.names N_138_0.BLIF N_138 -0 1 -.names N_227_i.BLIF RW_000_i.BLIF N_276_0_1 -11 1 -.names N_143_i.BLIF N_143 -0 1 -.names SM_AMIGA_i_7_.BLIF N_226_i.BLIF pos_clk_rw_000_int_5_0_1_n -11 1 -.names N_161.BLIF cpu_est_2_.BLIF N_215 -11 1 -.names N_222_i.BLIF RST_c.BLIF N_277_i_1 -11 1 -.names N_138.BLIF cpu_est_i_2__n.BLIF N_216 -11 1 -.names N_189_i.BLIF N_190_i.BLIF N_306_i_1 -11 1 -.names N_143_i.BLIF cpu_est_2_.BLIF N_214 -11 1 -.names nEXP_SPACE_c.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n -11 1 -.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n -0 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_211_1 -11 1 -.names N_143.BLIF cpu_est_2_.BLIF N_212 -11 1 -.names N_250.BLIF SM_AMIGA_6_.BLIF N_203_1 -11 1 -.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n -0 1 -.names N_130.BLIF N_236.BLIF N_199_1 -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_210 -11 1 -.names N_248_i.BLIF rst_dly_i_0__n.BLIF N_185_1 -11 1 -.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n -0 1 -.names N_139.BLIF N_248_i.BLIF N_179_1 -11 1 -.names inst_RESET_OUT.BLIF RST_c.BLIF N_187 -11 1 -.names N_152.BLIF N_243.BLIF N_177_1 -11 1 -.names N_243.BLIF N_249.BLIF N_188 -11 1 -.names N_246_i.BLIF N_244_i.BLIF pos_clk_ipl_1_n -11 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_21 -1- 1 --1 1 -.names N_279.BLIF dsack1_int_0_un3_n -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_247 -11 1 -.names N_91_i.BLIF N_279.BLIF dsack1_int_0_un1_n -11 1 -.names N_282_0.BLIF N_282 -0 1 -.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n -0 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names un1_SM_AMIGA_0_sqmuxa_2.BLIF rw_000_int_0_un3_n -0 1 -.names AS_000_c.BLIF N_131_i.BLIF N_259 -11 1 -.names pos_clk_rw_000_int_5_n.BLIF un1_SM_AMIGA_0_sqmuxa_2.BLIF \ -rw_000_int_0_un1_n -11 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_101 -11 1 -.names N_280.BLIF as_000_int_0_un3_n -0 1 -.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_102 -11 1 -.names N_90_i.BLIF N_280.BLIF as_000_int_0_un1_n -11 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names N_18.BLIF N_18_i -0 1 -.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_17 -1- 1 --1 1 -.names N_18_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names pos_clk_un9_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names N_5.BLIF N_5_i -0 1 -.names BG_030_c.BLIF pos_clk_un9_bg_030_n.BLIF bg_000_0_un1_n -11 1 .names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_22 1- 1 -1 1 -.names N_5_i.BLIF RST_c.BLIF N_48_0 +.names N_218.BLIF N_218_i +0 1 +.names N_218_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n 11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +.names N_217.BLIF N_217_i +0 1 +.names N_217_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n 11 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_23 +.names N_213.BLIF N_213_i +0 1 +.names N_319.BLIF N_319_i +0 1 +.names N_213_i.BLIF N_319_i.BLIF N_300_0 +11 1 +.names N_15.BLIF N_15_i +0 1 +.names N_15_i.BLIF RST_c.BLIF N_45_0 +11 1 +.names N_16.BLIF N_16_i +0 1 +.names N_16_i.BLIF RST_c.BLIF N_44_0 +11 1 +.names N_22.BLIF N_22_i +0 1 +.names N_22_i.BLIF RST_c.BLIF N_38_0 +11 1 +.names AS_000_i.BLIF pos_clk_un21_bgack_030_int_i_0_o2_2_x2.BLIF \ +pos_clk_un21_bgack_030_int_i_0_i_1_n +11 1 +.names BGACK_030_INT_i.BLIF N_297_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_2_n +11 1 +.names N_199_i.BLIF N_200_i.BLIF N_238_i_1 +11 1 +.names N_201_i.BLIF RST_c.BLIF N_238_i_2 +11 1 +.names N_203_i.BLIF N_204_i.BLIF N_239_i_1 +11 1 +.names N_205_i.BLIF RST_c.BLIF N_239_i_2 +11 1 +.names size_c_0__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n +11 1 +.names ahigh_i_24__n.BLIF ahigh_i_25__n.BLIF un10_ciin_1 +11 1 +.names ahigh_i_26__n.BLIF ahigh_i_27__n.BLIF un10_ciin_2 +11 1 +.names ahigh_i_28__n.BLIF ahigh_i_29__n.BLIF un10_ciin_3 +11 1 +.names ahigh_i_30__n.BLIF ahigh_i_31__n.BLIF un10_ciin_4 +11 1 +.names a_decode_c_23__n.BLIF AS_030_D0_i.BLIF un10_ciin_5 +11 1 +.names a_decode_c_20__n.BLIF a_decode_c_21__n.BLIF un10_ciin_6 +11 1 +.names un10_ciin_1.BLIF un10_ciin_2.BLIF un10_ciin_7 +11 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n +0 1 +.names un10_ciin_3.BLIF un10_ciin_4.BLIF un10_ciin_8 +11 1 +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n +0 1 +.names un10_ciin_5.BLIF un10_ciin_6.BLIF un10_ciin_9 +11 1 +.names un10_ciin_7.BLIF un10_ciin_8.BLIF un10_ciin_10 +11 1 +.names un10_ciin_9.BLIF a_decode_c_22__n.BLIF un10_ciin_11 +11 1 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_357_1 +11 1 +.names pos_clk_un21_bgack_030_int_i_0_i_n.BLIF \ +pos_clk_un21_bgack_030_int_i_0_n +0 1 +.names a_decode_c_17__n.BLIF a_decode_i_16__n.BLIF N_357_2 +11 1 +.names N_237_0.BLIF N_237 +0 1 +.names a_decode_i_18__n.BLIF a_decode_i_19__n.BLIF N_357_3 +11 1 +.names N_241_0.BLIF N_241 +0 1 +.names N_357_1.BLIF N_357_2.BLIF N_357_4 +11 1 +.names N_242_0.BLIF N_242 +0 1 +.names clk_000_d_i_1__n.BLIF AS_030_000_SYNC_i.BLIF N_304_i_1 +11 1 +.names un21_berr_1.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 +11 1 +.names N_294.BLIF nEXP_SPACE_i.BLIF N_283 +11 1 +.names un21_berr_1.BLIF FPU_SENSE_c.BLIF un21_berr_1_0 +11 1 +.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_294 +11 1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_266_1 +11 1 +.names N_300_0.BLIF N_300 +0 1 +.names RW_000_c.BLIF nEXP_SPACE_i.BLIF N_266_2 +11 1 +.names AS_000_i.BLIF N_100_i.BLIF N_67_i_1 +11 1 +.names N_106_0.BLIF N_106 +0 1 +.names N_207_i.BLIF N_208_i.BLIF N_67_i_2 +11 1 +.names N_138_i.BLIF N_305_i.BLIF N_314_1 +11 1 +.names N_134_i.BLIF N_134 +0 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_314_2 +11 1 +.names N_138_i.BLIF N_138 +0 1 +.names N_134_i.BLIF N_348.BLIF N_318_1 +11 1 +.names N_156_i.BLIF N_156 +0 1 +.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_318_2 +11 1 +.names N_160_i.BLIF N_160 +0 1 +.names N_154_0.BLIF N_305_i.BLIF N_341_1 +11 1 +.names N_167_i.BLIF N_167 +0 1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF N_341_2 +11 1 +.names N_172_i.BLIF N_172 +0 1 +.names N_167.BLIF N_284_i.BLIF N_151_i_1 +11 1 +.names N_173_i.BLIF N_173 +0 1 +.names N_138.BLIF N_334_i.BLIF N_143_i_1 +11 1 +.names N_181_0.BLIF N_181 +0 1 +.names N_166_i.BLIF N_278_i.BLIF N_141_i_1 +11 1 +.names N_182_0.BLIF N_182 +0 1 +.names N_332_i.BLIF RW_000_i.BLIF N_237_0_1 +11 1 +.names N_183_0.BLIF N_183 +0 1 +.names N_247_i.BLIF N_248_i.BLIF N_240_i_1 +11 1 +.names un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n.BLIF N_191 1- 1 -1 1 -.names N_4.BLIF N_4_i +.names N_246_i.BLIF RST_c.BLIF N_60_i_1 +11 1 +.names N_199_1.BLIF rst_dly_i_2__n.BLIF N_199 +11 1 +.names AS_000_i.BLIF N_100_i.BLIF N_64_i_1 +11 1 +.names N_205_1.BLIF rst_dly_i_1__n.BLIF N_205 +11 1 +.names N_227_i.BLIF N_228_i.BLIF N_155_i_1 +11 1 +.names N_160_i.BLIF RST_c.BLIF N_209 +11 1 +.names N_138.BLIF N_225_i.BLIF N_147_i_1 +11 1 +.names AS_030_D0_i.BLIF N_181.BLIF N_319 +11 1 +.names N_172.BLIF N_224_i.BLIF N_145_i_1 +11 1 +.names AS_030_D0_i.BLIF N_357.BLIF N_213 +11 1 +.names N_138.BLIF N_223_i.BLIF N_139_i_1 +11 1 +.names N_216_1.BLIF RST_c.BLIF N_216 +11 1 +.names nEXP_SPACE_c.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n +11 1 +.names BGACK_030_INT_i.BLIF N_173.BLIF N_217 +11 1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_220_1 +11 1 +.names BGACK_030_INT_i.BLIF N_173_i.BLIF N_218 +11 1 +.names N_134_i.BLIF N_343.BLIF N_216_1 +11 1 +.names N_220_1.BLIF cpu_est_i_3__n.BLIF N_220 +11 1 +.names N_214_0.BLIF rst_dly_i_0__n.BLIF N_205_1 +11 1 +.names N_169.BLIF sm_amiga_i_0__n.BLIF N_223 +11 1 +.names N_156.BLIF N_214_0.BLIF N_199_1 +11 1 +.names N_180.BLIF sm_amiga_i_3__n.BLIF N_224 +11 1 +.names N_212_i.BLIF N_210_i.BLIF pos_clk_ipl_1_n +11 1 +.names N_185.BLIF sm_amiga_i_4__n.BLIF N_225 +11 1 +.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n 0 1 -.names N_130.BLIF cpu_est_0_3__un3_n +.names N_182.BLIF sm_amiga_i_i_7__n.BLIF N_227 +11 1 +.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n +11 1 +.names N_138_i.BLIF SM_AMIGA_0_.BLIF N_228 +11 1 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names CLK_030_H_i.BLIF N_186.BLIF N_246 +11 1 +.names N_80.BLIF as_000_int_0_un3_n 0 1 +.names N_142.BLIF RST_DLY_0_.BLIF N_247 +11 1 +.names N_167.BLIF N_80.BLIF as_000_int_0_un1_n +11 1 +.names N_166_i.BLIF rst_dly_i_0__n.BLIF N_248 +11 1 +.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_332 +11 1 +.names N_256.BLIF dsack1_int_0_un3_n +0 1 +.names N_138.BLIF SM_AMIGA_2_.BLIF N_278 +11 1 +.names N_169.BLIF N_256.BLIF dsack1_int_0_un1_n +11 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_279 +11 1 +.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 +.names N_179.BLIF sm_amiga_i_2__n.BLIF N_334 +11 1 +.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n +0 1 +.names N_183.BLIF sm_amiga_i_6__n.BLIF N_284 +11 1 +.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n +11 1 +.names N_156_i.BLIF RST_DLY_2_.BLIF N_343 +11 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n +0 1 +.names AS_030_i.BLIF BGACK_000_c.BLIF un21_berr_1 +11 1 +.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n +11 1 +.names N_357_4.BLIF N_357_3.BLIF N_357 +11 1 +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names N_266_1.BLIF N_266_2.BLIF N_266 +11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +0 1 +.names N_186_0.BLIF N_186 +0 1 +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c +0 1 +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +11 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_297 +11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +0 1 +.names N_236_0.BLIF N_236 +0 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n +0 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_268 +11 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names N_134.BLIF cpu_est_0_3__un3_n +0 1 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_249 +11 1 +.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +11 1 +.names cpu_est_3_.BLIF N_134.BLIF cpu_est_0_3__un1_n +11 1 +.names N_243_0.BLIF N_243 +0 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names N_196_i.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names inst_RESET_OUT.BLIF RST_c.BLIF N_215 +11 1 +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 +11 1 +.names N_134.BLIF cpu_est_0_2__un3_n +0 1 +.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_130 +11 1 +.names N_23.BLIF N_23_i +0 1 +.names cpu_est_2_.BLIF N_134.BLIF cpu_est_0_2__un1_n +11 1 +.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_131 +11 1 +.names N_23_i.BLIF RST_c.BLIF N_37_0 +11 1 +.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n +11 1 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 +1- 1 +-1 1 +.names N_21.BLIF N_21_i +0 1 +.names N_134.BLIF cpu_est_0_1__un3_n +0 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_4 +1- 1 +-1 1 +.names N_21_i.BLIF RST_c.BLIF N_39_0 +11 1 +.names cpu_est_1_.BLIF N_134.BLIF cpu_est_0_1__un1_n +11 1 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_17 +1- 1 +-1 1 +.names N_20.BLIF N_20_i +0 1 +.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +11 1 .names amiga_bus_enable_dma_low_0_un1_n.BLIF \ amiga_bus_enable_dma_low_0_un0_n.BLIF N_24 1- 1 -1 1 -.names N_4_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names cpu_est_3_.BLIF N_130.BLIF cpu_est_0_3__un1_n +.names N_20_i.BLIF RST_c.BLIF N_40_0 11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +0 1 .names amiga_bus_enable_dma_high_0_un1_n.BLIF \ amiga_bus_enable_dma_high_0_un0_n.BLIF N_25 1- 1 -1 1 -.names N_191.BLIF N_191_i +.names N_19.BLIF N_19_i 0 1 -.names cpu_est_2_3__n.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n 11 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_6 +.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n +0 1 +.names N_19_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_6 1- 1 -1 1 -.names N_191_i.BLIF SM_AMIGA_i_7_.BLIF un1_SM_AMIGA_0_sqmuxa_2_0 +.names N_14.BLIF N_14_i +0 1 +.names N_160.BLIF amiga_bus_enable_dma_low_0_un3_n +0 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names N_14_i.BLIF RST_c.BLIF N_46_0 11 1 +.names N_130_i.BLIF N_160.BLIF amiga_bus_enable_dma_low_0_un1_n +11 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_26 +1- 1 +-1 1 +.names ipl_c_0__n.BLIF ipl_c_i_0__n +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +11 1 +.names CYCLE_DMA_0_.BLIF N_138_i.BLIF N_208 +11 1 +.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 +11 1 +.names N_160.BLIF rw_000_dma_0_un3_n +0 1 +.names cycle_dma_i_0__n.BLIF N_138.BLIF N_207 +11 1 +.names ipl_c_1__n.BLIF ipl_c_i_1__n +0 1 +.names N_243.BLIF N_160.BLIF rw_000_dma_0_un1_n +11 1 +.names AS_000_c.BLIF N_138_i.BLIF N_349 +11 1 +.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 +11 1 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names N_314_1.BLIF N_314_2.BLIF N_314 +11 1 +.names ipl_c_2__n.BLIF ipl_c_i_2__n +0 1 +.names N_236.BLIF as_000_dma_0_un3_n +0 1 +.names N_318_1.BLIF N_318_2.BLIF N_318 +11 1 +.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 +11 1 +.names pos_clk_un21_bgack_030_int_i_0_n.BLIF N_236.BLIF as_000_dma_0_un1_n +11 1 +.names N_153_i.BLIF cpu_est_i_2__n.BLIF N_348 +11 1 +.names N_27.BLIF N_27_i +0 1 +.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +11 1 +.names N_134.BLIF rst_dly_i_2__n.BLIF N_201 +11 1 +.names N_27_i.BLIF RST_c.BLIF N_31_0 +11 1 +.names N_237.BLIF ds_000_dma_0_un3_n +0 1 +.names N_142.BLIF N_343.BLIF N_200 +11 1 +.names N_28.BLIF N_28_i +0 1 +.names pos_clk_ds_000_dma_4_n.BLIF N_237.BLIF ds_000_dma_0_un1_n +11 1 +.names N_142.BLIF N_156_i.BLIF N_203 +11 1 +.names N_28_i.BLIF RST_c.BLIF N_32_0 +11 1 +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names N_166_i.BLIF rst_dly_i_1__n.BLIF N_204 +11 1 +.names N_29.BLIF N_29_i +0 1 +.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 +.names N_185_0.BLIF N_185 +0 1 +.names N_29_i.BLIF RST_c.BLIF N_33_0 +11 1 +.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n +11 1 +.names N_184_0.BLIF N_184 +0 1 +.names a_c_0__n.BLIF a_c_i_0__n +0 1 +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ +bgack_030_int_0_un0_n +11 1 +.names N_180_0.BLIF N_180 +0 1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names pos_clk_un9_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names N_179_0.BLIF N_179 +0 1 +.names pos_clk_un10_sm_amiga_i_1_n.BLIF size_c_i_1__n.BLIF \ +pos_clk_un10_sm_amiga_i_n +11 1 +.names BG_030_c.BLIF pos_clk_un9_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names N_178_0.BLIF N_178 +0 1 +.names AS_030_D0_i.BLIF N_169.BLIF N_256_0 +11 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names N_171_i.BLIF N_171 +0 1 +.names N_318.BLIF N_318_i +0 1 +.names N_160.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 +.names N_341_1.BLIF N_341_2.BLIF N_341 +11 1 +.names N_314.BLIF N_314_i +0 1 +.names N_131_i.BLIF N_160.BLIF amiga_bus_enable_dma_high_0_un1_n +11 1 +.names N_165.BLIF inst_VPA_D.BLIF N_342 +11 1 +.names N_314_i.BLIF N_318_i.BLIF pos_clk_un9_clk_000_pe_0_n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names N_169_i.BLIF N_169 +0 1 +.names N_219.BLIF N_219_i +0 1 .names inst_BGACK_030_INTreg.BLIF \ un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n 0 1 -.names N_131_i.BLIF N_144.BLIF un1_SM_AMIGA_0_sqmuxa_3 -11 1 -.names N_193.BLIF N_193_i +.names N_154_0.BLIF N_154 +0 1 +.names N_220.BLIF N_220_i 0 1 .names inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INTreg.BLIF \ un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un1_n 11 1 -.names N_278_0.BLIF N_278 -0 1 -.names N_192.BLIF N_192_i +.names N_165_0.BLIF N_165 0 1 +.names N_219_i.BLIF N_220_i.BLIF cpu_est_2_0_1__n +11 1 .names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un3_n.BLIF \ un1_amiga_bus_enable_dma_high_i_m2_i_m2_0__un0_n 11 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_7 -1- 1 --1 1 -.names N_192_i.BLIF N_193_i.BLIF sm_amiga_nss_0_6__n -11 1 -.names N_247.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n -0 1 -.names N_177.BLIF N_177_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_247.BLIF \ -amiga_bus_enable_dma_low_0_un1_n -11 1 -.names N_366_0.BLIF N_366 -0 1 -.names N_194.BLIF N_194_i -0 1 -.names N_101_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n -11 1 -.names N_122_4.BLIF N_122_3.BLIF N_122 -11 1 -.names N_177_i.BLIF N_194_i.BLIF sm_amiga_nss_0_5__n -11 1 -.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n -0 1 -.names N_218_1.BLIF N_218_2.BLIF N_218 -11 1 -.names N_195.BLIF N_195_i -0 1 -.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n -11 1 -.names BGACK_000_c.BLIF N_122.BLIF un22_berr_1 -11 1 -.names N_196.BLIF N_196_i -0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n -0 1 -.names N_195_i.BLIF N_196_i.BLIF sm_amiga_nss_0_4__n -11 1 -.names N_247.BLIF a0_dma_0_un3_n -0 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_26 -1- 1 --1 1 -.names N_198.BLIF N_198_i -0 1 -.names inst_A0_DMA.BLIF N_247.BLIF a0_dma_0_un1_n -11 1 -.names cpu_est_2_0_3__n.BLIF cpu_est_2_3__n -0 1 -.names N_197.BLIF N_197_i -0 1 -.names pos_clk_a0_dma_3_n.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names N_136.BLIF N_249.BLIF N_180 -11 1 -.names N_197_i.BLIF N_198_i.BLIF sm_amiga_nss_0_3__n -11 1 -.names N_247.BLIF rw_000_dma_0_un3_n -0 1 -.names N_136_0.BLIF N_136 -0 1 -.names N_204.BLIF N_204_i -0 1 -.names inst_RW_000_DMA.BLIF N_247.BLIF rw_000_dma_0_un1_n -11 1 -.names N_139_i.BLIF RST_DLY_2_.BLIF N_249 -11 1 -.names N_203.BLIF N_203_i -0 1 -.names N_282.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names N_130.BLIF rst_dly_i_2__n.BLIF N_181 -11 1 -.names N_203_i.BLIF N_204_i.BLIF N_303_0 -11 1 -.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n -0 1 -.names N_136.BLIF N_139_i.BLIF N_183 -11 1 -.names N_90_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_280_0 -11 1 -.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n -11 1 -.names N_257.BLIF rst_dly_i_1__n.BLIF N_184 -11 1 -.names N_91_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_279_0 -11 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names N_130.BLIF RST_c.BLIF N_257 -11 1 -.names N_236.BLIF N_236_i -0 1 -.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names N_130.BLIF cpu_est_i_0__n.BLIF N_205 -11 1 -.names N_391.BLIF N_391_i -0 1 -.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names N_130_i.BLIF cpu_est_0_.BLIF N_206 -11 1 -.names N_236_i.BLIF N_391_i.BLIF N_137_0 -11 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ -bgack_030_int_0_un0_n -11 1 -.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_213 -11 1 -.names N_241.BLIF N_241_i -0 1 -.names N_278.BLIF ds_000_enable_0_un3_n -0 1 -.names N_130_i.BLIF N_249_i_0.BLIF N_238 -11 1 -.names N_240.BLIF N_240_i -0 1 -.names un1_SM_AMIGA_0_sqmuxa_3.BLIF N_278.BLIF ds_000_enable_0_un1_n -11 1 .names N_162_0.BLIF N_162 0 1 -.names N_240_i.BLIF N_241_i.BLIF sm_amiga_nss_0_7__n -11 1 -.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ -ds_000_enable_0_un0_n -11 1 -.names N_178_3.BLIF sm_amiga_i_3__n.BLIF N_178 -11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +.names N_221.BLIF N_221_i 0 1 -.names N_366.BLIF as_030_000_sync_0_un3_n +.names N_209.BLIF size_dma_0_0__un3_n 0 1 -.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF N_204_1 +.names RW_c.BLIF SM_AMIGA_6_.BLIF N_299 11 1 -.names N_242.BLIF N_242_i +.names N_348.BLIF N_348_i 0 1 -.names pos_clk_un3_as_030_d0_n.BLIF N_366.BLIF as_030_000_sync_0_un1_n +.names SIZE_DMA_0_.BLIF N_209.BLIF size_dma_0_0__un1_n 11 1 -.names N_155_i.BLIF N_155 +.names N_153_i.BLIF N_153 0 1 -.names N_242_i.BLIF sm_amiga_i_4__n.BLIF N_144_0 +.names N_221_i.BLIF N_348_i.BLIF cpu_est_2_0_2__n 11 1 -.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF \ -as_030_000_sync_0_un0_n -11 1 -.names N_204_1_0.BLIF sm_amiga_i_i_7__n.BLIF N_204 -11 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names N_247.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names N_236.BLIF SM_AMIGA_3_.BLIF N_239 -11 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_154_i -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_247.BLIF \ -amiga_bus_enable_dma_high_0_un1_n -11 1 -.names N_236.BLIF SM_AMIGA_1_.BLIF N_252 -11 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names N_102_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n -11 1 -.names N_163.BLIF SM_AMIGA_5_.BLIF N_175 -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names N_130.BLIF cpu_est_0_2__un3_n -0 1 -.names N_137.BLIF N_160.BLIF N_176 -11 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_6__n.BLIF N_155_i -11 1 -.names cpu_est_2_.BLIF N_130.BLIF cpu_est_0_2__un1_n -11 1 -.names N_163_0.BLIF N_163 -0 1 -.names N_154_i.BLIF sm_amiga_i_6__n.BLIF N_160_0 -11 1 -.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 -.names N_160_0.BLIF N_160 -0 1 -.names N_243.BLIF N_243_i -0 1 -.names N_130.BLIF cpu_est_0_1__un3_n -0 1 -.names N_144_0.BLIF N_144 -0 1 -.names N_236_i.BLIF N_243_i.BLIF N_163_0 -11 1 -.names cpu_est_1_.BLIF N_130.BLIF cpu_est_0_1__un1_n -11 1 -.names RW_c.BLIF SM_AMIGA_6_.BLIF N_242 -11 1 -.names N_176.BLIF N_176_i -0 1 -.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names N_243.BLIF SM_AMIGA_1_.BLIF N_240 -11 1 -.names N_175.BLIF N_175_i -0 1 -.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names N_250.BLIF SM_AMIGA_0_.BLIF N_241 -11 1 -.names N_252.BLIF N_252_i -0 1 -.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names N_137_0.BLIF N_137 -0 1 -.names N_239.BLIF N_239_i -0 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names N_279_0.BLIF N_279 -0 1 -.names N_178.BLIF N_178_i -0 1 -.names N_217.BLIF size_dma_0_0__un3_n -0 1 -.names N_130_i.BLIF SM_AMIGA_1_.BLIF N_91 -11 1 -.names sm_amiga_nss_i_0_4_0__n.BLIF sm_amiga_nss_i_0_5_0__n.BLIF \ -sm_amiga_nss_i_0_0__n -11 1 -.names SIZE_DMA_0_.BLIF N_217.BLIF size_dma_0_0__un1_n -11 1 -.names N_280_0.BLIF N_280 -0 1 .names pos_clk_size_dma_6_0__n.BLIF size_dma_0_0__un3_n.BLIF \ size_dma_0_0__un0_n 11 1 -.names N_131_i.BLIF SM_AMIGA_6_.BLIF N_90 -11 1 -.names N_181.BLIF N_181_i +.names N_142_0.BLIF N_142 0 1 -.names N_217.BLIF size_dma_0_1__un3_n +.names N_222.BLIF N_222_i 0 1 -.names N_250.BLIF SM_AMIGA_4_.BLIF N_197 -11 1 -.names N_180.BLIF N_180_i +.names N_209.BLIF size_dma_0_1__un3_n 0 1 -.names SIZE_DMA_1_.BLIF N_217.BLIF size_dma_0_1__un1_n +.names N_134_i.BLIF N_214_0.BLIF N_298 11 1 -.names N_243.BLIF SM_AMIGA_5_.BLIF N_198 +.names N_221_i.BLIF N_222_i.BLIF N_196_i 11 1 -.names N_179.BLIF N_179_i +.names SIZE_DMA_1_.BLIF N_209.BLIF size_dma_0_1__un1_n +11 1 +.names N_80_0.BLIF N_80 +0 1 +.names N_226.BLIF N_226_i 0 1 .names pos_clk_size_dma_6_1__n.BLIF size_dma_0_1__un3_n.BLIF \ size_dma_0_1__un0_n 11 1 -.names N_162.BLIF N_239.BLIF N_195 +.names N_134.BLIF cpu_est_i_0__n.BLIF N_232 11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +.names N_242.BLIF ds_000_enable_0_un3_n 0 1 -.names N_391.BLIF SM_AMIGA_4_.BLIF N_196 +.names N_134_i.BLIF cpu_est_0_.BLIF N_233 11 1 -.names N_185.BLIF N_185_i +.names N_231.BLIF N_231_i 0 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +.names un1_DS_000_ENABLE_0_sqmuxa.BLIF N_242.BLIF ds_000_enable_0_un1_n 11 1 -.names N_250.BLIF SM_AMIGA_2_.BLIF N_194 +.names N_184.BLIF cpu_est_i_2__n.BLIF N_229 11 1 -.names N_183.BLIF N_183_i +.names N_229.BLIF N_229_i 0 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ +ds_000_enable_0_un0_n 11 1 -.names N_130.BLIF N_252.BLIF N_192 +.names N_178.BLIF cpu_est_2_.BLIF N_231 11 1 -.names N_184.BLIF N_184_i +.names N_229_i.BLIF N_231_i.BLIF N_302_i +11 1 +.names N_300.BLIF as_030_000_sync_0_un3_n 0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +.names N_167.BLIF sm_amiga_i_5__n.BLIF N_226 +11 1 +.names N_233.BLIF N_233_i 0 1 -.names N_391.BLIF SM_AMIGA_2_.BLIF N_193 +.names inst_AS_030_000_SYNC.BLIF N_300.BLIF as_030_000_sync_0_un1_n 11 1 -.names N_130_i.BLIF N_152.BLIF N_162_0 +.names N_153.BLIF cpu_est_2_.BLIF N_221 11 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 -.names un1_SM_AMIGA_0_sqmuxa_2_0.BLIF un1_SM_AMIGA_0_sqmuxa_2 +.names N_232.BLIF N_232_i 0 1 -.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_139_i +.names inst_AS_030_D0.BLIF as_030_000_sync_0_un3_n.BLIF \ +as_030_000_sync_0_un0_n 11 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +.names N_154.BLIF cpu_est_i_2__n.BLIF N_222 11 1 -.names N_131_i.BLIF N_155.BLIF N_191 -11 1 -.names N_238.BLIF N_238_i +.names un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un3_n 0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n 0 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_4 +.names AS_030_D0_i.BLIF N_167.BLIF N_80_0 +11 1 +.names N_106.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un1_n +11 1 +.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n +0 1 +.names N_343.BLIF N_343_i +0 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_219 +11 1 +.names N_343_i.BLIF RST_c.BLIF N_214_0 +11 1 +.names N_160.BLIF a0_dma_0_un3_n +0 1 +.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n +0 1 +.names N_134.BLIF RST_c.BLIF N_166_i +11 1 +.names pos_clk_a0_dma_3_n.BLIF N_160.BLIF a0_dma_0_un1_n +11 1 +.names N_256_0.BLIF N_256 +0 1 +.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_134_i +11 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_29 1- 1 -1 1 -.names N_238_i.BLIF RST_c.BLIF N_136_0 -11 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_5 +.names N_298.BLIF N_298_i +0 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_28 1- 1 -1 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_130_i +.names N_298_i.BLIF RST_c.BLIF N_142_0 11 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_18 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_27 1- 1 -1 1 -.names N_213.BLIF N_213_i -0 1 -.names N_276.BLIF ds_000_dma_0_un3_n +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_153_i +11 1 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 +1- 1 +-1 1 +.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_154_0 +11 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_19 +1- 1 +-1 1 +.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_156_i +11 1 +.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_20 +1- 1 +-1 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_305_i +11 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_21 +1- 1 +-1 1 +.names N_299.BLIF N_299_i 0 1 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_23 +1- 1 +-1 1 +.names N_299_i.BLIF sm_amiga_i_4__n.BLIF N_162_0 +11 1 .names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i 0 1 -.names N_214.BLIF N_214_i -0 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_276.BLIF ds_000_dma_0_un1_n +.names BERR_c.BLIF inst_DTACK_D0.BLIF N_165_0 11 1 .names un21_fpu_cs.BLIF un21_fpu_cs_i 0 1 -.names N_213_i.BLIF N_214_i.BLIF cpu_est_2_0_3__n +.names N_134_i.BLIF SM_AMIGA_1_.BLIF N_169_i 11 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names RST_DLY_2_.BLIF rst_dly_i_2__n +0 1 +.names N_341.BLIF N_341_i +0 1 +.names RST_DLY_1_.BLIF rst_dly_i_1__n +0 1 +.names N_342.BLIF N_342_i +0 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names N_341_i.BLIF N_342_i.BLIF N_171_i 11 1 -.names AS_030_c.BLIF AS_030_i +.names cpu_est_2_.BLIF cpu_est_i_2__n 0 1 -.names N_206.BLIF N_206_i +.names N_134_i.BLIF N_171.BLIF N_172_i +11 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n 0 1 -.names N_275.BLIF as_000_dma_0_un3_n +.names cpu_est_1_.BLIF cpu_est_i_3__n.BLIF N_178_0 +11 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n 0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i +.names N_172_i.BLIF SM_AMIGA_3_.BLIF N_179_0 +11 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names N_138_i.BLIF SM_AMIGA_4_.BLIF N_180_0 +11 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names cpu_est_3_.BLIF cpu_est_i_1__n.BLIF N_184_0 +11 1 +.names RST_DLY_0_.BLIF rst_dly_i_0__n +0 1 +.names N_134_i.BLIF SM_AMIGA_5_.BLIF N_185_0 +11 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names N_203.BLIF N_203_i +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names N_204.BLIF N_204_i +0 1 +.names inst_VPA_D.BLIF VPA_D_i 0 1 .names N_205.BLIF N_205_i 0 1 -.names pos_clk_un21_bgack_030_int_i_0_n.BLIF N_275.BLIF as_000_dma_0_un1_n +.names CLK_000_D_1_.BLIF clk_000_d_i_1__n +0 1 +.names cpu_est_3_.BLIF cpu_est_i_3__n +0 1 +.names N_200.BLIF N_200_i +0 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names N_199.BLIF N_199_i +0 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_0__n +0 1 +.names N_201.BLIF N_201_i +0 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names N_208.BLIF N_208_i +0 1 +.names nEXP_SPACE_c.BLIF nEXP_SPACE_i +0 1 +.names N_207.BLIF N_207_i +0 1 +.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n +0 1 +.names N_138_i.BLIF SM_AMIGA_6_.BLIF N_167_i 11 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_138_i +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names N_349.BLIF N_349_i +0 1 .names IPL_030DFF_2_reg.BLIF IPL_030_2_ 1 1 0 0 @@ -1638,7 +1541,7 @@ size_dma_0_1__un0_n .names vcc_n_n.BLIF AVEC 1 1 0 0 -.names N_40_i.BLIF E +.names N_302_i.BLIF E 1 1 0 0 .names inst_VMA_INTreg.BLIF VMA @@ -1656,7 +1559,7 @@ size_dma_0_1__un0_n .names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_370.BLIF AMIGA_BUS_ENABLE_HIGH +.names N_191.BLIF AMIGA_BUS_ENABLE_HIGH 1 1 0 0 .names un10_ciin.BLIF CIIN @@ -1668,6 +1571,12 @@ size_dma_0_1__un0_n .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF SM_AMIGA_1_.C 1 1 0 0 @@ -1704,10 +1613,13 @@ size_dma_0_1__un0_n .names CLK_OSZI_c.BLIF SM_AMIGA_4_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +.names CLK_000_D_1_.BLIF CLK_000_D_2_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +.names CLK_OSZI_c.BLIF CLK_000_D_2_.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C 1 1 0 0 .names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C @@ -1752,19 +1664,19 @@ size_dma_0_1__un0_n .names CLK_OSZI_c.BLIF CLK_000_D_1_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C +.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_DS_000_DMA.C @@ -1809,21 +1721,18 @@ size_dma_0_1__un0_n .names CLK_OSZI_c.BLIF inst_A0_DMA.C 1 1 0 0 +.names CLK_OSZI_c.BLIF inst_AS_000_INT.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_VMA_INTreg.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_RW_000_DMA.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -1896,48 +1805,6 @@ size_dma_0_1__un0_n .names inst_A0_DMA.BLIF A_0_ 1 1 0 0 -.names A_DECODE_15_.BLIF a_decode_15__n -1 1 -0 0 -.names A_DECODE_14_.BLIF a_decode_14__n -1 1 -0 0 -.names A_DECODE_13_.BLIF a_decode_13__n -1 1 -0 0 -.names A_DECODE_12_.BLIF a_decode_12__n -1 1 -0 0 -.names A_DECODE_11_.BLIF a_decode_11__n -1 1 -0 0 -.names A_DECODE_10_.BLIF a_decode_10__n -1 1 -0 0 -.names A_DECODE_9_.BLIF a_decode_9__n -1 1 -0 0 -.names A_DECODE_8_.BLIF a_decode_8__n -1 1 -0 0 -.names A_DECODE_7_.BLIF a_decode_7__n -1 1 -0 0 -.names A_DECODE_6_.BLIF a_decode_6__n -1 1 -0 0 -.names A_DECODE_5_.BLIF a_decode_5__n -1 1 -0 0 -.names A_DECODE_4_.BLIF a_decode_4__n -1 1 -0 0 -.names A_DECODE_3_.BLIF a_decode_3__n -1 1 -0 0 -.names A_DECODE_2_.BLIF a_decode_2__n -1 1 -0 0 .names AS_030.PIN.BLIF AS_030_c 1 1 0 0 @@ -2061,61 +1928,103 @@ size_dma_0_1__un0_n .names FC_1_.BLIF fc_c_1__n 1 1 0 0 -.names N_108.BLIF AS_030.OE +.names A_DECODE_15_.BLIF a_decode_15__n 1 1 0 0 -.names N_368_i.BLIF AS_000.OE +.names A_DECODE_14_.BLIF a_decode_14__n 1 1 0 0 -.names N_368_i.BLIF RW_000.OE +.names A_DECODE_13_.BLIF a_decode_13__n 1 1 0 0 -.names N_368_i.BLIF UDS_000.OE +.names A_DECODE_12_.BLIF a_decode_12__n 1 1 0 0 -.names N_368_i.BLIF LDS_000.OE +.names A_DECODE_11_.BLIF a_decode_11__n 1 1 0 0 -.names N_367_i.BLIF SIZE_0_.OE +.names A_DECODE_10_.BLIF a_decode_10__n 1 1 0 0 -.names N_367_i.BLIF SIZE_1_.OE +.names A_DECODE_9_.BLIF a_decode_9__n 1 1 0 0 -.names N_108.BLIF AHIGH_24_.OE +.names A_DECODE_8_.BLIF a_decode_8__n 1 1 0 0 -.names N_108.BLIF AHIGH_25_.OE +.names A_DECODE_7_.BLIF a_decode_7__n 1 1 0 0 -.names N_108.BLIF AHIGH_26_.OE +.names A_DECODE_6_.BLIF a_decode_6__n 1 1 0 0 -.names N_108.BLIF AHIGH_27_.OE +.names A_DECODE_5_.BLIF a_decode_5__n 1 1 0 0 -.names N_108.BLIF AHIGH_28_.OE +.names A_DECODE_4_.BLIF a_decode_4__n 1 1 0 0 -.names N_108.BLIF AHIGH_29_.OE +.names A_DECODE_3_.BLIF a_decode_3__n 1 1 0 0 -.names N_108.BLIF AHIGH_30_.OE +.names A_DECODE_2_.BLIF a_decode_2__n 1 1 0 0 -.names N_108.BLIF AHIGH_31_.OE +.names N_283.BLIF AS_030.OE 1 1 0 0 -.names N_108.BLIF A_0_.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 0 0 -.names un22_berr.BLIF BERR.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 0 0 -.names N_110.BLIF RW.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 0 0 -.names N_108.BLIF DS_030.OE +.names un1_as_000_i.BLIF LDS_000.OE +1 1 +0 0 +.names N_48_i.BLIF SIZE_0_.OE +1 1 +0 0 +.names N_48_i.BLIF SIZE_1_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_24_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_25_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_26_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_27_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_28_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_29_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_30_.OE +1 1 +0 0 +.names N_283.BLIF AHIGH_31_.OE +1 1 +0 0 +.names N_283.BLIF A_0_.OE +1 1 +0 0 +.names un21_berr.BLIF BERR.OE +1 1 +0 0 +.names N_294.BLIF RW.OE +1 1 +0 0 +.names N_283.BLIF DS_030.OE 1 1 0 0 .names nEXP_SPACE_c.BLIF DSACK1.OE @@ -2124,7 +2033,7 @@ size_dma_0_1__un0_n .names RESET_OUT_i.BLIF RESET.OE 1 1 0 0 -.names N_127.BLIF CIIN.OE +.names N_241.BLIF CIIN.OE 1 1 0 0 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_25.BLIF CLK_OUT_PRE_25_0 @@ -2132,17 +2041,22 @@ size_dma_0_1__un0_n 10 1 11 0 00 0 -.names IPL_D0_0_.BLIF ipl_c_0__n.BLIF G_119 +.names IPL_D0_0_.BLIF ipl_c_0__n.BLIF G_107 01 1 10 1 11 0 00 0 -.names IPL_D0_1_.BLIF ipl_c_1__n.BLIF G_120 +.names IPL_D0_1_.BLIF ipl_c_1__n.BLIF G_108 01 1 10 1 11 0 00 0 -.names IPL_D0_2_.BLIF ipl_c_2__n.BLIF G_121 +.names IPL_D0_2_.BLIF ipl_c_2__n.BLIF G_109 +01 1 +10 1 +11 0 +00 0 +.names CYCLE_DMA_1_.BLIF N_208.BLIF pos_clk_CYCLE_DMA_5_1_i_0_x2 01 1 10 1 11 0 @@ -2153,9 +2067,4 @@ pos_clk_un21_bgack_030_int_i_0_o2_2_x2 10 1 11 0 00 0 -.names CYCLE_DMA_1_.BLIF N_106.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 -01 1 -10 1 -11 0 -00 0 .end diff --git a/Logic/BUS68030.cmd b/Logic/BUS68030.cmd new file mode 100644 index 0000000..0563cbc --- /dev/null +++ b/Logic/BUS68030.cmd @@ -0,0 +1,8 @@ +STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: "c:/users/matze/amiga/hardwarehacks/68030-tk/github/logic" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC diff --git a/Logic/BUS68030.edi b/Logic/BUS68030.edi index 6096463..6b7c176 100644 --- a/Logic/BUS68030.edi +++ b/Logic/BUS68030.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2016 8 25 22 27 48) + (timeStamp 2016 9 14 23 54 22) (author "Synopsys, Inc.") (program "Synplify Pro" (version "I-2014.03LC , mapper maplat, Build 923R")) ) @@ -140,6 +140,10 @@ (port CIIN (direction OUTPUT)) ) (contents + (instance (rename SM_AMIGA_3 "SM_AMIGA[3]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename SM_AMIGA_2 "SM_AMIGA[2]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance (rename SM_AMIGA_1 "SM_AMIGA[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename SM_AMIGA_0 "SM_AMIGA[0]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -164,9 +168,9 @@ ) (instance (rename SM_AMIGA_4 "SM_AMIGA[4]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename SM_AMIGA_3 "SM_AMIGA[3]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_D_2 "CLK_000_D[2]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename SM_AMIGA_2 "SM_AMIGA[2]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CYCLE_DMA_0 "CYCLE_DMA[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename CYCLE_DMA_1 "CYCLE_DMA[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -192,15 +196,15 @@ ) (instance (rename CLK_000_D_1 "CLK_000_D[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CYCLE_DMA_0 "CYCLE_DMA[0]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance AS_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) + (instance RW_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance AS_030_000_SYNC (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance AS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) + (instance LDS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance DSACK1_INT (viewRef prim (cellRef DFF (libraryRef mach))) + (instance BGACK_030_INT (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance AS_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance DS_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -228,16 +232,14 @@ ) (instance A0_DMA (viewRef prim (cellRef DFF (libraryRef mach))) ) + (instance AS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance DSACK1_INT (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance VMA_INT (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance RW_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance RW_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance LDS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance BGACK_030_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance BGACK_030_INT_D (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance CLK_OUT_PRE_D (viewRef prim (cellRef DFF (libraryRef mach))) @@ -321,448 +323,284 @@ (instance AMIGA_BUS_ENABLE_LOW (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_HIGH (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance CIIN (viewRef prim (cellRef BUFTH (libraryRef mach))) ) - (instance G_122 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030_0_a2_0_a3_1 "pos_clk.un6_bg_030_0_a2_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un6_bg_030_0_a2_0_a3 "pos_clk.un6_bg_030_0_a2_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_a3_1_1_1 "cpu_est_2_0_0_a3_1_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_a3_1_1 "cpu_est_2_0_0_a3_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_a3_1_6 "SM_AMIGA_srsts_i_i_0_a3_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_a3_6 "SM_AMIGA_srsts_i_i_0_a3[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a3_1_5 "SM_AMIGA_srsts_0_0_0_a3_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a3_5 "SM_AMIGA_srsts_0_0_0_a3[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_a3_0_1_1 "cpu_est_2_0_0_a3_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_a3_0_1 "cpu_est_2_0_0_a3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_i_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_i_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RST_DLY_e1_i_0_a3_1_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RST_DLY_e1_i_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RST_DLY_e2_i_0_a3_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RST_DLY_e2_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a3_1_1_0 "SM_AMIGA_nss_i_0_0_0_a3_1_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a3_1_0 "SM_AMIGA_nss_i_0_0_0_a3_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_122_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a3_2_2_0 "SM_AMIGA_nss_i_0_0_0_a3_2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a3_2_3_0 "SM_AMIGA_nss_i_0_0_0_a3_2_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a3_2_0 "SM_AMIGA_nss_i_0_0_0_a3_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_a3_0_1_6 "SM_AMIGA_srsts_i_i_0_a3_0_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_a3_0_6 "SM_AMIGA_srsts_i_i_0_a3_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_1 "pos_clk.CYCLE_DMA_5_1_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i "pos_clk.CYCLE_DMA_5_1_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RW_000_INT_5_0_0_1 "pos_clk.RW_000_INT_5_0_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RW_000_INT_5_0_0 "pos_clk.RW_000_INT_5_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_110_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_110 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RST_DLY_e0_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RST_DLY_e0_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1 "pos_clk.un37_as_030_d0_i_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i_a3_1 "pos_clk.un37_as_030_d0_i_i_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i_a3_2 "pos_clk.un37_as_030_d0_i_i_a3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i_a3 "pos_clk.un37_as_030_d0_i_i_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_fpu_cs_0_a2_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_fpu_cs_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2_0_a3_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a3_2_1_0_0 "SM_AMIGA_nss_i_0_0_0_a3_2_1_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_0_a3_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0_0_a3_1 "pos_clk.un9_clk_000_pe_0_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0_0_a3_2 "pos_clk.un9_clk_000_pe_0_0_a3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_2_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_2_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i_0_1 "pos_clk.CYCLE_DMA_5_1_i_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i_0 "pos_clk.CYCLE_DMA_5_1_i_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_1_0 "SM_AMIGA_nss_i_i_0_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_0 "SM_AMIGA_nss_i_i_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_4 "SM_AMIGA_srsts_i_0_0_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_4 "SM_AMIGA_srsts_i_0_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_3 "SM_AMIGA_srsts_i_0_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_3 "SM_AMIGA_srsts_i_0_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_0 "SM_AMIGA_srsts_i_0_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_0 "SM_AMIGA_srsts_i_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bg_030_0_a2_0_a3_1 "pos_clk.un6_bg_030_0_a2_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un9_clk_000_pe_0_0_a3 "pos_clk.un9_clk_000_pe_0_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un9_clk_000_pe_0_0_a3_0_1 "pos_clk.un9_clk_000_pe_0_0_a3_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un9_clk_000_pe_0_0_a3_0_2 "pos_clk.un9_clk_000_pe_0_0_a3_0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un9_clk_000_pe_0_0_a3_0 "pos_clk.un9_clk_000_pe_0_0_a3_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a2_4_1_0 "SM_AMIGA_nss_i_0_0_0_a2_4_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a2_4_2_0 "SM_AMIGA_nss_i_0_0_0_a2_4_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a2_4_0 "SM_AMIGA_nss_i_0_0_0_a2_4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_1 "pos_clk.un37_as_030_d0_i_a2_1_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_2 "pos_clk.un37_as_030_d0_i_a2_1_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_3 "pos_clk.un37_as_030_d0_i_a2_1_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_4 "pos_clk.un37_as_030_d0_i_a2_1_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3_9 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3_10 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3_11 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_o3_1 "pos_clk.un21_bgack_030_int_i_0_o2_2_o3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_o3_2 "pos_clk.un21_bgack_030_int_i_0_o2_2_o3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_o3 "pos_clk.un21_bgack_030_int_i_0_o2_2_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_1_3 "SM_AMIGA_srsts_i_0_0_a2_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_2_3 "SM_AMIGA_srsts_i_0_0_a2_2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_3 "SM_AMIGA_srsts_i_0_0_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_6 "SM_AMIGA_srsts_i_0_0_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_6 "SM_AMIGA_srsts_i_0_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_2 "SM_AMIGA_srsts_i_0_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_2 "SM_AMIGA_srsts_i_0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_1 "SM_AMIGA_srsts_i_0_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1 "SM_AMIGA_srsts_i_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_berr_0_a2_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_o2_0_1_0 "SM_AMIGA_nss_i_i_0_0_o2_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_o2_0_0 "SM_AMIGA_nss_i_i_0_0_o2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_fpu_cs_0_a2_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_fpu_cs_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_berr_0_a2_0_a3_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_berr_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_0_a3_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_1 "pos_clk.CYCLE_DMA_5_0_i_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_2 "pos_clk.CYCLE_DMA_5_0_i_0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_CYCLE_DMA_5_0_i_0 "pos_clk.CYCLE_DMA_5_0_i_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_8_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_a3_1 "pos_clk.un9_clk_000_pe_0_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_a3_2 "pos_clk.un9_clk_000_pe_0_0_a3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3_9 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3_10 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3_11 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_berr_0_a2_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_berr_0_a2_0_a2_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_berr_0_a2_0_a2_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_berr_0_a2_0_a2_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RW_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_22_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance A0_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_o3_1 "pos_clk.un21_bgack_030_int_i_0_o2_2_o3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_o3_2 "pos_clk.un21_bgack_030_int_i_0_o2_2_o3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_o3 "pos_clk.un21_bgack_030_int_i_0_o2_2_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un10_sm_amiga_1 "pos_clk.un10_sm_amiga_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un10_sm_amiga "pos_clk.un10_sm_amiga") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_1_0 "SM_AMIGA_nss_i_0_0_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_2_0 "SM_AMIGA_nss_i_0_0_0_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_3_0 "SM_AMIGA_nss_i_0_0_0_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_4_0 "SM_AMIGA_nss_i_0_0_0_4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_5_0 "SM_AMIGA_nss_i_0_0_0_5[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_0 "SM_AMIGA_nss_i_0_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a3_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_29_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_2 "IPL_030_1_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_28_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_1 "IPL_030_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_27_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_0 "IPL_030_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_c_i_2 "IPL_c_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_2 "IPL_D0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_c_i_1 "IPL_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_1 "IPL_D0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_c_i_0 "IPL_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_0 "IPL_D0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DTACK_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DTACK_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_3_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_227_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_225_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0_0_i "pos_clk.DS_000_DMA_4_f0_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_224_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_i_1 "pos_clk.SIZE_DMA_6_0_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_223_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_218_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_i_1 "pos_clk.SIZE_DMA_6_0_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_217_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_SIZE_DMA_6_0_0_0_i_0 "pos_clk.SIZE_DMA_6_0_0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_222_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_201_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_202_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_199_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_200_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_i_5 "SM_AMIGA_srsts_0_0_0_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_189_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_190_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_2_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_213_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_319_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un35_as_030_d0_0_i_i "pos_clk.un35_as_030_d0_0_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_15_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_030_000_SYNC_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_16_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RW_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_RW_000_INT_5_i_a2_i_i "pos_clk.RW_000_INT_5_i_a2_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_284_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_334_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_278_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_279_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_332_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_0_sqmuxa_1_0_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_247_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_248_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_246_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un10_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un13_ciin_i_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_DS_000_ENABLE_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_297_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_i_o2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_o3_i "pos_clk.un21_bgack_030_int_i_0_o2_2_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_o3_i "pos_clk.CYCLE_DMA_5_0_i_0_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_2_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_6 "SM_AMIGA_srsts_i_0_0_o2_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_o2_i_0 "SM_AMIGA_nss_i_i_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un35_as_030_d0_0_i_o2_i "pos_clk.un35_as_030_d0_0_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_228_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance LDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance UDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_SIZE_DMA_6_0_0_0_o2_i_0 "pos_clk.SIZE_DMA_6_0_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_o3_i "pos_clk.un21_bgack_030_int_i_0_o2_2_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_237_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_310_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_227_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_226_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_RW_000_INT_5_0_0_i "pos_clk.RW_000_INT_5_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_i_1 "cpu_est_2_0_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_258_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_212_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_i_2 "cpu_est_2_0_0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_216_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_215_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un5_e_0_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_o2_i_2 "cpu_est_2_0_0_0_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_392_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_393_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_o2_2_i_0 "SM_AMIGA_nss_i_0_0_0_o2_2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un5_e_0_i_o2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_106_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_186_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_c_i_0 "A_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_259_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un6_bgack_000_0_0_i "pos_clk.un6_bgack_000_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un14_amiga_bus_data_dir_i_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_21_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VMA_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_188_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_187_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RESET_OUT_2_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_209_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_208_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0_0_i "pos_clk.un9_clk_000_pe_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_210_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_211_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_25_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_24_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_23_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_22_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance A0_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_19_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_000_DMA_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_17_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance LDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_10_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BGACK_030_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un3_as_030_d0_0_o2_0_o3_i "pos_clk.un3_as_030_d0_0_o2_0_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un10_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un13_ciin_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_030_D0_0_i_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_3_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_218_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i_i "pos_clk.un37_as_030_d0_i_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RW_000_DMA_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_4_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_3_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_215_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_216_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RESET_OUT_2_i_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un14_amiga_bus_data_dir_i_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_266_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_249_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_268_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0_0_0_i "pos_clk.DS_000_DMA_4_f0_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DTACK_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance VPA_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance VPA_D_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_7_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_000_SYNC_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_o2_i_3 "SM_AMIGA_srsts_0_0_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_o2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_238_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_NE_0_o7_i_a2_0_o2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_213_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_214_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_i_3 "cpu_est_2_0_0_0_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_206_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_205_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_6_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance BGACK_030_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_26_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance BG_000_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance BG_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_un6_bg_030_i "pos_clk.un6_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_un9_bg_030_i "pos_clk.un9_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_o2_0_i_0 "SM_AMIGA_nss_i_0_0_0_o2_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_243_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_o2_1_i_0 "SM_AMIGA_nss_i_0_0_0_o2_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_176_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_175_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_252_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_239_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_178_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_i_0 "SM_AMIGA_nss_i_0_0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_181_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_180_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_179_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_185_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_183_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_184_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_INT_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_236_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_391_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_o2_i_0 "SM_AMIGA_nss_i_0_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_241_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_240_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_o3_i_0 "SM_AMIGA_nss_i_0_0_0_o3_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_242_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_2_0_1_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_193_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_192_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_i_1 "SM_AMIGA_srsts_0_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_177_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_194_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_i_2 "SM_AMIGA_srsts_0_0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_195_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_196_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_i_3 "SM_AMIGA_srsts_0_0_0_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_198_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_197_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_i_4 "SM_AMIGA_srsts_0_0_0_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_204_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_25_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_HIGH_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_24_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_LOW_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_17_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un5_e_0_i_o2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_4 "SM_AMIGA_srsts_i_0_0_o2_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_203_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_i_6 "SM_AMIGA_srsts_i_i_0_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_18_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_5_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_204_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_205_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_200_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_199_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_201_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_208_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_207_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_0_sqmuxa_1_0_o3_i_a2_0_o2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_258_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_349_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un6_bgack_000_0_0_i "pos_clk.un6_bgack_000_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DTACK_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_o2_i_2 "cpu_est_2_0_0_0_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_0_o2_i_3 "cpu_est_2_i_0_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_299_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_2_i_3 "SM_AMIGA_srsts_i_0_0_o2_2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_i_o2_i_0 "SM_AMIGA_srsts_i_0_o2_i_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_341_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_342_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_1_i_3 "SM_AMIGA_srsts_i_0_0_o2_1_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_3 "SM_AMIGA_srsts_i_0_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un5_e_0_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_2 "SM_AMIGA_srsts_i_0_0_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_0_i_3 "SM_AMIGA_srsts_i_0_0_o2_0_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_i_1 "cpu_est_2_0_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_221_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_348_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_i_2 "cpu_est_2_0_0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_222_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_226_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_231_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_229_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_233_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_232_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_INT_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_343_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_000_NE_0_o3_i_a2_0_o2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_298_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_D0_0_i_2 "IPL_D0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_27_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_1_i_0 "IPL_030_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_28_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_1_i_1 "IPL_030_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_29_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_1_i_2 "IPL_030_1_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_c_i_0 "A_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DSACK1_INT_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_318_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_314_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_i "pos_clk.un9_clk_000_pe_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_219_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_220_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_23_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_21_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_4_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_20_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance DSACK1_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_191_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_2_0_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_91_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_r "DSACK1_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_m "DSACK1_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_n "DSACK1_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_p "DSACK1_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance I_228 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un4_as_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_amiga_bus_enable_low_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un21_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a3_4 "SM_AMIGA_srsts_0_0_0_a3[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a3_0_3 "SM_AMIGA_srsts_0_0_0_a3_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a3_3 "SM_AMIGA_srsts_0_0_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a3_2 "SM_AMIGA_srsts_0_0_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a3_0_1 "SM_AMIGA_srsts_0_0_0_a3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a3_1 "SM_AMIGA_srsts_0_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_2_0_1_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a3_0_0 "SM_AMIGA_nss_i_0_0_0_a3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a3_0 "SM_AMIGA_nss_i_0_0_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RW_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_r "RW_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_90_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_INT_0_r "AS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_INT_0_m "AS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_INT_0_n "AS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_INT_0_p "AS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_2 "SM_AMIGA_srsts_0_0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_1 "SM_AMIGA_srsts_0_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_2_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a2_2_0 "SM_AMIGA_nss_i_0_0_0_a2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a2_2 "SM_AMIGA_srsts_0_0_0_a2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a2_1_0 "SM_AMIGA_nss_i_0_0_0_a2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a2_0_0 "SM_AMIGA_nss_i_0_0_0_a2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a2_0 "SM_AMIGA_nss_i_0_0_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a2_5 "SM_AMIGA_srsts_0_0_0_a2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_1_sqmuxa_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a3_0_4 "SM_AMIGA_srsts_0_0_0_a3_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a3_2_1_0 "SM_AMIGA_nss_i_0_0_0_a3_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_o2_1_0 "SM_AMIGA_nss_i_0_0_0_o2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_o2_0_0 "SM_AMIGA_nss_i_0_0_0_o2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_2_0_1_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_o2_3_0 "SM_AMIGA_nss_i_0_0_0_o2_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_o3_0 "SM_AMIGA_nss_i_0_0_0_o3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_o2_0 "SM_AMIGA_nss_i_0_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_0_6 "SM_AMIGA_srsts_i_i_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_4 "SM_AMIGA_srsts_0_0_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_3 "SM_AMIGA_srsts_0_0_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_249_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a2_3_0 "SM_AMIGA_nss_i_0_0_0_a2_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_1_sqmuxa_i_0_129_1_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_1_sqmuxa_i_0_129_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_0_0_0 "cpu_est_0_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_3 "cpu_est_2_0_0_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename CLK_000_D_i_1 "CLK_000_D_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_NE_0_o7_i_a2_0_o2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RST_DLY_i_0 "RST_DLY_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RST_DLY_i_1 "RST_DLY_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_o2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_o2_3 "SM_AMIGA_srsts_0_0_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance BG_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__r "cpu_est_0_3_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_0_3__m "cpu_est_0_3_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__n "cpu_est_0_3_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__p "cpu_est_0_3_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RST_DLY_i_2 "RST_DLY_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_0_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e0_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e0_i_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_0_0_a3_0 "cpu_est_0_0_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_0_0_a3_0_0 "cpu_est_0_0_0_a3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_a3_3 "cpu_est_2_0_0_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VPA_D_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_DECODE_i_18 "A_DECODE_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_DECODE_i_19 "A_DECODE_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_DECODE_i_16 "A_DECODE_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i "pos_clk.un37_as_030_d0_i_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_as_030_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_D0_0_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un13_ciin_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un3_as_030_d0_0_o2_0_o3 "pos_clk.un3_as_030_d0_0_o2_0_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__r "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__m "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__n "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__p "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_122_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance FPU_SENSE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un22_berr_0_a2_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_bg_030 "pos_clk.un9_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_r "AMIGA_BUS_ENABLE_DMA_LOW_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_m "AMIGA_BUS_ENABLE_DMA_LOW_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_n "AMIGA_BUS_ENABLE_DMA_LOW_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_p "AMIGA_BUS_ENABLE_DMA_LOW_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance N_19_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VMA_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_14_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_c_i_0 "IPL_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_D0_0_i_0 "IPL_D0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_c_i_1 "IPL_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_D0_0_i_1 "IPL_D0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_c_i_2 "IPL_c_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_LDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_UDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_r "UDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_p "UDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_r "A0_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A0_DMA_0_m "A0_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_n "A0_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_p "A0_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_p "RW_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename AS_000_INT_0_r "AS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_000_INT_0_m "AS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_INT_0_n "AS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_INT_0_p "AS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_r "DSACK1_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_m "DSACK1_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_n "DSACK1_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_p "DSACK1_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_r "LDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_amiga_bus_enable_low (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_p "DS_000_ENABLE_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_r "AS_030_000_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_m "AS_030_000_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_n "AS_030_000_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_030_000_SYNC_0_p "AS_030_000_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_UDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_LDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_A0_DMA_3_0_a3 "pos_clk.A0_DMA_3_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3 "pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_i_1 "A_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3 "pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BGACK_030_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance LDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RW_000_DMA_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance A0_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance UDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_102_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_r "AMIGA_BUS_ENABLE_DMA_HIGH_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_m "AMIGA_BUS_ENABLE_DMA_HIGH_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_n "AMIGA_BUS_ENABLE_DMA_HIGH_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_p "AMIGA_BUS_ENABLE_DMA_HIGH_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_101_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un5_e_0_i_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_a3_0_3 "cpu_est_2_0_0_a3_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_a3_2 "cpu_est_2_0_0_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_a3_1 "cpu_est_2_0_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_0_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_amiga_bus_enable_low_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un21_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_1__r "IPL_030_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_1__m "IPL_030_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__n "IPL_030_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__p "IPL_030_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename IPL_030_0_0__r "IPL_030_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_0__m "IPL_030_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_0__n "IPL_030_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_0__p "IPL_030_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__r "cpu_est_0_3_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_3__m "cpu_est_0_3_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__n "cpu_est_0_3_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__p "cpu_est_0_3_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename cpu_est_0_2__r "cpu_est_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename cpu_est_0_2__m "cpu_est_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_2__n "cpu_est_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -771,92 +609,226 @@ (instance (rename cpu_est_0_1__m "cpu_est_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_1__n "cpu_est_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_1__p "cpu_est_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename IPL_030_1_2 "IPL_030_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_1_1 "IPL_030_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_1_0 "IPL_030_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_D0_0_2 "IPL_D0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_D0_0_1 "IPL_D0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_D0_0_0 "IPL_D0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance LDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance VMA_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance un14_amiga_bus_data_dir_i_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bgack_000_0_0 "pos_clk.un6_bgack_000_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bgack_000_0_0_a2 "pos_clk.un6_bgack_000_0_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_o2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_o2_2_0 "SM_AMIGA_nss_i_0_0_0_o2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_0_o2_2 "cpu_est_2_0_0_0_o2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0_0_o2 "pos_clk.un9_clk_000_pe_0_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un5_e_0_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_0_a3_3 "cpu_est_2_i_0_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_a3_2 "cpu_est_2_0_0_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_a3_1 "cpu_est_2_0_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RST_DLY_i_1 "RST_DLY_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RST_DLY_i_2 "RST_DLY_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_109 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_108 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_107 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__r "IPL_030_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance RST_DLY_e0_i_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e0_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_0_0_a3_0_0 "cpu_est_0_0_0_a3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_0_0_a3_0 "cpu_est_0_0_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_0_i_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_0_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a3_5 "SM_AMIGA_srsts_i_0_0_a3[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a3_4 "SM_AMIGA_srsts_i_0_0_a3[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a3_3 "SM_AMIGA_srsts_i_0_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a3_0 "SM_AMIGA_srsts_i_0_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_2_0_0_0_2 "cpu_est_2_0_0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_2_0_0_0_1 "cpu_est_2_0_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un9_clk_000_pe_0_0 "pos_clk.un9_clk_000_pe_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_2_0_0_0_a2_2 "cpu_est_2_0_0_0_a2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DTACK_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_0_0_0_a2_5_0 "SM_AMIGA_nss_i_0_0_0_a2_5[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_1_sqmuxa_i_0_117_1_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_0_3 "SM_AMIGA_srsts_i_0_0_a2_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_1 "pos_clk.SIZE_DMA_6_0_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0_0 "pos_clk.DS_000_DMA_4_f0_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_as_000_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a3_2 "SM_AMIGA_srsts_i_0_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a3_0_1 "SM_AMIGA_srsts_i_0_0_a3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RST_DLY_i_0 "RST_DLY_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_o2 "pos_clk.un9_clk_000_pe_0_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_0_o2_3 "cpu_est_2_i_0_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_o2_2 "cpu_est_2_0_0_0_o2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename CLK_000_D_i_1 "CLK_000_D_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_000_NE_0_o3_i_a2_0_o2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLYlde_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_1_sqmuxa_i_0_117_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_0_0_0 "cpu_est_0_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_0_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_5 "SM_AMIGA_srsts_i_0_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_0_3 "cpu_est_2_i_0_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename CLK_000_D_i_0 "CLK_000_D_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_310_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_x2 "pos_clk.un21_bgack_030_int_i_0_o2_2_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_o2_0 "pos_clk.SIZE_DMA_6_0_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_o3 "pos_clk.CYCLE_DMA_5_0_i_0_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_x2 "pos_clk.CYCLE_DMA_5_1_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance I_231 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance nEXP_SPACE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_258_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_0_sqmuxa_1_0_o3_i_a2_0_o2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i_0_x2 "pos_clk.CYCLE_DMA_5_1_i_0_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_4 "SM_AMIGA_srsts_i_0_0_o2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un5_e_0_i_o2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_a3_0 "pos_clk.SIZE_DMA_6_0_0_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_a3_1 "pos_clk.SIZE_DMA_6_0_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_232 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0_0_a3 "pos_clk.DS_000_DMA_4_f0_0_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RW_000_INT_5_0_0_a3 "pos_clk.RW_000_INT_5_0_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un3_as_030_i_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_as_030_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_a2 "pos_clk.un21_bgack_030_int_i_0_o2_2_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a2_1 "SM_AMIGA_srsts_0_0_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_rw_i_a2_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_5 "SM_AMIGA_srsts_0_0_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0_0 "pos_clk.SIZE_DMA_6_0_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_OUT_PRE_25_0 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_119 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_120 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_121 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un4_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un3_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_0_3 "SM_AMIGA_srsts_i_0_0_o2_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_2 "SM_AMIGA_srsts_i_0_0_o2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_0_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_3 "SM_AMIGA_srsts_i_0_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_1_3 "SM_AMIGA_srsts_i_0_0_o2_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o2_i_o2_0 "SM_AMIGA_srsts_i_0_o2_i_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_2_3 "SM_AMIGA_srsts_i_0_0_o2_2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DTACK_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_102 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename CYCLE_DMA_i_0 "CYCLE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_a3 "pos_clk.CYCLE_DMA_5_0_i_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_0_0_0_a3_0_5 "SM_AMIGA_srsts_0_0_0_a3_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_a3_0_0 "SM_AMIGA_nss_i_i_0_0_a3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance nEXP_SPACE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un3_as_030_i_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a3_1 "SM_AMIGA_srsts_i_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_220 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_as_030_0_a2_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_rw_i_a2_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bgack_000_0_0_a2 "pos_clk.un6_bgack_000_0_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bgack_000_0_0 "pos_clk.un6_bgack_000_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_130_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_r "AMIGA_BUS_ENABLE_DMA_LOW_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_m "AMIGA_BUS_ENABLE_DMA_LOW_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_n "AMIGA_BUS_ENABLE_DMA_LOW_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_p "AMIGA_BUS_ENABLE_DMA_LOW_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_p "RW_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_LOW_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_amiga_bus_enable_low (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_bg_030 "pos_clk.un9_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_ds_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance BG_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance BGACK_030_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_D_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3 "pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0_0_0_a3 "pos_clk.DS_000_DMA_4_f0_0_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_221 (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AMIGA_BUS_DATA_DIR_0_0_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance CLK_030_H_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance CLK_030_H_2_i_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RW_000_DMA_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_LOW_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_HIGH_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_131_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_r "AMIGA_BUS_ENABLE_DMA_HIGH_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_m "AMIGA_BUS_ENABLE_DMA_HIGH_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_n "AMIGA_BUS_ENABLE_DMA_HIGH_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_p "AMIGA_BUS_ENABLE_DMA_HIGH_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance un21_berr_0_a2_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_2_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_o3 "pos_clk.CYCLE_DMA_5_0_i_0_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_i_o2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_x2 "pos_clk.un21_bgack_030_int_i_0_o2_2_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance RESET_OUT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_as_000_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0_0_0 "pos_clk.DS_000_DMA_4_f0_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un14_amiga_bus_data_dir_i_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_2_a2 "pos_clk.un21_bgack_030_int_i_0_o2_2_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3 "pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_1 "A_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_0_sqmuxa_1_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RW_000_INT_5_i_a2_i "pos_clk.RW_000_INT_5_i_a2_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_D0_0_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un35_as_030_d0_0_i_o2_0 "pos_clk.un35_as_030_d0_0_i_o2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_o2_0 "pos_clk.SIZE_DMA_6_0_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_0_sqmuxa_1_0_1_o3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un35_as_030_d0_0_i_o2 "pos_clk.un35_as_030_d0_0_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_o2_0 "SM_AMIGA_nss_i_i_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_6 "SM_AMIGA_srsts_i_0_0_o2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__r "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__m "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__n "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__p "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance FPU_SENSE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_222 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un3_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_i_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un35_as_030_d0_0_i_a3 "pos_clk.un35_as_030_d0_0_i_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un35_as_030_d0_0_i_a3_0 "pos_clk.un35_as_030_d0_0_i_a3_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_a3_0 "pos_clk.SIZE_DMA_6_0_0_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_a3_1 "pos_clk.SIZE_DMA_6_0_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_a3_0 "SM_AMIGA_nss_i_i_0_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a3_6 "SM_AMIGA_srsts_i_0_0_a3[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_A0_DMA_3_0_a2_0_a3 "pos_clk.A0_DMA_3_0_a2_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_DECODE_i_16 "A_DECODE_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un35_as_030_d0_0_i "pos_clk.un35_as_030_d0_0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_0 "pos_clk.SIZE_DMA_6_0_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_1 "pos_clk.SIZE_DMA_6_0_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_as_030_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_212_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_229 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_230 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_227 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_228 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_225 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_226 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_223 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_224 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_DECODE_i_18 "A_DECODE_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_DECODE_i_19 "A_DECODE_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_OUT_PRE_25_0 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un4_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance CLK_OUT_PRE_50_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance G_114 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_244_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_245_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_246_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_239 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_240 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_237 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_238 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_235 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_236 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_233 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_234 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_0 "IPL_030_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_1_1 "IPL_030_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_1_2 "IPL_030_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_210_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_211_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SIZE_DMA_0_0__r "SIZE_DMA_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SIZE_DMA_0_0__m "SIZE_DMA_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SIZE_DMA_0_0__n "SIZE_DMA_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -865,46 +837,40 @@ (instance (rename SIZE_DMA_0_1__m "SIZE_DMA_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SIZE_DMA_0_1__n "SIZE_DMA_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SIZE_DMA_0_1__p "SIZE_DMA_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__r "IPL_030_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_0__m "IPL_030_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__n "IPL_030_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__p "IPL_030_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__r "IPL_030_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_1__m "IPL_030_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__n "IPL_030_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__p "IPL_030_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__r "IPL_030_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance un6_as_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un4_as_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un4_as_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_ds_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un6_ds_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance AS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DTACK_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_0 "IPL_D0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_1 "IPL_D0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_2 "IPL_D0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_p "DS_000_ENABLE_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_r "AS_030_000_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_m "AS_030_000_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_n "AS_030_000_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_030_000_SYNC_0_p "AS_030_000_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename RW_000_INT_0_r "RW_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_r "A0_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A0_DMA_0_m "A0_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_n "A0_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_p "A0_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance A0_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RW_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (net BGACK_030_INT (joined (portRef Q (instanceRef BGACK_030_INT)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3)) - (portRef I0 (instanceRef un1_as_000_i_a2_i)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i_a2)) - (portRef I0 (instanceRef BGACK_030_INT_i)) - (portRef I0 (instanceRef BGACK_030_INT_0_n)) (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__m)) (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__r)) + (portRef I0 (instanceRef un1_as_000_0)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i_o2)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3)) + (portRef I0 (instanceRef BGACK_030_INT_0_n)) + (portRef I0 (instanceRef BGACK_030_INT_i)) (portRef I0 (instanceRef BGACK_030)) )) (net VCC (joined @@ -934,7 +900,7 @@ (portRef I0 (instanceRef un1_amiga_bus_enable_low_i)) )) (net un6_as_030 (joined - (portRef O (instanceRef un6_as_030_0_a3)) + (portRef O (instanceRef un6_as_030_0_a2_0_a3)) (portRef I0 (instanceRef un6_as_030_i)) )) (net un3_size (joined @@ -953,12 +919,22 @@ (portRef O (instanceRef un1_UDS_000_INT_i)) (portRef I0 (instanceRef UDS_000)) )) + (net un1_SM_AMIGA_0_sqmuxa_1 (joined + (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_i)) + (portRef I1 (instanceRef RW_000_INT_0_m)) + (portRef I0 (instanceRef RW_000_INT_0_r)) + )) + (net un1_DS_000_ENABLE_0_sqmuxa (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_a3)) + (portRef I0 (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef un1_DS_000_ENABLE_0_sqmuxa_i)) + )) (net un4_as_000 (joined (portRef O (instanceRef un4_as_000)) (portRef I0 (instanceRef un4_as_000_i)) )) (net un10_ciin (joined - (portRef O (instanceRef un10_ciin_0_a3)) + (portRef O (instanceRef un13_ciin_i_0_0_a3)) (portRef I0 (instanceRef un10_ciin_i)) (portRef I0 (instanceRef CIIN)) )) @@ -966,90 +942,90 @@ (portRef O (instanceRef un21_fpu_cs_0_a2_0_a3)) (portRef I0 (instanceRef un21_fpu_cs_i)) )) - (net un22_berr (joined - (portRef O (instanceRef un22_berr_0_a2_0_a3)) + (net un21_berr (joined + (portRef O (instanceRef un21_berr_0_a2_0_a3)) (portRef OE (instanceRef BERR)) )) (net un6_ds_030 (joined (portRef O (instanceRef un6_ds_030)) (portRef I0 (instanceRef un6_ds_030_i)) )) - (net (rename cpu_est_0 "cpu_est[0]") (joined - (portRef Q (instanceRef cpu_est_0)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_o2_2)) - (portRef I0 (instanceRef cpu_est_i_0)) - (portRef I1 (instanceRef cpu_est_0_0_0_a3_0_0)) - (portRef I0 (instanceRef cpu_est_2_0_0_a3_1_1_1)) - )) - (net (rename cpu_est_1 "cpu_est[1]") (joined - (portRef Q (instanceRef cpu_est_1)) - (portRef I0 (instanceRef un5_e_0_i_o2_0)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_o2_2)) - (portRef I0 (instanceRef cpu_est_i_1)) - (portRef I0 (instanceRef cpu_est_0_1__m)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_a3_1)) - )) (net (rename cpu_est_2 "cpu_est[2]") (joined (portRef Q (instanceRef cpu_est_2)) + (portRef I1 (instanceRef un5_e_0_i_a3_0)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_a3_2)) (portRef I0 (instanceRef cpu_est_i_2)) (portRef I0 (instanceRef cpu_est_0_2__m)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_a3_2)) - (portRef I1 (instanceRef cpu_est_2_0_0_a3_0_3)) - (portRef I1 (instanceRef un5_e_0_i_a3)) )) (net (rename cpu_est_3 "cpu_est[3]") (joined (portRef Q (instanceRef cpu_est_3)) - (portRef I0 (instanceRef un5_e_0_i_o2)) + (portRef I0 (instanceRef un5_e_0_i_o2_0)) + (portRef I0 (instanceRef cpu_est_2_i_0_0_o2_3)) (portRef I0 (instanceRef cpu_est_i_3)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_a3_3)) (portRef I0 (instanceRef cpu_est_0_3__m)) )) + (net (rename cpu_est_0 "cpu_est[0]") (joined + (portRef Q (instanceRef cpu_est_0)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_o2_2)) + (portRef I1 (instanceRef cpu_est_0_0_0_a3_0_0)) + (portRef I0 (instanceRef cpu_est_i_0)) + (portRef I0 (instanceRef cpu_est_2_0_0_a3_0_1_1)) + )) + (net (rename cpu_est_1 "cpu_est[1]") (joined + (portRef Q (instanceRef cpu_est_1)) + (portRef I0 (instanceRef un5_e_0_i_o2)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_o2_2)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_a3_1)) + (portRef I0 (instanceRef cpu_est_0_1__m)) + (portRef I0 (instanceRef cpu_est_i_1)) + )) (net AS_000_INT (joined (portRef Q (instanceRef AS_000_INT)) - (portRef I0 (instanceRef AS_000_INT_0_n)) (portRef I0 (instanceRef AS_000_INT_i)) + (portRef I0 (instanceRef AS_000_INT_0_n)) )) (net AMIGA_BUS_ENABLE_DMA_LOW (joined (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_LOW)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) )) (net AS_030_D0 (joined (portRef Q (instanceRef AS_030_D0)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) (portRef I0 (instanceRef AS_030_D0_i)) (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2_0_a3_1)) )) (net AS_030_000_SYNC (joined (portRef Q (instanceRef AS_030_000_SYNC)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__m)) (portRef I0 (instanceRef AS_030_000_SYNC_i)) )) (net BGACK_030_INT_D (joined (portRef Q (instanceRef BGACK_030_INT_D)) - (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i_a2)) + (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i_o2)) )) (net AS_000_DMA (joined (portRef Q (instanceRef AS_000_DMA)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_0_a3)) (portRef I0 (instanceRef AS_000_DMA_0_n)) (portRef I0 (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_0_a3)) )) (net DS_000_DMA (joined (portRef Q (instanceRef DS_000_DMA)) - (portRef I0 (instanceRef DS_000_DMA_0_n)) (portRef I0 (instanceRef DS_000_DMA_i)) + (portRef I0 (instanceRef DS_000_DMA_0_n)) )) (net (rename CYCLE_DMA_0 "CYCLE_DMA[0]") (joined (portRef Q (instanceRef CYCLE_DMA_0)) - (portRef I0 (instanceRef G_114)) - (portRef I0 (instanceRef CYCLE_DMA_i_0)) (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_x2)) + (portRef I0 (instanceRef CYCLE_DMA_i_0)) + (portRef I0 (instanceRef G_102)) )) (net (rename CYCLE_DMA_1 "CYCLE_DMA[1]") (joined (portRef Q (instanceRef CYCLE_DMA_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_x2)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_x2)) )) (net (rename SIZE_DMA_0 "SIZE_DMA[0]") (joined (portRef Q (instanceRef SIZE_DMA_0)) @@ -1060,33 +1036,34 @@ (net (rename SIZE_DMA_1 "SIZE_DMA[1]") (joined (portRef Q (instanceRef SIZE_DMA_1)) (portRef I0 (instanceRef SIZE_DMA_0_1__m)) - (portRef I0 (instanceRef un3_size)) (portRef I0 (instanceRef SIZE_DMA_i_1)) + (portRef I0 (instanceRef un3_size)) )) (net VPA_D (joined (portRef Q (instanceRef VPA_D)) (portRef I0 (instanceRef VPA_D_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_5_0)) - )) - (net DTACK_D0 (joined - (portRef Q (instanceRef DTACK_D0)) - (portRef I0 (instanceRef DTACK_D0_i)) - )) - (net RESET_OUT (joined - (portRef Q (instanceRef RESET_OUT)) - (portRef I1 (instanceRef un1_rw_i_a2_0_a2)) - (portRef I1 (instanceRef un1_as_000_i_a2_i)) - (portRef I0 (instanceRef RESET_OUT_i)) - (portRef I0 (instanceRef RESET_OUT_2_0_0_a3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_3)) )) (net (rename CLK_000_D_1 "CLK_000_D[1]") (joined (portRef Q (instanceRef CLK_000_D_1)) - (portRef I0 (instanceRef CLK_000_NE_0_o7_i_a2_0_o2_i_o2)) + (portRef I0 (instanceRef CLK_000_NE_0_o3_i_a2_0_o2_i_o2)) (portRef I0 (instanceRef CLK_000_D_i_1)) + (portRef D (instanceRef CLK_000_D_2)) + )) + (net DTACK_D0 (joined + (portRef Q (instanceRef DTACK_D0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_2_3)) + )) + (net RESET_OUT (joined + (portRef Q (instanceRef RESET_OUT)) + (portRef I1 (instanceRef un1_as_000_0)) + (portRef I0 (instanceRef RESET_OUT_i)) + (portRef I0 (instanceRef RESET_OUT_2_i_i_a3)) + (portRef I1 (instanceRef un1_rw_i_a2_0_a2)) )) (net (rename CLK_000_D_0 "CLK_000_D[0]") (joined (portRef Q (instanceRef CLK_000_D_0)) - (portRef I0 (instanceRef N_310_i_0_o2)) + (portRef I0 (instanceRef N_258_i_0_o2)) (portRef I0 (instanceRef CLK_000_D_i_0)) (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2_0_a3)) (portRef D (instanceRef CLK_000_D_1)) @@ -1107,15 +1084,19 @@ )) (net (rename IPL_D0_0 "IPL_D0[0]") (joined (portRef Q (instanceRef IPL_D0_0)) - (portRef I0 (instanceRef G_119)) + (portRef I0 (instanceRef G_107)) )) (net (rename IPL_D0_1 "IPL_D0[1]") (joined (portRef Q (instanceRef IPL_D0_1)) - (portRef I0 (instanceRef G_120)) + (portRef I0 (instanceRef G_108)) )) (net (rename IPL_D0_2 "IPL_D0[2]") (joined (portRef Q (instanceRef IPL_D0_2)) - (portRef I0 (instanceRef G_121)) + (portRef I0 (instanceRef G_109)) + )) + (net (rename CLK_000_D_2 "CLK_000_D[2]") (joined + (portRef Q (instanceRef CLK_000_D_2)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0_0)) )) (net (rename pos_clk_un6_bg_030 "pos_clk.un6_bg_030") (joined (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_0_a3)) @@ -1123,8 +1104,8 @@ )) (net AMIGA_BUS_ENABLE_DMA_HIGH (joined (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__n)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) )) (net DSACK1_INT (joined (portRef Q (instanceRef DSACK1_INT)) @@ -1132,13 +1113,13 @@ (portRef I0 (instanceRef DSACK1)) )) (net (rename pos_clk_ipl "pos_clk.ipl") (joined - (portRef O (instanceRef G_122)) + (portRef O (instanceRef G_110)) (portRef I1 (instanceRef IPL_030_0_2__m)) (portRef I0 (instanceRef IPL_030_0_2__r)) - (portRef I1 (instanceRef IPL_030_0_1__m)) - (portRef I0 (instanceRef IPL_030_0_1__r)) (portRef I1 (instanceRef IPL_030_0_0__m)) (portRef I0 (instanceRef IPL_030_0_0__r)) + (portRef I1 (instanceRef IPL_030_0_1__m)) + (portRef I0 (instanceRef IPL_030_0_1__r)) )) (net LDS_000_INT (joined (portRef Q (instanceRef LDS_000_INT)) @@ -1147,9 +1128,9 @@ )) (net DS_000_ENABLE (joined (portRef Q (instanceRef DS_000_ENABLE)) - (portRef I0 (instanceRef un1_LDS_000_INT)) - (portRef I0 (instanceRef un1_UDS_000_INT)) (portRef I0 (instanceRef DS_000_ENABLE_0_n)) + (portRef I0 (instanceRef un1_UDS_000_INT)) + (portRef I0 (instanceRef un1_LDS_000_INT)) )) (net UDS_000_INT (joined (portRef Q (instanceRef UDS_000_INT)) @@ -1158,32 +1139,27 @@ )) (net (rename SM_AMIGA_6 "SM_AMIGA[6]") (joined (portRef Q (instanceRef SM_AMIGA_6)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_5)) + (portRef I1 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_o3_i_a2_0_o2_i_o2)) + (portRef I0 (instanceRef SM_AMIGA_i_6)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_a2)) (portRef I1 (instanceRef LDS_000_INT_0_m)) (portRef I0 (instanceRef LDS_000_INT_0_r)) (portRef I1 (instanceRef UDS_000_INT_0_m)) (portRef I0 (instanceRef UDS_000_INT_0_r)) - (portRef I1 (instanceRef AS_000_INT_1_sqmuxa_i_0_a3)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_a2)) - (portRef I0 (instanceRef SM_AMIGA_i_6)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_1_6)) )) (net (rename SM_AMIGA_4 "SM_AMIGA[4]") (joined (portRef Q (instanceRef SM_AMIGA_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a3_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_3)) (portRef I0 (instanceRef SM_AMIGA_i_4)) )) (net (rename SM_AMIGA_1 "SM_AMIGA[1]") (joined (portRef Q (instanceRef SM_AMIGA_1)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i_0_a3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_0_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_2_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_i_o2_0)) + (portRef I0 (instanceRef SM_AMIGA_i_1)) )) (net (rename SM_AMIGA_0 "SM_AMIGA[0]") (joined (portRef Q (instanceRef SM_AMIGA_0)) - (portRef I1 (instanceRef pos_clk_RW_000_INT_5_0_0_a3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_1_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0_0)) (portRef I0 (instanceRef SM_AMIGA_i_0)) )) (net RW_000_INT (joined @@ -1193,14 +1169,14 @@ )) (net RW_000_DMA (joined (portRef Q (instanceRef RW_000_DMA)) - (portRef I0 (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_n)) (portRef I0 (instanceRef RW)) )) (net (rename RST_DLY_0 "RST_DLY[0]") (joined (portRef Q (instanceRef RST_DLY_0)) - (portRef I1 (instanceRef RST_DLY_e0_i_0_a3)) (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_0)) (portRef I0 (instanceRef RST_DLY_i_0)) + (portRef I1 (instanceRef RST_DLY_e0_i_0_a3)) )) (net (rename RST_DLY_1 "RST_DLY[1]") (joined (portRef Q (instanceRef RST_DLY_1)) @@ -1209,84 +1185,73 @@ )) (net (rename RST_DLY_2 "RST_DLY[2]") (joined (portRef Q (instanceRef RST_DLY_2)) + (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1_a2)) (portRef I0 (instanceRef RST_DLY_i_2)) - (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_129_1_a2)) )) (net A0_DMA (joined (portRef Q (instanceRef A0_DMA)) - (portRef I0 (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_n)) (portRef I0 (instanceRef A_0)) )) + (net (rename pos_clk_A0_DMA_3 "pos_clk.A0_DMA_3") (joined + (portRef O (instanceRef pos_clk_A0_DMA_3_0_a2_0_a3)) + (portRef I0 (instanceRef A0_DMA_0_m)) + )) (net CLK_030_H (joined (portRef Q (instanceRef CLK_030_H)) (portRef I0 (instanceRef CLK_030_H_i)) (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_0_a3)) )) - (net (rename pos_clk_RW_000_INT_5 "pos_clk.RW_000_INT_5") (joined - (portRef O (instanceRef pos_clk_RW_000_INT_5_0_0_i)) - (portRef I0 (instanceRef RW_000_INT_0_m)) - )) (net (rename SM_AMIGA_5 "SM_AMIGA[5]") (joined (portRef Q (instanceRef SM_AMIGA_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_4)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a3_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_4)) + (portRef I0 (instanceRef SM_AMIGA_i_5)) )) (net (rename SM_AMIGA_3 "SM_AMIGA[3]") (joined (portRef Q (instanceRef SM_AMIGA_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_2)) (portRef I0 (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_1_0)) )) (net (rename SM_AMIGA_2 "SM_AMIGA[2]") (joined (portRef Q (instanceRef SM_AMIGA_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a3_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_1)) (portRef I0 (instanceRef SM_AMIGA_i_2)) )) - (net (rename pos_clk_DS_000_DMA_4 "pos_clk.DS_000_DMA_4") (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_0_i)) - (portRef I0 (instanceRef DS_000_DMA_0_m)) - )) - (net N_3 (joined - (portRef O (instanceRef DS_000_DMA_0_p)) - (portRef I0 (instanceRef N_3_i)) - )) - (net N_8 (joined - (portRef O (instanceRef AS_000_DMA_0_p)) - (portRef I0 (instanceRef N_8_i)) - )) - (net N_11 (joined + (net N_7 (joined (portRef O (instanceRef SIZE_DMA_0_0__p)) (portRef D (instanceRef SIZE_DMA_0)) )) - (net N_12 (joined + (net N_8 (joined (portRef O (instanceRef SIZE_DMA_0_1__p)) (portRef D (instanceRef SIZE_DMA_1)) )) - (net N_14 (joined + (net N_9 (joined + (portRef O (instanceRef DS_000_ENABLE_0_p)) + (portRef I0 (instanceRef DS_000_ENABLE_1)) + )) + (net N_11 (joined (portRef O (instanceRef cpu_est_0_1__p)) (portRef D (instanceRef cpu_est_1)) )) - (net N_15 (joined + (net N_12 (joined (portRef O (instanceRef cpu_est_0_2__p)) (portRef D (instanceRef cpu_est_2)) )) - (net N_16 (joined + (net N_13 (joined (portRef O (instanceRef cpu_est_0_3__p)) (portRef D (instanceRef cpu_est_3)) )) - (net N_27 (joined - (portRef O (instanceRef IPL_030_0_0__p)) - (portRef I0 (instanceRef N_27_i)) + (net N_15 (joined + (portRef O (instanceRef AS_030_000_SYNC_0_p)) + (portRef I0 (instanceRef N_15_i)) )) - (net N_28 (joined - (portRef O (instanceRef IPL_030_0_1__p)) - (portRef I0 (instanceRef N_28_i)) + (net N_16 (joined + (portRef O (instanceRef RW_000_INT_0_p)) + (portRef I0 (instanceRef N_16_i)) )) - (net N_29 (joined - (portRef O (instanceRef IPL_030_0_2__p)) - (portRef I0 (instanceRef N_29_i)) + (net N_22 (joined + (portRef O (instanceRef A0_DMA_0_p)) + (portRef I0 (instanceRef N_22_i)) )) (net N_30 (joined (portRef O (instanceRef CLK_OUT_PRE_25_0)) @@ -1325,41 +1290,41 @@ (portRef D (instanceRef A0_DMA)) )) (net N_39 (joined - (portRef O (instanceRef VMA_INT_1_i)) - (portRef D (instanceRef VMA_INT)) - )) - (net N_41 (joined - (portRef O (instanceRef RW_000_DMA_2_i)) - (portRef D (instanceRef RW_000_DMA)) - )) - (net N_42 (joined - (portRef O (instanceRef RW_000_INT_1_i)) - (portRef D (instanceRef RW_000_INT)) - )) - (net N_43 (joined - (portRef O (instanceRef LDS_000_INT_1_i)) - (portRef D (instanceRef LDS_000_INT)) - )) - (net N_44 (joined - (portRef O (instanceRef BGACK_030_INT_1_i)) - (portRef D (instanceRef BGACK_030_INT)) - )) - (net N_46 (joined - (portRef O (instanceRef AS_000_DMA_1_i)) - (portRef D (instanceRef AS_000_DMA)) - )) - (net N_47 (joined - (portRef O (instanceRef AS_030_000_SYNC_1_i)) - (portRef D (instanceRef AS_030_000_SYNC)) - )) - (net N_48 (joined (portRef O (instanceRef AS_000_INT_1_i)) (portRef D (instanceRef AS_000_INT)) )) - (net N_49 (joined + (net N_40 (joined (portRef O (instanceRef DSACK1_INT_1_i)) (portRef D (instanceRef DSACK1_INT)) )) + (net N_41 (joined + (portRef O (instanceRef VMA_INT_1_i)) + (portRef D (instanceRef VMA_INT)) + )) + (net N_43 (joined + (portRef O (instanceRef RW_000_DMA_2_i)) + (portRef D (instanceRef RW_000_DMA)) + )) + (net N_44 (joined + (portRef O (instanceRef RW_000_INT_1_i)) + (portRef D (instanceRef RW_000_INT)) + )) + (net N_45 (joined + (portRef O (instanceRef AS_030_000_SYNC_1_i)) + (portRef D (instanceRef AS_030_000_SYNC)) + )) + (net N_46 (joined + (portRef O (instanceRef LDS_000_INT_1_i)) + (portRef D (instanceRef LDS_000_INT)) + )) + (net N_47 (joined + (portRef O (instanceRef BGACK_030_INT_1_i)) + (portRef D (instanceRef BGACK_030_INT)) + )) + (net N_49 (joined + (portRef O (instanceRef AS_000_DMA_1_i)) + (portRef D (instanceRef AS_000_DMA)) + )) (net N_50 (joined (portRef O (instanceRef DS_000_DMA_1_i)) (portRef D (instanceRef DS_000_DMA)) @@ -1384,48 +1349,14 @@ (portRef O (instanceRef DTACK_D0_0_i)) (portRef D (instanceRef DTACK_D0)) )) - (net N_58 (joined - (portRef O (instanceRef RESET_OUT_2_0_0_i)) - (portRef D (instanceRef RESET_OUT)) - )) (net N_59 (joined (portRef O (instanceRef DS_000_ENABLE_1)) (portRef D (instanceRef DS_000_ENABLE)) )) - (net (rename SM_AMIGA_nss_i_0 "SM_AMIGA_nss_i[0]") (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_i_0)) - (portRef D (instanceRef SM_AMIGA_i_7)) - )) (net (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (joined (portRef Q (instanceRef SM_AMIGA_i_7)) - (portRef I1 (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1)) + (portRef I1 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_o3)) (portRef I0 (instanceRef SM_AMIGA_i_i_7)) - (portRef I0 (instanceRef pos_clk_RW_000_INT_5_0_0_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_6)) - )) - (net (rename SM_AMIGA_nss_2 "SM_AMIGA_nss[2]") (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_i_5)) - (portRef D (instanceRef SM_AMIGA_5)) - )) - (net (rename SM_AMIGA_nss_3 "SM_AMIGA_nss[3]") (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_i_4)) - (portRef D (instanceRef SM_AMIGA_4)) - )) - (net (rename SM_AMIGA_nss_4 "SM_AMIGA_nss[4]") (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_i_3)) - (portRef D (instanceRef SM_AMIGA_3)) - )) - (net (rename SM_AMIGA_nss_5 "SM_AMIGA_nss[5]") (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_i_2)) - (portRef D (instanceRef SM_AMIGA_2)) - )) - (net (rename SM_AMIGA_nss_6 "SM_AMIGA_nss[6]") (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_i_1)) - (portRef D (instanceRef SM_AMIGA_1)) - )) - (net (rename SM_AMIGA_nss_7 "SM_AMIGA_nss[7]") (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_o3_i_0)) - (portRef D (instanceRef SM_AMIGA_0)) )) (net (rename pos_clk_SIZE_DMA_6_0 "pos_clk.SIZE_DMA_6[0]") (joined (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_0)) @@ -1435,42 +1366,41 @@ (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_1)) (portRef I0 (instanceRef SIZE_DMA_0_1__n)) )) - (net N_106 (joined - (portRef O (instanceRef G_114)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) - (portRef I0 (instanceRef N_106_i)) + (net N_210 (joined + (portRef O (instanceRef G_107)) + (portRef I0 (instanceRef N_210_i)) )) - (net N_244 (joined - (portRef O (instanceRef G_119)) - (portRef I0 (instanceRef N_244_i)) + (net N_211 (joined + (portRef O (instanceRef G_108)) + (portRef I0 (instanceRef N_211_i)) )) - (net N_245 (joined - (portRef O (instanceRef G_120)) - (portRef I0 (instanceRef N_245_i)) - )) - (net N_246 (joined - (portRef O (instanceRef G_121)) - (portRef I0 (instanceRef N_246_i)) + (net N_212 (joined + (portRef O (instanceRef G_109)) + (portRef I0 (instanceRef N_212_i)) )) (net (rename pos_clk_un21_bgack_030_int_i_0 "pos_clk.un21_bgack_030_int_i_0") (joined (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_i)) (portRef I0 (instanceRef AS_000_DMA_0_m)) )) - (net N_275 (joined - (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i_0_i)) - (portRef I1 (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_r)) - )) - (net N_276 (joined + (net N_237 (joined (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_0_i)) (portRef I1 (instanceRef DS_000_DMA_0_m)) (portRef I0 (instanceRef DS_000_DMA_0_r)) )) - (net N_67 (joined + (net N_241 (joined + (portRef O (instanceRef un13_ciin_i_0_0_i)) + (portRef OE (instanceRef CIIN)) + )) + (net N_242 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) + (portRef I1 (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef DS_000_ENABLE_0_r)) + )) + (net N_100 (joined (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o3_i)) (portRef D (instanceRef BGACK_030_INT_D)) )) - (net N_108 (joined + (net N_283 (joined (portRef O (instanceRef un3_as_030_i_a2_0_a3)) (portRef OE (instanceRef AHIGH_24)) (portRef OE (instanceRef AHIGH_25)) @@ -1484,321 +1414,267 @@ (portRef OE (instanceRef A_0)) (portRef OE (instanceRef DS_030)) )) - (net N_110 (joined + (net N_294 (joined (portRef O (instanceRef un1_rw_i_a2_0_a2)) (portRef I0 (instanceRef un3_as_030_i_a2_0_a3)) (portRef OE (instanceRef RW)) )) - (net N_303 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_i_6)) - (portRef D (instanceRef SM_AMIGA_6)) + (net N_300 (joined + (portRef O (instanceRef pos_clk_un35_as_030_d0_0_i_i)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) )) - (net N_369 (joined + (net N_301 (joined + (portRef O (instanceRef RESET_OUT_2_i_i_i)) + (portRef D (instanceRef RESET_OUT)) + )) + (net N_106 (joined + (portRef O (instanceRef pos_clk_RW_000_INT_5_i_a2_i_i)) + (portRef I0 (instanceRef RW_000_INT_0_m)) + )) + (net N_110 (joined (portRef O (instanceRef AS_030_D0_0_i_a2_i_i)) (portRef D (instanceRef AS_030_D0)) )) - (net N_127 (joined - (portRef O (instanceRef un13_ciin_i_0_i)) - (portRef OE (instanceRef CIIN)) - )) - (net N_130 (joined - (portRef O (instanceRef CLK_000_NE_0_o7_i_a2_0_o2_i_o2_i)) + (net N_134 (joined + (portRef O (instanceRef CLK_000_NE_0_o3_i_a2_0_o2_i_o2_i)) + (portRef I0 (instanceRef RST_DLYlde_i_a2_i)) + (portRef I0 (instanceRef cpu_est_0_0_0_a3_0)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_1)) (portRef I1 (instanceRef cpu_est_0_1__m)) (portRef I0 (instanceRef cpu_est_0_1__r)) (portRef I1 (instanceRef cpu_est_0_2__m)) (portRef I0 (instanceRef cpu_est_0_2__r)) - (portRef I0 (instanceRef cpu_est_0_0_0_a3_0)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_1)) (portRef I1 (instanceRef cpu_est_0_3__m)) (portRef I0 (instanceRef cpu_est_0_3__r)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_a2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a3_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a3_1_5)) )) - (net N_131 (joined - (portRef O (instanceRef N_310_i_0_o2_i)) + (net N_138 (joined + (portRef O (instanceRef N_258_i_0_o2_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_1)) (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_a3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a2_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_4)) )) - (net N_139 (joined + (net N_156 (joined (portRef O (instanceRef RST_DLY_e2_i_0_o2_0_i)) (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_1_0)) )) - (net N_152 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_o2_2_i_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_o2_3)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_1_1_0)) + (net N_160 (joined + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i_o2_i)) + (portRef I1 (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) + (portRef I1 (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) )) - (net N_156 (joined + (net N_167 (joined + (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_o3_i_a2_0_o2_i_o2_i)) + (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1)) + (portRef I1 (instanceRef AS_000_INT_1_sqmuxa_i_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_5)) + (portRef I0 (instanceRef AS_000_INT_0_m)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_6)) + )) + (net N_172 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_3)) + )) + (net N_173 (joined (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_i_0)) (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_0)) )) - (net N_164 (joined - (portRef O (instanceRef CLK_030_H_2_i_0_o2_i)) - (portRef I1 (instanceRef CLK_030_H_2_i_0_a3)) + (net N_181 (joined + (portRef O (instanceRef pos_clk_un35_as_030_d0_0_i_o2_i)) + (portRef I1 (instanceRef pos_clk_un35_as_030_d0_0_i_a3)) )) - (net N_370 (joined + (net N_182 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0)) + )) + (net N_183 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_6)) + )) + (net N_191 (joined (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__p)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_HIGH)) )) - (net N_177 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a3_1_0)) - (portRef I0 (instanceRef N_177_i)) - )) - (net N_179 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_a3)) - (portRef I0 (instanceRef N_179_i)) - )) - (net N_185 (joined - (portRef O (instanceRef RST_DLY_e1_i_0_a3_1)) - (portRef I0 (instanceRef N_185_i)) - )) - (net N_186 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_a3)) - (portRef I0 (instanceRef N_186_i)) - )) - (net N_189 (joined - (portRef O (instanceRef RST_DLY_e0_i_0_a3)) - (portRef I0 (instanceRef N_189_i)) - )) - (net N_190 (joined - (portRef O (instanceRef RST_DLY_e0_i_0_a3_0)) - (portRef I0 (instanceRef N_190_i)) - )) (net N_199 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a3_5)) + (portRef O (instanceRef RST_DLY_e2_i_0_a3)) (portRef I0 (instanceRef N_199_i)) )) - (net N_200 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_5)) - (portRef I0 (instanceRef N_200_i)) + (net N_205 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_a3_1)) + (portRef I0 (instanceRef N_205_i)) )) - (net N_201 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3)) - (portRef I0 (instanceRef N_201_i)) - )) - (net N_202 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0)) - (portRef I0 (instanceRef N_202_i)) - )) - (net N_203 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_6)) - (portRef I0 (instanceRef N_203_i)) - )) - (net N_211 (joined - (portRef O (instanceRef cpu_est_2_0_0_a3_1_1)) - (portRef I0 (instanceRef N_211_i)) - )) - (net N_217 (joined + (net N_209 (joined (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_i_a3)) (portRef I1 (instanceRef SIZE_DMA_0_1__m)) (portRef I0 (instanceRef SIZE_DMA_0_1__r)) (portRef I1 (instanceRef SIZE_DMA_0_0__m)) (portRef I0 (instanceRef SIZE_DMA_0_0__r)) )) - (net N_222 (joined - (portRef O (instanceRef CLK_030_H_2_i_0_a3)) - (portRef I0 (instanceRef N_222_i)) + (net N_319 (joined + (portRef O (instanceRef pos_clk_un35_as_030_d0_0_i_a3)) + (portRef I0 (instanceRef N_319_i)) + )) + (net N_213 (joined + (portRef O (instanceRef pos_clk_un35_as_030_d0_0_i_a3_0)) + (portRef I0 (instanceRef N_213_i)) + )) + (net N_216 (joined + (portRef O (instanceRef RESET_OUT_2_i_i_a3_0)) + (portRef I0 (instanceRef N_216_i)) + )) + (net N_217 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_0)) + (portRef I0 (instanceRef N_217_i)) + )) + (net N_218 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_1)) + (portRef I0 (instanceRef N_218_i)) + )) + (net N_220 (joined + (portRef O (instanceRef cpu_est_2_0_0_a3_0_1)) + (portRef I0 (instanceRef N_220_i)) )) (net N_223 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_0)) + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_0)) (portRef I0 (instanceRef N_223_i)) )) (net N_224 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_1)) + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_3)) (portRef I0 (instanceRef N_224_i)) )) (net N_225 (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_0_a3)) + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_4)) (portRef I0 (instanceRef N_225_i)) )) - (net N_226 (joined - (portRef O (instanceRef pos_clk_RW_000_INT_5_0_0_a3)) - (portRef I0 (instanceRef N_226_i)) - )) (net N_227 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_0_a3)) + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0)) (portRef I0 (instanceRef N_227_i)) )) - (net N_236 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a2_5)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a2_2)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_2_0)) - (portRef I0 (instanceRef N_236_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a3_1_5)) + (net N_228 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0_0)) + (portRef I0 (instanceRef N_228_i)) )) - (net N_237 (joined - (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_a2)) - (portRef I0 (instanceRef N_237_i)) - )) - (net N_243 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a2_3_0)) - (portRef I0 (instanceRef RESET_OUT_2_0_0_a3_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_4)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_0_0)) - (portRef I0 (instanceRef N_243_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_1_6)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_1_1_0)) - )) - (net N_391 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a2_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_3)) - (portRef I0 (instanceRef N_391_i)) - )) - (net N_250 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a2_2)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_1_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a3_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a3_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_1_6)) - )) - (net N_132_i (joined - (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_x2)) - (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_2)) - )) - (net N_168_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) - )) - (net N_208 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3)) - (portRef I0 (instanceRef N_208_i)) - )) - (net N_209 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0)) - (portRef I0 (instanceRef N_209_i)) - )) - (net N_258 (joined - (portRef O (instanceRef cpu_est_2_0_0_0_a2_2)) - (portRef I0 (instanceRef N_258_i)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0_1)) - )) - (net N_161 (joined - (portRef O (instanceRef un5_e_0_i_o2_0_i)) - (portRef I0 (instanceRef un5_e_0_i_a3)) - )) - (net N_392 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a2_4_0)) - (portRef I0 (instanceRef N_392_i)) - )) - (net N_393 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a2_5_0)) - (portRef I0 (instanceRef N_393_i)) - )) - (net N_138 (joined - (portRef O (instanceRef un5_e_0_i_o2_i)) - (portRef I0 (instanceRef un5_e_0_i_a3_0)) - )) - (net N_143 (joined - (portRef O (instanceRef cpu_est_2_0_0_0_o2_i_2)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_a3_2)) - )) - (net N_215 (joined - (portRef O (instanceRef un5_e_0_i_a3)) - (portRef I0 (instanceRef N_215_i)) - )) - (net N_216 (joined - (portRef O (instanceRef un5_e_0_i_a3_0)) - (portRef I0 (instanceRef N_216_i)) - )) - (net N_214 (joined - (portRef O (instanceRef cpu_est_2_0_0_a3_0_3)) - (portRef I0 (instanceRef N_214_i)) - )) - (net (rename cpu_est_2_2 "cpu_est_2[2]") (joined - (portRef O (instanceRef cpu_est_2_0_0_0_i_2)) - (portRef I0 (instanceRef cpu_est_0_2__n)) - )) - (net N_212 (joined - (portRef O (instanceRef cpu_est_2_0_0_0_a3_2)) - (portRef I0 (instanceRef N_212_i)) - )) - (net (rename cpu_est_2_1 "cpu_est_2[1]") (joined - (portRef O (instanceRef cpu_est_2_0_0_0_i_1)) - (portRef I0 (instanceRef cpu_est_0_1__n)) - )) - (net N_210 (joined - (portRef O (instanceRef cpu_est_2_0_0_0_a3_1)) - (portRef I0 (instanceRef N_210_i)) - )) - (net (rename pos_clk_un9_clk_000_pe "pos_clk.un9_clk_000_pe") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_i)) - (portRef I1 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_r)) - )) - (net N_187 (joined - (portRef O (instanceRef RESET_OUT_2_0_0_a3)) - (portRef I0 (instanceRef N_187_i)) - )) - (net N_188 (joined - (portRef O (instanceRef RESET_OUT_2_0_0_a3_0)) - (portRef I0 (instanceRef N_188_i)) - )) - (net N_21 (joined - (portRef O (instanceRef VMA_INT_0_p)) - (portRef I0 (instanceRef N_21_i)) + (net N_246 (joined + (portRef O (instanceRef CLK_030_H_2_i_0_a3)) + (portRef I0 (instanceRef N_246_i)) )) (net N_247 (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i_a2)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_i_a3)) - (portRef I1 (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_r)) - (portRef I1 (instanceRef A0_DMA_0_m)) - (portRef I0 (instanceRef A0_DMA_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_i_a3_1)) + (portRef O (instanceRef RST_DLY_e0_i_0_a3)) + (portRef I0 (instanceRef N_247_i)) )) - (net N_282 (joined + (net N_248 (joined + (portRef O (instanceRef RST_DLY_e0_i_0_a3_0)) + (portRef I0 (instanceRef N_248_i)) + )) + (net N_332 (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_0_a3)) + (portRef I0 (instanceRef N_332_i)) + )) + (net N_278 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_1)) + (portRef I0 (instanceRef N_278_i)) + )) + (net N_279 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_1)) + (portRef I0 (instanceRef N_279_i)) + )) + (net N_334 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_2)) + (portRef I0 (instanceRef N_334_i)) + )) + (net N_284 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_6)) + (portRef I0 (instanceRef N_284_i)) + )) + (net N_343 (joined + (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1_a2)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a3_0)) + (portRef I0 (instanceRef N_343_i)) + (portRef I1 (instanceRef RESET_OUT_2_i_i_a3_0_1)) + )) + (net N_192_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_x2)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0)) + )) + (net un21_berr_1 (joined + (portRef O (instanceRef un21_berr_0_a2_0_a3_1)) + (portRef I0 (instanceRef un21_berr_0_a2_0_a3_1_0)) + (portRef I0 (instanceRef un21_fpu_cs_0_a2_0_a3_1)) + )) + (net N_357 (joined + (portRef O (instanceRef un21_berr_0_a2_0_a2)) + (portRef I1 (instanceRef pos_clk_un35_as_030_d0_0_i_a3_0)) + (portRef I1 (instanceRef un21_berr_0_a2_0_a3)) + (portRef I1 (instanceRef un21_fpu_cs_0_a2_0_a3)) + )) + (net N_266 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0)) + (portRef I0 (instanceRef N_266_i)) + )) + (net N_186 (joined + (portRef O (instanceRef CLK_030_H_2_i_0_o2_i)) + (portRef I1 (instanceRef CLK_030_H_2_i_0_a3)) + )) + (net N_137_i (joined + (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_x2)) + (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_1)) + )) + (net N_297 (joined + (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_a2)) + (portRef I0 (instanceRef N_297_i)) + )) + (net N_236 (joined + (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i_0_i)) + (portRef I1 (instanceRef AS_000_DMA_0_m)) + (portRef I0 (instanceRef AS_000_DMA_0_r)) + )) + (net (rename pos_clk_DS_000_DMA_4 "pos_clk.DS_000_DMA_4") (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_0_i)) + (portRef I0 (instanceRef DS_000_DMA_0_m)) + )) + (net N_268 (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_0_a3)) + (portRef I0 (instanceRef N_268_i)) + )) + (net N_249 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3)) + (portRef I0 (instanceRef N_249_i)) + )) + (net N_243 (joined (portRef O (instanceRef un14_amiga_bus_data_dir_i_0_0_i)) - (portRef I0 (instanceRef RW_000_DMA_0_n)) + (portRef I0 (instanceRef RW_000_DMA_0_m)) )) - (net (rename pos_clk_un6_bgack_000 "pos_clk.un6_bgack_000") (joined - (portRef O (instanceRef pos_clk_un6_bgack_000_0_0_i)) - (portRef I1 (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_r)) + (net N_215 (joined + (portRef O (instanceRef RESET_OUT_2_i_i_a3)) + (portRef I0 (instanceRef N_215_i)) )) - (net N_259 (joined - (portRef O (instanceRef pos_clk_un6_bgack_000_0_0_a2)) - (portRef I0 (instanceRef N_259_i)) - )) - (net (rename pos_clk_A0_DMA_3 "pos_clk.A0_DMA_3") (joined - (portRef O (instanceRef pos_clk_A0_DMA_3_0_a3)) - (portRef I0 (instanceRef A0_DMA_0_n)) - )) - (net N_101 (joined + (net N_130 (joined (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3)) - (portRef I0 (instanceRef N_101_i)) + (portRef I0 (instanceRef N_130_i)) )) - (net N_102 (joined + (net N_131 (joined (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3)) - (portRef I0 (instanceRef N_102_i)) + (portRef I0 (instanceRef N_131_i)) )) - (net N_10 (joined - (portRef O (instanceRef BGACK_030_INT_0_p)) - (portRef I0 (instanceRef N_10_i)) + (net N_3 (joined + (portRef O (instanceRef DS_000_DMA_0_p)) + (portRef I0 (instanceRef N_3_i)) + )) + (net N_4 (joined + (portRef O (instanceRef AS_000_DMA_0_p)) + (portRef I0 (instanceRef N_4_i)) )) (net N_17 (joined - (portRef O (instanceRef LDS_000_INT_0_p)) - (portRef I0 (instanceRef N_17_i)) - )) - (net N_19 (joined (portRef O (instanceRef RW_000_DMA_0_p)) - (portRef I0 (instanceRef N_19_i)) - )) - (net N_22 (joined - (portRef O (instanceRef A0_DMA_0_p)) - (portRef I0 (instanceRef N_22_i)) - )) - (net N_23 (joined - (portRef O (instanceRef UDS_000_INT_0_p)) - (portRef I0 (instanceRef N_23_i)) + (portRef I0 (instanceRef N_17_i)) )) (net N_24 (joined (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) @@ -1808,242 +1684,220 @@ (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) (portRef I0 (instanceRef N_25_i)) )) - (net N_6 (joined - (portRef O (instanceRef DS_000_ENABLE_0_p)) - (portRef I0 (instanceRef DS_000_ENABLE_1)) - )) - (net un1_SM_AMIGA_0_sqmuxa_3 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_a3)) - (portRef I0 (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_3_i)) - )) - (net N_278 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) - (portRef I1 (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_r)) - )) - (net N_7 (joined - (portRef O (instanceRef AS_030_000_SYNC_0_p)) - (portRef I0 (instanceRef N_7_i)) - )) - (net (rename pos_clk_un3_as_030_d0 "pos_clk.un3_as_030_d0") (joined - (portRef O (instanceRef pos_clk_un3_as_030_d0_0_o2_0_o3_i)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) - )) - (net N_366 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i_i)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) - )) - (net N_122 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - (portRef I1 (instanceRef un22_berr_0_a2_0_a3_1)) - (portRef I0 (instanceRef N_122_i)) - )) - (net N_218 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i_a3)) - (portRef I0 (instanceRef N_218_i)) - )) - (net un22_berr_1 (joined - (portRef O (instanceRef un22_berr_0_a2_0_a3_1)) - (portRef I0 (instanceRef un22_berr_0_a2_0_a3_1_0)) - (portRef I1 (instanceRef un21_fpu_cs_0_a2_0_a3)) - )) (net (rename pos_clk_un9_bg_030 "pos_clk.un9_bg_030") (joined (portRef O (instanceRef pos_clk_un9_bg_030_i)) (portRef I1 (instanceRef BG_000_0_m)) (portRef I0 (instanceRef BG_000_0_r)) )) + (net N_6 (joined + (portRef O (instanceRef BGACK_030_INT_0_p)) + (portRef I0 (instanceRef N_6_i)) + )) + (net (rename pos_clk_un6_bgack_000 "pos_clk.un6_bgack_000") (joined + (portRef O (instanceRef pos_clk_un6_bgack_000_0_0_i)) + (portRef I1 (instanceRef BGACK_030_INT_0_m)) + (portRef I0 (instanceRef BGACK_030_INT_0_r)) + )) (net N_26 (joined (portRef O (instanceRef BG_000_0_p)) (portRef I0 (instanceRef N_26_i)) )) - (net (rename cpu_est_2_3 "cpu_est_2[3]") (joined - (portRef O (instanceRef cpu_est_2_0_0_0_i_3)) - (portRef I0 (instanceRef cpu_est_0_3__n)) + (net N_208 (joined + (portRef O (instanceRef G_102)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_x2)) + (portRef I0 (instanceRef N_208_i)) )) - (net N_180 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_a3_0)) - (portRef I0 (instanceRef N_180_i)) + (net N_207 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_a3)) + (portRef I0 (instanceRef N_207_i)) )) - (net N_136 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_o2_i)) - (portRef I0 (instanceRef RST_DLY_e0_i_0_a3)) - (portRef I0 (instanceRef RST_DLY_e1_i_0_a3)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_0)) + (net N_349 (joined + (portRef O (instanceRef pos_clk_un6_bgack_000_0_0_a2)) + (portRef I0 (instanceRef N_349_i)) )) - (net N_249 (joined - (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_129_1_a2)) - (portRef I1 (instanceRef RESET_OUT_2_0_0_a3_0)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_a3_0)) - (portRef I0 (instanceRef N_249_i)) + (net N_314 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3)) + (portRef I0 (instanceRef N_314_i)) )) - (net N_181 (joined + (net N_318 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0)) + (portRef I0 (instanceRef N_318_i)) + )) + (net N_348 (joined + (portRef O (instanceRef cpu_est_2_0_0_0_a2_2)) + (portRef I0 (instanceRef N_348_i)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0_1)) + )) + (net N_201 (joined (portRef O (instanceRef RST_DLY_e2_i_0_a3_1)) - (portRef I0 (instanceRef N_181_i)) + (portRef I0 (instanceRef N_201_i)) )) - (net N_183 (joined + (net N_200 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a3_0)) + (portRef I0 (instanceRef N_200_i)) + )) + (net N_203 (joined (portRef O (instanceRef RST_DLY_e1_i_0_a3)) - (portRef I0 (instanceRef N_183_i)) - )) - (net N_184 (joined - (portRef O (instanceRef RST_DLY_e1_i_0_a3_0)) - (portRef I0 (instanceRef N_184_i)) - )) - (net N_257 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_a2_0)) - (portRef I0 (instanceRef RST_DLY_e0_i_0_a3_0)) - (portRef I0 (instanceRef RST_DLY_e1_i_0_a3_0)) - )) - (net N_205 (joined - (portRef O (instanceRef cpu_est_0_0_0_a3_0)) - (portRef I0 (instanceRef N_205_i)) - )) - (net N_206 (joined - (portRef O (instanceRef cpu_est_0_0_0_a3_0_0)) - (portRef I0 (instanceRef N_206_i)) - )) - (net N_213 (joined - (portRef O (instanceRef cpu_est_2_0_0_0_a3_3)) - (portRef I0 (instanceRef N_213_i)) - )) - (net N_238 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_a2)) - (portRef I0 (instanceRef N_238_i)) - )) - (net N_162 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_o2_i_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a3_3)) - )) - (net N_178 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_0)) - (portRef I0 (instanceRef N_178_i)) - )) - (net N_204_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_1_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_1_6)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_2_0)) - )) - (net N_155 (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1_o2_i)) - (portRef I1 (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1_a3)) + (portRef I0 (instanceRef N_203_i)) )) (net N_204 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_6)) + (portRef O (instanceRef RST_DLY_e1_i_0_a3_0)) (portRef I0 (instanceRef N_204_i)) )) - (net N_239 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a2_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a3_3)) - (portRef I0 (instanceRef N_239_i)) + (net N_185 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_4)) )) - (net N_252 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a2_2_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a3_1)) - (portRef I0 (instanceRef N_252_i)) + (net N_184 (joined + (portRef O (instanceRef un5_e_0_i_o2_0_i)) + (portRef I0 (instanceRef un5_e_0_i_a3)) )) - (net N_175 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a3_0)) - (portRef I0 (instanceRef N_175_i)) + (net N_180 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_i_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_3)) )) - (net N_176 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a3_0_0)) - (portRef I0 (instanceRef N_176_i)) + (net N_179 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_2)) )) - (net N_163 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_o2_1_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_0)) + (net N_178 (joined + (portRef O (instanceRef un5_e_0_i_o2_i)) + (portRef I0 (instanceRef un5_e_0_i_a3_0)) )) - (net N_160 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_o2_0_i_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_0_0)) + (net N_171 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_1_i_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_3)) )) - (net N_144 (joined + (net N_341 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_3)) + (portRef I0 (instanceRef N_341_i)) + )) + (net N_342 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_3)) + (portRef I0 (instanceRef N_342_i)) + )) + (net N_169 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_o2_i_0)) + (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0)) + (portRef I0 (instanceRef DSACK1_INT_0_m)) + )) + (net N_154 (joined + (portRef O (instanceRef cpu_est_2_i_0_0_o2_i_3)) + (portRef I0 (instanceRef cpu_est_2_i_0_0_a3_3)) + )) + (net N_165 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_2_i_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_3)) + )) + (net N_162 (joined (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_o2_i)) (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_a3)) )) - (net N_242 (joined + (net N_299 (joined (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_a2)) - (portRef I0 (instanceRef N_242_i)) + (portRef I0 (instanceRef N_299_i)) )) - (net N_240 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a2_0_0)) - (portRef I0 (instanceRef N_240_i)) + (net N_153 (joined + (portRef O (instanceRef cpu_est_2_0_0_0_o2_i_2)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_a3_2)) )) - (net N_241 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a2_1_0)) - (portRef I0 (instanceRef N_241_i)) + (net N_142 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_o2_i)) + (portRef I0 (instanceRef RST_DLY_e0_i_0_a3)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a3_0)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_a3)) )) - (net N_137 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_o2_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_0_0)) + (net N_298 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a2)) + (portRef I0 (instanceRef N_298_i)) )) - (net N_279 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0_i)) - (portRef I1 (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_0_r)) - )) - (net N_91 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0_a3)) - (portRef I0 (instanceRef N_91_i)) - )) - (net N_280 (joined + (net N_80 (joined (portRef O (instanceRef AS_000_INT_1_sqmuxa_i_0_i)) (portRef I1 (instanceRef AS_000_INT_0_m)) (portRef I0 (instanceRef AS_000_INT_0_r)) )) - (net N_90 (joined - (portRef O (instanceRef AS_000_INT_1_sqmuxa_i_0_a3)) - (portRef I0 (instanceRef N_90_i)) + (net N_232 (joined + (portRef O (instanceRef cpu_est_0_0_0_a3_0)) + (portRef I0 (instanceRef N_232_i)) )) - (net N_197 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a3_4)) - (portRef I0 (instanceRef N_197_i)) + (net N_233 (joined + (portRef O (instanceRef cpu_est_0_0_0_a3_0_0)) + (portRef I0 (instanceRef N_233_i)) )) - (net N_198 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_4)) - (portRef I0 (instanceRef N_198_i)) + (net N_229 (joined + (portRef O (instanceRef un5_e_0_i_a3)) + (portRef I0 (instanceRef N_229_i)) )) - (net N_195 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a3_3)) - (portRef I0 (instanceRef N_195_i)) + (net N_231 (joined + (portRef O (instanceRef un5_e_0_i_a3_0)) + (portRef I0 (instanceRef N_231_i)) )) - (net N_196 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_3)) - (portRef I0 (instanceRef N_196_i)) + (net N_226 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a3_5)) + (portRef I0 (instanceRef N_226_i)) )) - (net N_194 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a3_2)) - (portRef I0 (instanceRef N_194_i)) + (net N_221 (joined + (portRef O (instanceRef cpu_est_2_0_0_0_a3_2)) + (portRef I0 (instanceRef N_221_i)) )) - (net N_192 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a3_1)) - (portRef I0 (instanceRef N_192_i)) + (net N_222 (joined + (portRef O (instanceRef cpu_est_2_i_0_0_a3_3)) + (portRef I0 (instanceRef N_222_i)) )) - (net N_193 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a3_0_1)) - (portRef I0 (instanceRef N_193_i)) + (net (rename cpu_est_2_2 "cpu_est_2[2]") (joined + (portRef O (instanceRef cpu_est_2_0_0_0_i_2)) + (portRef I0 (instanceRef cpu_est_0_2__n)) )) - (net un1_SM_AMIGA_0_sqmuxa_2 (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1_i)) - (portRef I1 (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef RW_000_INT_0_r)) + (net (rename cpu_est_2_1 "cpu_est_2[1]") (joined + (portRef O (instanceRef cpu_est_2_0_0_0_i_1)) + (portRef I0 (instanceRef cpu_est_0_1__n)) )) - (net N_191 (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1_a3)) - (portRef I0 (instanceRef N_191_i)) + (net N_219 (joined + (portRef O (instanceRef cpu_est_2_0_0_0_a3_1)) + (portRef I0 (instanceRef N_219_i)) )) - (net N_4 (joined + (net (rename pos_clk_un9_clk_000_pe "pos_clk.un9_clk_000_pe") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_i)) + (portRef I1 (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_r)) + )) + (net N_256 (joined + (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0_i)) + (portRef I1 (instanceRef DSACK1_INT_0_m)) + (portRef I0 (instanceRef DSACK1_INT_0_r)) + )) + (net N_29 (joined + (portRef O (instanceRef IPL_030_0_2__p)) + (portRef I0 (instanceRef N_29_i)) + )) + (net N_28 (joined + (portRef O (instanceRef IPL_030_0_1__p)) + (portRef I0 (instanceRef N_28_i)) + )) + (net N_27 (joined + (portRef O (instanceRef IPL_030_0_0__p)) + (portRef I0 (instanceRef N_27_i)) + )) + (net N_14 (joined + (portRef O (instanceRef LDS_000_INT_0_p)) + (portRef I0 (instanceRef N_14_i)) + )) + (net N_19 (joined + (portRef O (instanceRef VMA_INT_0_p)) + (portRef I0 (instanceRef N_19_i)) + )) + (net N_20 (joined (portRef O (instanceRef DSACK1_INT_0_p)) - (portRef I0 (instanceRef N_4_i)) + (portRef I0 (instanceRef N_20_i)) )) - (net N_5 (joined + (net N_21 (joined (portRef O (instanceRef AS_000_INT_0_p)) - (portRef I0 (instanceRef N_5_i)) + (portRef I0 (instanceRef N_21_i)) )) - (net N_18 (joined - (portRef O (instanceRef RW_000_INT_0_p)) - (portRef I0 (instanceRef N_18_i)) + (net N_23 (joined + (portRef O (instanceRef UDS_000_INT_0_p)) + (portRef I0 (instanceRef N_23_i)) )) (net un1_amiga_bus_enable_low_i (joined (portRef O (instanceRef un1_amiga_bus_enable_low_i)) @@ -2053,199 +1907,195 @@ (portRef O (instanceRef un21_fpu_cs_i)) (portRef I0 (instanceRef FPU_CS)) )) - (net AS_030_i (joined - (portRef O (instanceRef I_228)) - (portRef I0 (instanceRef AS_030_D0_0_i_a2_i)) - (portRef I1 (instanceRef un4_as_000)) - (portRef I1 (instanceRef un22_berr_0_a2_0_a3_1_0)) - (portRef I0 (instanceRef un21_fpu_cs_0_a2_0_a3_1)) - )) - (net AS_000_INT_i (joined - (portRef O (instanceRef AS_000_INT_i)) - (portRef I0 (instanceRef un4_as_000)) - )) - (net N_91_i (joined - (portRef O (instanceRef N_91_i)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0)) - (portRef I0 (instanceRef DSACK1_INT_0_m)) - )) - (net N_90_i (joined - (portRef O (instanceRef N_90_i)) - (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i_0)) - (portRef I0 (instanceRef AS_000_INT_0_m)) - )) - (net (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (joined - (portRef O (instanceRef SM_AMIGA_i_i_7)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i_a3_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_6)) - )) - (net AS_030_000_SYNC_i (joined - (portRef O (instanceRef AS_030_000_SYNC_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_1_0)) - )) - (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined - (portRef O (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_0)) - )) - (net (rename RST_DLY_i_0 "RST_DLY_i[0]") (joined - (portRef O (instanceRef RST_DLY_i_0)) - (portRef I1 (instanceRef RST_DLY_e0_i_0_a3_0)) - (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_1_1)) - )) - (net (rename RST_DLY_i_1 "RST_DLY_i[1]") (joined - (portRef O (instanceRef RST_DLY_i_1)) - (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_0)) - (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_1)) - )) - (net (rename CLK_000_D_i_1 "CLK_000_D_i[1]") (joined - (portRef O (instanceRef CLK_000_D_i_1)) - (portRef I1 (instanceRef N_310_i_0_o2)) - )) - (net N_249_i_0 (joined - (portRef O (instanceRef N_249_i)) - (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_129_1)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_a2)) - )) - (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined - (portRef O (instanceRef cpu_est_i_0)) + (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined + (portRef O (instanceRef cpu_est_i_1)) + (portRef I1 (instanceRef un5_e_0_i_o2_0)) (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_o2)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_a3_1)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_a3_3)) - (portRef I1 (instanceRef cpu_est_0_0_0_a3_0)) + (portRef I0 (instanceRef VMA_INT_0_m)) + (portRef I1 (instanceRef cpu_est_2_0_0_a3_0_1_1)) )) (net (rename RST_DLY_i_2 "RST_DLY_i[2]") (joined (portRef O (instanceRef RST_DLY_i_2)) (portRef I1 (instanceRef RST_DLY_e2_i_0_a3_1)) (portRef I1 (instanceRef RST_DLY_e2_i_0_a3)) )) - (net FPU_SENSE_i (joined - (portRef O (instanceRef FPU_SENSE_i)) - (portRef I1 (instanceRef un21_fpu_cs_0_a2_0_a3_1)) + (net (rename RST_DLY_i_1 "RST_DLY_i[1]") (joined + (portRef O (instanceRef RST_DLY_i_1)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_0)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_1)) )) - (net N_122_i (joined - (portRef O (instanceRef N_122_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i_a3_1)) - )) - (net (rename A_DECODE_i_16 "A_DECODE_i[16]") (joined - (portRef O (instanceRef A_DECODE_i_16)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) - )) - (net (rename A_DECODE_i_18 "A_DECODE_i[18]") (joined - (portRef O (instanceRef A_DECODE_i_18)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - )) - (net (rename A_DECODE_i_19 "A_DECODE_i[19]") (joined - (portRef O (instanceRef A_DECODE_i_19)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - )) - (net BGACK_030_INT_i (joined - (portRef O (instanceRef BGACK_030_INT_i)) - (portRef I0 (instanceRef un1_rw_i_a2_0_a2)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_0)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o3)) - (portRef I0 (instanceRef un14_amiga_bus_data_dir_i_0_0)) - (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3)) - (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3)) - (portRef I0 (instanceRef pos_clk_A0_DMA_3_0_a3)) - (portRef I1 (instanceRef un1_amiga_bus_enable_low)) - (portRef I0 (instanceRef un1_as_030_i_a2_i)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1)) - (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_1)) - )) - (net AMIGA_BUS_ENABLE_DMA_LOW_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) - (portRef I0 (instanceRef un1_amiga_bus_enable_low)) - )) - (net N_101_i (joined - (portRef O (instanceRef N_101_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) - )) - (net N_102_i (joined - (portRef O (instanceRef N_102_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - )) - (net (rename A_i_1 "A_i[1]") (joined - (portRef O (instanceRef A_i_1)) - (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3)) - )) - (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined - (portRef O (instanceRef cpu_est_i_1)) - (portRef I1 (instanceRef un5_e_0_i_o2)) - (portRef I0 (instanceRef VMA_INT_0_m)) + (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined + (portRef O (instanceRef cpu_est_i_0)) + (portRef I1 (instanceRef cpu_est_2_i_0_0_o2_3)) + (portRef I1 (instanceRef cpu_est_0_0_0_a3_0)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_a3_1)) (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_2)) - (portRef I1 (instanceRef cpu_est_2_0_0_a3_1_1_1)) )) (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined (portRef O (instanceRef cpu_est_i_2)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_a2_2)) (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_o2)) - (portRef I1 (instanceRef un5_e_0_i_a3_0)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_a2_2)) + (portRef I1 (instanceRef un5_e_0_i_a3)) + (portRef I1 (instanceRef cpu_est_2_i_0_0_a3_3)) + )) + (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined + (portRef O (instanceRef SM_AMIGA_i_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0)) + )) + (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined + (portRef O (instanceRef SM_AMIGA_i_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_3)) + )) + (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined + (portRef O (instanceRef SM_AMIGA_i_4)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_o2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_4)) + )) + (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined + (portRef O (instanceRef SM_AMIGA_i_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_5)) + )) + (net (rename RST_DLY_i_0 "RST_DLY_i[0]") (joined + (portRef O (instanceRef RST_DLY_i_0)) + (portRef I1 (instanceRef RST_DLY_e0_i_0_a3_0)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a3_1_1)) + )) + (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined + (portRef O (instanceRef SM_AMIGA_i_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_2)) + )) + (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined + (portRef O (instanceRef SM_AMIGA_i_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a3_0_1)) )) (net VPA_D_i (joined (portRef O (instanceRef VPA_D_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_4_2_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_2_3)) (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0_2)) )) - (net DTACK_D0_i (joined - (portRef O (instanceRef DTACK_D0_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_5_0)) + (net (rename CLK_000_D_i_1 "CLK_000_D_i[1]") (joined + (portRef O (instanceRef CLK_000_D_i_1)) + (portRef I1 (instanceRef N_258_i_0_o2)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0_1_0)) )) (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined (portRef O (instanceRef cpu_est_i_3)) - (portRef I1 (instanceRef un5_e_0_i_o2_0)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0_2)) + (portRef I1 (instanceRef un5_e_0_i_o2)) (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_2)) - (portRef I1 (instanceRef cpu_est_2_0_0_a3_1_1)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0_2)) + (portRef I1 (instanceRef cpu_est_2_0_0_a3_0_1)) )) - (net nEXP_SPACE_i (joined - (portRef O (instanceRef nEXP_SPACE_i)) - (portRef I1 (instanceRef un3_as_030_i_a2_0_a3)) - (portRef I0 (instanceRef un13_ciin_i_0)) - (portRef I1 (instanceRef un1_as_030_i_a2_i)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_2)) - )) - (net AS_000_i (joined - (portRef O (instanceRef I_231)) - (portRef I0 (instanceRef un6_ds_030)) - (portRef I1 (instanceRef un6_as_030_0_a3)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) - (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) + (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined + (portRef O (instanceRef SM_AMIGA_i_6)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a3_6)) )) (net (rename CLK_000_D_i_0 "CLK_000_D_i[0]") (joined (portRef O (instanceRef CLK_000_D_i_0)) - (portRef I1 (instanceRef CLK_000_NE_0_o7_i_a2_0_o2_i_o2)) + (portRef I1 (instanceRef CLK_000_NE_0_o3_i_a2_0_o2_i_o2)) )) - (net RESET_OUT_i (joined - (portRef O (instanceRef RESET_OUT_i)) - (portRef OE (instanceRef RESET)) + (net BGACK_030_INT_i (joined + (portRef O (instanceRef BGACK_030_INT_i)) + (portRef I0 (instanceRef un1_as_030_i_a2_i)) + (portRef I0 (instanceRef pos_clk_A0_DMA_3_0_a2_0_a3)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_0)) + (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a3)) + (portRef I0 (instanceRef un14_amiga_bus_data_dir_i_0_0)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o3)) + (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3)) + (portRef I1 (instanceRef un1_amiga_bus_enable_low)) + (portRef I0 (instanceRef un1_rw_i_a2_0_a2)) + (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_2)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1)) + )) + (net AS_000_i (joined + (portRef O (instanceRef I_220)) + (portRef I0 (instanceRef un6_ds_030)) + (portRef I1 (instanceRef un6_as_030_0_a2_0_a3)) + (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_1)) )) (net AS_000_DMA_i (joined (portRef O (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef un6_as_030_0_a3)) (portRef I0 (instanceRef CLK_030_H_2_i_0_o2)) + (portRef I0 (instanceRef un6_as_030_0_a2_0_a3)) )) - (net RW_000_i (joined - (portRef O (instanceRef I_232)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0_0_a3)) - (portRef I1 (instanceRef un14_amiga_bus_data_dir_i_0_0)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_0_1)) - )) - (net CLK_030_H_i (joined - (portRef O (instanceRef CLK_030_H_i)) - (portRef I0 (instanceRef CLK_030_H_2_i_0_a3)) + (net nEXP_SPACE_i (joined + (portRef O (instanceRef nEXP_SPACE_i)) + (portRef I1 (instanceRef un1_as_030_i_a2_i)) + (portRef I0 (instanceRef un13_ciin_i_0_0)) + (portRef I1 (instanceRef un3_as_030_i_a2_0_a3)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_2)) )) (net (rename CYCLE_DMA_i_0 "CYCLE_DMA_i[0]") (joined (portRef O (instanceRef CYCLE_DMA_i_0)) (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_a3)) )) + (net DS_000_DMA_i (joined + (portRef O (instanceRef DS_000_DMA_i)) + (portRef I1 (instanceRef un6_ds_030)) + )) + (net AMIGA_BUS_ENABLE_DMA_LOW_i (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) + (portRef I0 (instanceRef un1_amiga_bus_enable_low)) + )) + (net N_130_i (joined + (portRef O (instanceRef N_130_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + )) + (net N_131_i (joined + (portRef O (instanceRef N_131_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + )) + (net CLK_030_H_i (joined + (portRef O (instanceRef CLK_030_H_i)) + (portRef I0 (instanceRef CLK_030_H_2_i_0_a3)) + )) + (net RW_000_i (joined + (portRef O (instanceRef I_221)) + (portRef I1 (instanceRef un14_amiga_bus_data_dir_i_0_0)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3)) + (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0_0_a3)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_0_1)) + )) + (net (rename A_i_1 "A_i[1]") (joined + (portRef O (instanceRef A_i_1)) + (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a3)) + )) + (net RESET_OUT_i (joined + (portRef O (instanceRef RESET_OUT_i)) + (portRef OE (instanceRef RESET)) + )) + (net AS_030_i (joined + (portRef O (instanceRef I_222)) + (portRef I1 (instanceRef un4_as_000)) + (portRef I0 (instanceRef AS_030_D0_0_i_a2_i)) + (portRef I0 (instanceRef un21_berr_0_a2_0_a3_1)) + )) + (net FPU_SENSE_i (joined + (portRef O (instanceRef FPU_SENSE_i)) + (portRef I1 (instanceRef un21_fpu_cs_0_a2_0_a3_1)) + )) + (net (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (joined + (portRef O (instanceRef SM_AMIGA_i_i_7)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0)) + (portRef I0 (instanceRef pos_clk_un35_as_030_d0_0_i_o2_0)) + )) + (net (rename A_DECODE_i_16 "A_DECODE_i[16]") (joined + (portRef O (instanceRef A_DECODE_i_16)) + (portRef I1 (instanceRef un21_berr_0_a2_0_a2_2)) + )) (net AS_030_D0_i (joined (portRef O (instanceRef AS_030_D0_i)) - (portRef I0 (instanceRef pos_clk_un3_as_030_d0_0_o2_0_o3)) - (portRef I1 (instanceRef un10_ciin_0_a3_5)) + (portRef I0 (instanceRef pos_clk_un35_as_030_d0_0_i_a3_0)) + (portRef I0 (instanceRef pos_clk_un35_as_030_d0_0_i_a3)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) + (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i_0)) + (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3_5)) )) (net (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (joined (portRef O (instanceRef SIZE_DMA_i_0)) @@ -2255,49 +2105,57 @@ (portRef O (instanceRef SIZE_DMA_i_1)) (portRef I1 (instanceRef un4_size)) )) + (net (rename A_DECODE_i_18 "A_DECODE_i[18]") (joined + (portRef O (instanceRef A_DECODE_i_18)) + (portRef I0 (instanceRef un21_berr_0_a2_0_a2_3)) + )) + (net (rename A_DECODE_i_19 "A_DECODE_i[19]") (joined + (portRef O (instanceRef A_DECODE_i_19)) + (portRef I1 (instanceRef un21_berr_0_a2_0_a2_3)) + )) (net (rename AHIGH_i_30 "AHIGH_i[30]") (joined - (portRef O (instanceRef I_233)) - (portRef I0 (instanceRef un10_ciin_0_a3_4)) + (portRef O (instanceRef I_223)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3_4)) )) (net (rename AHIGH_i_31 "AHIGH_i[31]") (joined - (portRef O (instanceRef I_234)) - (portRef I1 (instanceRef un10_ciin_0_a3_4)) + (portRef O (instanceRef I_224)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3_4)) )) (net (rename AHIGH_i_28 "AHIGH_i[28]") (joined - (portRef O (instanceRef I_235)) - (portRef I0 (instanceRef un10_ciin_0_a3_3)) + (portRef O (instanceRef I_225)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3_3)) )) (net (rename AHIGH_i_29 "AHIGH_i[29]") (joined - (portRef O (instanceRef I_236)) - (portRef I1 (instanceRef un10_ciin_0_a3_3)) + (portRef O (instanceRef I_226)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3_3)) )) (net (rename AHIGH_i_26 "AHIGH_i[26]") (joined - (portRef O (instanceRef I_237)) - (portRef I0 (instanceRef un10_ciin_0_a3_2)) + (portRef O (instanceRef I_227)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3_2)) )) (net (rename AHIGH_i_27 "AHIGH_i[27]") (joined - (portRef O (instanceRef I_238)) - (portRef I1 (instanceRef un10_ciin_0_a3_2)) + (portRef O (instanceRef I_228)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3_2)) )) (net (rename AHIGH_i_24 "AHIGH_i[24]") (joined - (portRef O (instanceRef I_239)) - (portRef I0 (instanceRef un10_ciin_0_a3_1)) + (portRef O (instanceRef I_229)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3_1)) )) (net (rename AHIGH_i_25 "AHIGH_i[25]") (joined - (portRef O (instanceRef I_240)) - (portRef I1 (instanceRef un10_ciin_0_a3_1)) + (portRef O (instanceRef I_230)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3_1)) )) - (net N_244_i (joined - (portRef O (instanceRef N_244_i)) - (portRef I1 (instanceRef G_122_1)) + (net N_210_i (joined + (portRef O (instanceRef N_210_i)) + (portRef I1 (instanceRef G_110_1)) )) - (net N_245_i (joined - (portRef O (instanceRef N_245_i)) - (portRef I1 (instanceRef G_122)) + (net N_211_i (joined + (portRef O (instanceRef N_211_i)) + (portRef I1 (instanceRef G_110)) )) - (net N_246_i (joined - (portRef O (instanceRef N_246_i)) - (portRef I0 (instanceRef G_122_1)) + (net N_212_i (joined + (portRef O (instanceRef N_212_i)) + (portRef I0 (instanceRef G_110_1)) )) (net CLK_OUT_PRE_50_i (joined (portRef O (instanceRef CLK_OUT_PRE_50_i)) @@ -2307,21 +2165,21 @@ (portRef O (instanceRef un6_ds_030_i)) (portRef I0 (instanceRef DS_030)) )) - (net DS_000_DMA_i (joined - (portRef O (instanceRef DS_000_DMA_i)) - (portRef I1 (instanceRef un6_ds_030)) - )) (net un4_as_000_i (joined (portRef O (instanceRef un4_as_000_i)) (portRef I0 (instanceRef AS_000)) )) + (net AS_000_INT_i (joined + (portRef O (instanceRef AS_000_INT_i)) + (portRef I0 (instanceRef un4_as_000)) + )) (net un6_as_030_i (joined (portRef O (instanceRef un6_as_030_i)) (portRef I0 (instanceRef AS_030)) )) (net AS_030_c (joined (portRef O (instanceRef AS_030)) - (portRef I0 (instanceRef I_228)) + (portRef I0 (instanceRef I_222)) )) (net AS_030 (joined (portRef AS_030) @@ -2329,8 +2187,8 @@ )) (net AS_000_c (joined (portRef O (instanceRef AS_000)) - (portRef I0 (instanceRef I_231)) (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_0_a2)) + (portRef I0 (instanceRef I_220)) )) (net AS_000 (joined (portRef AS_000) @@ -2338,7 +2196,7 @@ )) (net RW_000_c (joined (portRef O (instanceRef RW_000)) - (portRef I0 (instanceRef I_232)) + (portRef I0 (instanceRef I_221)) (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_2)) )) (net RW_000 (joined @@ -2351,8 +2209,8 @@ )) (net UDS_000_c (joined (portRef O (instanceRef UDS_000)) + (portRef I1 (instanceRef pos_clk_A0_DMA_3_0_a2_0_a3)) (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_a2)) - (portRef I1 (instanceRef pos_clk_A0_DMA_3_0_a3)) (portRef I0 (instanceRef UDS_000_c_i)) )) (net UDS_000 (joined @@ -2386,7 +2244,7 @@ )) (net (rename AHIGH_c_24 "AHIGH_c[24]") (joined (portRef O (instanceRef AHIGH_24)) - (portRef I0 (instanceRef I_239)) + (portRef I0 (instanceRef I_229)) )) (net (rename AHIGH_24 "AHIGH[24]") (joined (portRef IO (instanceRef AHIGH_24)) @@ -2394,7 +2252,7 @@ )) (net (rename AHIGH_c_25 "AHIGH_c[25]") (joined (portRef O (instanceRef AHIGH_25)) - (portRef I0 (instanceRef I_240)) + (portRef I0 (instanceRef I_230)) )) (net (rename AHIGH_25 "AHIGH[25]") (joined (portRef IO (instanceRef AHIGH_25)) @@ -2402,7 +2260,7 @@ )) (net (rename AHIGH_c_26 "AHIGH_c[26]") (joined (portRef O (instanceRef AHIGH_26)) - (portRef I0 (instanceRef I_237)) + (portRef I0 (instanceRef I_227)) )) (net (rename AHIGH_26 "AHIGH[26]") (joined (portRef IO (instanceRef AHIGH_26)) @@ -2410,7 +2268,7 @@ )) (net (rename AHIGH_c_27 "AHIGH_c[27]") (joined (portRef O (instanceRef AHIGH_27)) - (portRef I0 (instanceRef I_238)) + (portRef I0 (instanceRef I_228)) )) (net (rename AHIGH_27 "AHIGH[27]") (joined (portRef IO (instanceRef AHIGH_27)) @@ -2418,7 +2276,7 @@ )) (net (rename AHIGH_c_28 "AHIGH_c[28]") (joined (portRef O (instanceRef AHIGH_28)) - (portRef I0 (instanceRef I_235)) + (portRef I0 (instanceRef I_225)) )) (net (rename AHIGH_28 "AHIGH[28]") (joined (portRef IO (instanceRef AHIGH_28)) @@ -2426,7 +2284,7 @@ )) (net (rename AHIGH_c_29 "AHIGH_c[29]") (joined (portRef O (instanceRef AHIGH_29)) - (portRef I0 (instanceRef I_236)) + (portRef I0 (instanceRef I_226)) )) (net (rename AHIGH_29 "AHIGH[29]") (joined (portRef IO (instanceRef AHIGH_29)) @@ -2434,7 +2292,7 @@ )) (net (rename AHIGH_c_30 "AHIGH_c[30]") (joined (portRef O (instanceRef AHIGH_30)) - (portRef I0 (instanceRef I_233)) + (portRef I0 (instanceRef I_223)) )) (net (rename AHIGH_30 "AHIGH[30]") (joined (portRef IO (instanceRef AHIGH_30)) @@ -2442,7 +2300,7 @@ )) (net (rename AHIGH_c_31 "AHIGH_c[31]") (joined (portRef O (instanceRef AHIGH_31)) - (portRef I0 (instanceRef I_234)) + (portRef I0 (instanceRef I_224)) )) (net (rename AHIGH_31 "AHIGH[31]") (joined (portRef (member ahigh 0)) @@ -2556,7 +2414,7 @@ )) (net (rename A_DECODE_c_17 "A_DECODE_c[17]") (joined (portRef O (instanceRef A_DECODE_17)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) + (portRef I0 (instanceRef un21_berr_0_a2_0_a2_2)) )) (net (rename A_DECODE_17 "A_DECODE[17]") (joined (portRef (member a_decode 6)) @@ -2580,7 +2438,7 @@ )) (net (rename A_DECODE_c_20 "A_DECODE_c[20]") (joined (portRef O (instanceRef A_DECODE_20)) - (portRef I0 (instanceRef un10_ciin_0_a3_6)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3_6)) )) (net (rename A_DECODE_20 "A_DECODE[20]") (joined (portRef (member a_decode 3)) @@ -2588,7 +2446,7 @@ )) (net (rename A_DECODE_c_21 "A_DECODE_c[21]") (joined (portRef O (instanceRef A_DECODE_21)) - (portRef I1 (instanceRef un10_ciin_0_a3_6)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3_6)) )) (net (rename A_DECODE_21 "A_DECODE[21]") (joined (portRef (member a_decode 2)) @@ -2596,7 +2454,7 @@ )) (net (rename A_DECODE_c_22 "A_DECODE_c[22]") (joined (portRef O (instanceRef A_DECODE_22)) - (portRef I1 (instanceRef un10_ciin_0_a3_11)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3_11)) )) (net (rename A_DECODE_22 "A_DECODE[22]") (joined (portRef (member a_decode 1)) @@ -2604,7 +2462,7 @@ )) (net (rename A_DECODE_c_23 "A_DECODE_c[23]") (joined (portRef O (instanceRef A_DECODE_23)) - (portRef I0 (instanceRef un10_ciin_0_a3_5)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3_5)) )) (net (rename A_DECODE_23 "A_DECODE[23]") (joined (portRef (member a_decode 0)) @@ -2630,9 +2488,9 @@ )) (net nEXP_SPACE_c (joined (portRef O (instanceRef nEXP_SPACE)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0)) + (portRef I1 (instanceRef pos_clk_un35_as_030_d0_0_i_o2_0)) (portRef I0 (instanceRef nEXP_SPACE_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_1_0)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_i_a3_2)) (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_0_a3_1)) (portRef OE (instanceRef DSACK1)) )) @@ -2642,8 +2500,7 @@ )) (net BERR_c (joined (portRef O (instanceRef BERR)) - (portRef I1 (instanceRef pos_clk_un3_as_030_d0_0_o2_0_o3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a2_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_2_3)) )) (net BERR (joined (portRef BERR) @@ -2673,9 +2530,9 @@ )) (net BGACK_000_c (joined (portRef O (instanceRef BGACK_000)) - (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_0)) + (portRef I1 (instanceRef un21_berr_0_a2_0_a3_1)) (portRef I0 (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef un22_berr_0_a2_0_a3_1)) + (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_0)) )) (net BGACK_000 (joined (portRef BGACK_000) @@ -2712,6 +2569,7 @@ (portRef CLK (instanceRef BG_000DFF)) (portRef CLK (instanceRef CLK_000_D_0)) (portRef CLK (instanceRef CLK_000_D_1)) + (portRef CLK (instanceRef CLK_000_D_2)) (portRef CLK (instanceRef CLK_030_H)) (portRef CLK (instanceRef CLK_OUT_INT)) (portRef CLK (instanceRef CLK_OUT_PRE_25)) @@ -2778,7 +2636,7 @@ (net FPU_SENSE_c (joined (portRef O (instanceRef FPU_SENSE)) (portRef I0 (instanceRef FPU_SENSE_i)) - (portRef I1 (instanceRef un22_berr_0_a2_0_a3)) + (portRef I1 (instanceRef un21_berr_0_a2_0_a3_1_0)) )) (net FPU_SENSE (joined (portRef FPU_SENSE) @@ -2813,8 +2671,8 @@ )) (net (rename IPL_c_0 "IPL_c[0]") (joined (portRef O (instanceRef IPL_0)) + (portRef I1 (instanceRef G_107)) (portRef I0 (instanceRef IPL_030_0_0__m)) - (portRef I1 (instanceRef G_119)) (portRef I0 (instanceRef IPL_c_i_0)) )) (net (rename IPL_0 "IPL[0]") (joined @@ -2823,8 +2681,8 @@ )) (net (rename IPL_c_1 "IPL_c[1]") (joined (portRef O (instanceRef IPL_1)) + (portRef I1 (instanceRef G_108)) (portRef I0 (instanceRef IPL_030_0_1__m)) - (portRef I1 (instanceRef G_120)) (portRef I0 (instanceRef IPL_c_i_1)) )) (net (rename IPL_1 "IPL[1]") (joined @@ -2834,7 +2692,7 @@ (net (rename IPL_c_2 "IPL_c[2]") (joined (portRef O (instanceRef IPL_2)) (portRef I0 (instanceRef IPL_030_0_2__m)) - (portRef I1 (instanceRef G_121)) + (portRef I1 (instanceRef G_109)) (portRef I0 (instanceRef IPL_c_i_2)) )) (net (rename IPL_2 "IPL[2]") (joined @@ -2875,46 +2733,50 @@ )) (net RST_c (joined (portRef O (instanceRef RST)) - (portRef I1 (instanceRef IPL_D0_0_2)) - (portRef I1 (instanceRef IPL_D0_0_1)) - (portRef I1 (instanceRef IPL_D0_0_0)) - (portRef I1 (instanceRef DTACK_D0_0)) - (portRef I1 (instanceRef DS_000_DMA_1)) - (portRef I1 (instanceRef AS_000_DMA_1)) - (portRef I1 (instanceRef IPL_030_1_2)) - (portRef I1 (instanceRef IPL_030_1_1)) - (portRef I1 (instanceRef IPL_030_1_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a2_1)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o3)) + (portRef I1 (instanceRef AS_030_000_SYNC_1)) + (portRef I1 (instanceRef RW_000_INT_1)) + (portRef I1 (instanceRef A0_DMA_1)) + (portRef I1 (instanceRef DS_000_ENABLE_1)) (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_1)) - (portRef I1 (instanceRef VMA_INT_1)) - (portRef I1 (instanceRef RESET_OUT_2_0_0_a3)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) + (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i_i_a3)) + (portRef I1 (instanceRef AS_030_D0_0_i_a2_i)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o3)) (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) - (portRef I1 (instanceRef UDS_000_INT_1)) - (portRef I1 (instanceRef A0_DMA_1)) (portRef I1 (instanceRef RW_000_DMA_2)) - (portRef I1 (instanceRef LDS_000_INT_1)) - (portRef I1 (instanceRef BGACK_030_INT_1)) - (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i_i_a3)) - (portRef I1 (instanceRef AS_030_000_SYNC_1)) - (portRef I1 (instanceRef DS_000_ENABLE_1)) - (portRef I1 (instanceRef AS_030_D0_0_i_a2_i)) + (portRef I1 (instanceRef AS_000_DMA_1)) + (portRef I1 (instanceRef DS_000_DMA_1)) + (portRef I1 (instanceRef RESET_OUT_2_i_i_a3)) (portRef I0 (instanceRef VPA_D_0)) + (portRef I1 (instanceRef BGACK_030_INT_1)) (portRef I1 (instanceRef BG_000_1)) + (portRef I1 (instanceRef DTACK_D0_0)) + (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1)) + (portRef I1 (instanceRef RST_DLYlde_i_a2_i)) (portRef I1 (instanceRef RST_DLY_e2_i_0_o2)) - (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_129_1)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_a2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_3_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_a2_5)) - (portRef I1 (instanceRef RW_000_INT_1)) (portRef I1 (instanceRef AS_000_INT_1)) (portRef I1 (instanceRef DSACK1_INT_1)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_2)) + (portRef I1 (instanceRef VMA_INT_1)) + (portRef I1 (instanceRef LDS_000_INT_1)) + (portRef I1 (instanceRef IPL_D0_0_0)) + (portRef I1 (instanceRef IPL_D0_0_1)) + (portRef I1 (instanceRef IPL_D0_0_2)) + (portRef I1 (instanceRef IPL_030_1_0)) + (portRef I1 (instanceRef IPL_030_1_1)) + (portRef I1 (instanceRef IPL_030_1_2)) + (portRef I1 (instanceRef UDS_000_INT_1)) (portRef I1 (instanceRef RST_DLY_e1_i_0_2)) - (portRef I1 (instanceRef RST_DLY_e0_i_0)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_6)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_4)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_0)) (portRef I1 (instanceRef CLK_030_H_2_i_0_1)) + (portRef I1 (instanceRef RST_DLY_e0_i_0)) + (portRef I1 (instanceRef RESET_OUT_2_i_i_a3_0)) )) (net RST (joined (portRef RST) @@ -2935,7 +2797,7 @@ )) (net (rename FC_c_0 "FC_c[0]") (joined (portRef O (instanceRef FC_0)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) + (portRef I0 (instanceRef un21_berr_0_a2_0_a2_1)) )) (net (rename FC_0 "FC[0]") (joined (portRef (member fc 1)) @@ -2943,7 +2805,7 @@ )) (net (rename FC_c_1 "FC_c[1]") (joined (portRef O (instanceRef FC_1)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) + (portRef I1 (instanceRef un21_berr_0_a2_0_a2_1)) )) (net (rename FC_1 "FC[1]") (joined (portRef (member fc 0)) @@ -2973,294 +2835,412 @@ (portRef O (instanceRef CIIN)) (portRef CIIN) )) - (net N_18_i (joined - (portRef O (instanceRef N_18_i)) - (portRef I0 (instanceRef RW_000_INT_1)) + (net UDS_000_INT_i (joined + (portRef O (instanceRef UDS_000_INT_i)) + (portRef I1 (instanceRef un1_UDS_000_INT)) )) - (net N_42_0 (joined - (portRef O (instanceRef RW_000_INT_1)) - (portRef I0 (instanceRef RW_000_INT_1_i)) + (net un1_UDS_000_INT_0 (joined + (portRef O (instanceRef un1_UDS_000_INT)) + (portRef I0 (instanceRef un1_UDS_000_INT_i)) )) - (net N_5_i (joined - (portRef O (instanceRef N_5_i)) + (net LDS_000_INT_i (joined + (portRef O (instanceRef LDS_000_INT_i)) + (portRef I1 (instanceRef un1_LDS_000_INT)) + )) + (net un1_LDS_000_INT_0 (joined + (portRef O (instanceRef un1_LDS_000_INT)) + (portRef I0 (instanceRef un1_LDS_000_INT_i)) + )) + (net N_23_i (joined + (portRef O (instanceRef N_23_i)) + (portRef I0 (instanceRef UDS_000_INT_1)) + )) + (net N_37_0 (joined + (portRef O (instanceRef UDS_000_INT_1)) + (portRef I0 (instanceRef UDS_000_INT_1_i)) + )) + (net N_21_i (joined + (portRef O (instanceRef N_21_i)) (portRef I0 (instanceRef AS_000_INT_1)) )) - (net N_48_0 (joined + (net N_39_0 (joined (portRef O (instanceRef AS_000_INT_1)) (portRef I0 (instanceRef AS_000_INT_1_i)) )) - (net N_4_i (joined - (portRef O (instanceRef N_4_i)) + (net N_20_i (joined + (portRef O (instanceRef N_20_i)) (portRef I0 (instanceRef DSACK1_INT_1)) )) - (net N_49_0 (joined + (net N_40_0 (joined (portRef O (instanceRef DSACK1_INT_1)) (portRef I0 (instanceRef DSACK1_INT_1_i)) )) - (net N_191_i (joined - (portRef O (instanceRef N_191_i)) - (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1)) + (net N_19_i (joined + (portRef O (instanceRef N_19_i)) + (portRef I0 (instanceRef VMA_INT_1)) )) - (net un1_SM_AMIGA_0_sqmuxa_2_0 (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1)) - (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1_i)) + (net N_41_0 (joined + (portRef O (instanceRef VMA_INT_1)) + (portRef I0 (instanceRef VMA_INT_1_i)) )) - (net N_193_i (joined - (portRef O (instanceRef N_193_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_1)) + (net N_14_i (joined + (portRef O (instanceRef N_14_i)) + (portRef I0 (instanceRef LDS_000_INT_1)) )) - (net N_192_i (joined - (portRef O (instanceRef N_192_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_1)) + (net N_46_0 (joined + (portRef O (instanceRef LDS_000_INT_1)) + (portRef I0 (instanceRef LDS_000_INT_1_i)) )) - (net (rename SM_AMIGA_nss_0_6 "SM_AMIGA_nss_0[6]") (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_i_1)) + (net (rename IPL_c_i_0 "IPL_c_i[0]") (joined + (portRef O (instanceRef IPL_c_i_0)) + (portRef I0 (instanceRef IPL_D0_0_0)) )) - (net N_177_i (joined - (portRef O (instanceRef N_177_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_2)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_2_0)) + (net N_52_0 (joined + (portRef O (instanceRef IPL_D0_0_0)) + (portRef I0 (instanceRef IPL_D0_0_i_0)) )) - (net N_194_i (joined - (portRef O (instanceRef N_194_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_2)) + (net (rename IPL_c_i_1 "IPL_c_i[1]") (joined + (portRef O (instanceRef IPL_c_i_1)) + (portRef I0 (instanceRef IPL_D0_0_1)) )) - (net (rename SM_AMIGA_nss_0_5 "SM_AMIGA_nss_0[5]") (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_i_2)) + (net N_53_0 (joined + (portRef O (instanceRef IPL_D0_0_1)) + (portRef I0 (instanceRef IPL_D0_0_i_1)) )) - (net N_195_i (joined - (portRef O (instanceRef N_195_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_3)) + (net (rename IPL_c_i_2 "IPL_c_i[2]") (joined + (portRef O (instanceRef IPL_c_i_2)) + (portRef I0 (instanceRef IPL_D0_0_2)) )) - (net N_196_i (joined - (portRef O (instanceRef N_196_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_3)) + (net N_54_0 (joined + (portRef O (instanceRef IPL_D0_0_2)) + (portRef I0 (instanceRef IPL_D0_0_i_2)) )) - (net (rename SM_AMIGA_nss_0_4 "SM_AMIGA_nss_0[4]") (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_i_3)) + (net N_27_i (joined + (portRef O (instanceRef N_27_i)) + (portRef I0 (instanceRef IPL_030_1_0)) )) - (net N_198_i (joined - (portRef O (instanceRef N_198_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_4)) + (net N_31_0 (joined + (portRef O (instanceRef IPL_030_1_0)) + (portRef I0 (instanceRef IPL_030_1_i_0)) )) - (net N_197_i (joined - (portRef O (instanceRef N_197_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_4)) + (net N_28_i (joined + (portRef O (instanceRef N_28_i)) + (portRef I0 (instanceRef IPL_030_1_1)) )) - (net (rename SM_AMIGA_nss_0_3 "SM_AMIGA_nss_0[3]") (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_i_4)) + (net N_32_0 (joined + (portRef O (instanceRef IPL_030_1_1)) + (portRef I0 (instanceRef IPL_030_1_i_1)) )) - (net N_204_i (joined - (portRef O (instanceRef N_204_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_0_6)) + (net N_29_i (joined + (portRef O (instanceRef N_29_i)) + (portRef I0 (instanceRef IPL_030_1_2)) )) - (net N_203_i (joined - (portRef O (instanceRef N_203_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_6)) + (net N_33_0 (joined + (portRef O (instanceRef IPL_030_1_2)) + (portRef I0 (instanceRef IPL_030_1_i_2)) )) - (net N_303_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_i_6)) + (net (rename A_c_i_0 "A_c_i[0]") (joined + (portRef O (instanceRef A_c_i_0)) + (portRef I1 (instanceRef pos_clk_un10_sm_amiga_1)) )) - (net N_280_0 (joined - (portRef O (instanceRef AS_000_INT_1_sqmuxa_i_0)) - (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i_0_i)) + (net (rename SIZE_c_i_1 "SIZE_c_i[1]") (joined + (portRef O (instanceRef SIZE_c_i_1)) + (portRef I1 (instanceRef pos_clk_un10_sm_amiga)) )) - (net N_279_0 (joined + (net (rename pos_clk_un10_sm_amiga_i "pos_clk.un10_sm_amiga_i") (joined + (portRef O (instanceRef pos_clk_un10_sm_amiga)) + (portRef I0 (instanceRef LDS_000_INT_0_m)) + )) + (net N_256_0 (joined (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_0)) (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0_i)) )) - (net N_236_i (joined - (portRef O (instanceRef N_236_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_1_0)) + (net N_318_i (joined + (portRef O (instanceRef N_318_i)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0)) )) - (net N_391_i (joined - (portRef O (instanceRef N_391_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_0)) + (net N_314_i (joined + (portRef O (instanceRef N_314_i)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0)) )) - (net N_137_0 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_o2_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_i_0)) + (net (rename pos_clk_un9_clk_000_pe_0 "pos_clk.un9_clk_000_pe_0") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_i)) )) - (net N_241_i (joined - (portRef O (instanceRef N_241_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_o3_0)) + (net N_219_i (joined + (portRef O (instanceRef N_219_i)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_1)) )) - (net N_240_i (joined - (portRef O (instanceRef N_240_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_o3_0)) + (net N_220_i (joined + (portRef O (instanceRef N_220_i)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_1)) )) - (net (rename SM_AMIGA_nss_0_7 "SM_AMIGA_nss_0[7]") (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_o3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_o3_i_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_2_0)) + (net (rename cpu_est_2_0_1 "cpu_est_2_0[1]") (joined + (portRef O (instanceRef cpu_est_2_0_0_0_1)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_i_1)) )) - (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined - (portRef O (instanceRef SM_AMIGA_i_4)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_o2)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_3_0)) + (net N_221_i (joined + (portRef O (instanceRef N_221_i)) + (portRef I0 (instanceRef cpu_est_2_i_0_0_3)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_2)) )) - (net N_242_i (joined - (portRef O (instanceRef N_242_i)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_o2)) + (net N_348_i (joined + (portRef O (instanceRef N_348_i)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_2)) )) - (net N_144_0 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_o2)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_o2_i)) + (net (rename cpu_est_2_0_2 "cpu_est_2_0[2]") (joined + (portRef O (instanceRef cpu_est_2_0_0_0_2)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_i_2)) )) - (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined - (portRef O (instanceRef SM_AMIGA_i_2)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_3_0)) + (net N_222_i (joined + (portRef O (instanceRef N_222_i)) + (portRef I1 (instanceRef cpu_est_2_i_0_0_3)) )) - (net N_154_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_o2_3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_1_0_0)) + (net N_196_i (joined + (portRef O (instanceRef cpu_est_2_i_0_0_3)) + (portRef I0 (instanceRef cpu_est_0_3__n)) )) - (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined - (portRef O (instanceRef SM_AMIGA_i_6)) - (portRef I1 (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1_o2)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_0_0)) + (net N_226_i (joined + (portRef O (instanceRef N_226_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_5)) )) - (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined - (portRef O (instanceRef SM_AMIGA_i_0)) - (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1_o2)) + (net N_149_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_5)) + (portRef D (instanceRef SM_AMIGA_5)) )) - (net N_155_i (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1_o2)) - (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1_o2_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_1_0_0)) + (net N_231_i (joined + (portRef O (instanceRef N_231_i)) + (portRef I1 (instanceRef un5_e_0_i)) )) - (net N_160_0 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_o2_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_0_i_0)) + (net N_229_i (joined + (portRef O (instanceRef N_229_i)) + (portRef I0 (instanceRef un5_e_0_i)) )) - (net N_243_i (joined - (portRef O (instanceRef N_243_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_1_0)) + (net N_302_i (joined + (portRef O (instanceRef un5_e_0_i)) + (portRef I0 (instanceRef E)) )) - (net N_163_0 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_o2_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_1_i_0)) - )) - (net N_176_i (joined - (portRef O (instanceRef N_176_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_1_0)) - )) - (net N_175_i (joined - (portRef O (instanceRef N_175_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_1_0)) - )) - (net N_252_i (joined - (portRef O (instanceRef N_252_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_5_0)) - )) - (net N_239_i (joined - (portRef O (instanceRef N_239_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_3_0)) - )) - (net N_178_i (joined - (portRef O (instanceRef N_178_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_3_0)) - )) - (net (rename SM_AMIGA_nss_i_0_0 "SM_AMIGA_nss_i_0[0]") (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_i_0)) - )) - (net N_304_i (joined - (portRef O (instanceRef RST_DLY_e2_i_0)) - (portRef D (instanceRef RST_DLY_2)) - )) - (net N_181_i (joined - (portRef O (instanceRef N_181_i)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_2)) - )) - (net N_180_i (joined - (portRef O (instanceRef N_180_i)) - (portRef I1 (instanceRef RST_DLY_e2_i_0_1)) - )) - (net N_179_i (joined - (portRef O (instanceRef N_179_i)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_1)) - )) - (net N_305_i (joined - (portRef O (instanceRef RST_DLY_e1_i_0)) - (portRef D (instanceRef RST_DLY_1)) - )) - (net N_185_i (joined - (portRef O (instanceRef N_185_i)) - (portRef I0 (instanceRef RST_DLY_e1_i_0_2)) - )) - (net N_183_i (joined - (portRef O (instanceRef N_183_i)) - (portRef I0 (instanceRef RST_DLY_e1_i_0_1)) - )) - (net N_184_i (joined - (portRef O (instanceRef N_184_i)) - (portRef I1 (instanceRef RST_DLY_e1_i_0_1)) - )) - (net N_162_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_o2_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_o2_i_3)) - )) - (net N_139_i (joined - (portRef O (instanceRef RST_DLY_e2_i_0_o2_0)) - (portRef I1 (instanceRef RST_DLY_e1_i_0_a3)) - (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_129_1_a2)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_0_i)) - )) - (net N_238_i (joined - (portRef O (instanceRef N_238_i)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_o2)) - )) - (net N_136_0 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_o2)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_i)) - )) - (net N_130_i (joined - (portRef O (instanceRef CLK_000_NE_0_o7_i_a2_0_o2_i_o2)) - (portRef I0 (instanceRef cpu_est_0_0_0_a3_0_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_o2_3)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_3_0)) - (portRef I0 (instanceRef RST_DLY_e2_i_0_a2)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_0_a3)) - (portRef I0 (instanceRef CLK_000_NE_0_o7_i_a2_0_o2_i_o2_i)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0_1)) - )) - (net N_213_i (joined - (portRef O (instanceRef N_213_i)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_3)) - )) - (net N_214_i (joined - (portRef O (instanceRef N_214_i)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_3)) - )) - (net (rename cpu_est_2_0_3 "cpu_est_2_0[3]") (joined - (portRef O (instanceRef cpu_est_2_0_0_0_3)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_i_3)) - )) - (net N_206_i (joined - (portRef O (instanceRef N_206_i)) + (net N_233_i (joined + (portRef O (instanceRef N_233_i)) (portRef I1 (instanceRef cpu_est_0_0_0_0)) )) - (net N_205_i (joined - (portRef O (instanceRef N_205_i)) + (net N_232_i (joined + (portRef O (instanceRef N_232_i)) (portRef I0 (instanceRef cpu_est_0_0_0_0)) )) - (net N_268_i (joined + (net N_230_i (joined (portRef O (instanceRef cpu_est_0_0_0_0)) (portRef D (instanceRef cpu_est_0)) )) - (net N_248_i (joined - (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_129_1)) + (net N_80_0 (joined + (portRef O (instanceRef AS_000_INT_1_sqmuxa_i_0)) + (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i_0_i)) + )) + (net N_343_i (joined + (portRef O (instanceRef N_343_i)) + (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1)) + )) + (net N_214_0 (joined + (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a2)) (portRef I1 (instanceRef RST_DLY_e2_i_0_a3_1_0)) (portRef I0 (instanceRef RST_DLY_e1_i_0_a3_1_1)) )) + (net N_166_i (joined + (portRef O (instanceRef RST_DLYlde_i_a2_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_5)) + (portRef I0 (instanceRef RST_DLY_e0_i_0_a3_0)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_a3_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_1)) + )) + (net N_134_i (joined + (portRef O (instanceRef CLK_000_NE_0_o3_i_a2_0_o2_i_o2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_o2_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_4)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a2)) + (portRef I0 (instanceRef cpu_est_0_0_0_a3_0_0)) + (portRef I0 (instanceRef CLK_000_NE_0_o3_i_a2_0_o2_i_o2_i)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0_1)) + (portRef I0 (instanceRef RESET_OUT_2_i_i_a3_0_1)) + )) + (net N_298_i (joined + (portRef O (instanceRef N_298_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_o2)) + )) + (net N_142_0 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_o2)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_i)) + )) + (net N_153_i (joined + (portRef O (instanceRef cpu_est_2_0_0_0_o2_2)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_a2_2)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_o2_i_2)) + )) + (net N_154_0 (joined + (portRef O (instanceRef cpu_est_2_i_0_0_o2_3)) + (portRef I0 (instanceRef cpu_est_2_i_0_0_o2_i_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_3)) + )) + (net N_156_i (joined + (portRef O (instanceRef RST_DLY_e2_i_0_o2_0)) + (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1_a2)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a3)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_0_i)) + )) + (net N_305_i (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_o2)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_3)) + )) + (net N_299_i (joined + (portRef O (instanceRef N_299_i)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_o2)) + )) + (net N_162_0 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_o2)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_o2_i)) + )) + (net N_165_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_2_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_2_i_3)) + )) + (net N_169_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_o2_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_o2_i_0)) + )) + (net VMA_INT_i (joined + (portRef O (instanceRef VMA_INT_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_2_3)) + )) + (net N_341_i (joined + (portRef O (instanceRef N_341_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_1_3)) + )) + (net N_342_i (joined + (portRef O (instanceRef N_342_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_1_3)) + )) + (net N_171_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_1_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_1_i_3)) + )) + (net N_172_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_3)) + )) + (net N_178_0 (joined + (portRef O (instanceRef un5_e_0_i_o2)) + (portRef I0 (instanceRef un5_e_0_i_o2_i)) + )) + (net N_179_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_2)) + )) + (net N_180_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_i_3)) + )) + (net N_184_0 (joined + (portRef O (instanceRef un5_e_0_i_o2_0)) + (portRef I0 (instanceRef un5_e_0_i_o2_0_i)) + )) + (net N_185_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_4)) + )) + (net N_203_i (joined + (portRef O (instanceRef N_203_i)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_1)) + )) + (net N_204_i (joined + (portRef O (instanceRef N_204_i)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_1)) + )) + (net N_205_i (joined + (portRef O (instanceRef N_205_i)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_2)) + )) + (net N_239_i (joined + (portRef O (instanceRef RST_DLY_e1_i_0)) + (portRef D (instanceRef RST_DLY_1)) + )) + (net N_200_i (joined + (portRef O (instanceRef N_200_i)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_1)) + )) + (net N_199_i (joined + (portRef O (instanceRef N_199_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_1)) + )) + (net N_201_i (joined + (portRef O (instanceRef N_201_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_2)) + )) + (net N_238_i (joined + (portRef O (instanceRef RST_DLY_e2_i_0)) + (portRef D (instanceRef RST_DLY_2)) + )) + (net N_67_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) + (portRef D (instanceRef CYCLE_DMA_0)) + )) + (net N_208_i (joined + (portRef O (instanceRef N_208_i)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_2)) + )) + (net N_207_i (joined + (portRef O (instanceRef N_207_i)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_2)) + )) + (net N_167_i (joined + (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_o3_i_a2_0_o2_i_o2)) + (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_o3_i_a2_0_o2_i_o2_i)) + )) + (net N_138_i (joined + (portRef O (instanceRef N_258_i_0_o2)) + (portRef I1 (instanceRef pos_clk_un6_bgack_000_0_0_a2)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a3_0_0)) + (portRef I1 (instanceRef G_102)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_3)) + (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_o3_i_a2_0_o2_i_o2)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_a3)) + (portRef I0 (instanceRef N_258_i_0_o2_i)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_1)) + )) + (net N_349_i (joined + (portRef O (instanceRef N_349_i)) + (portRef I1 (instanceRef pos_clk_un6_bgack_000_0_0)) + )) + (net (rename pos_clk_un6_bgack_000_0 "pos_clk.un6_bgack_000_0") (joined + (portRef O (instanceRef pos_clk_un6_bgack_000_0_0)) + (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_0_i)) + )) + (net DTACK_c_i (joined + (portRef O (instanceRef DTACK_c_i)) + (portRef I0 (instanceRef DTACK_D0_0)) + )) + (net N_56_0 (joined + (portRef O (instanceRef DTACK_D0_0)) + (portRef I0 (instanceRef DTACK_D0_0_i)) + )) + (net VPA_c_i (joined + (portRef O (instanceRef VPA_c_i)) + (portRef I1 (instanceRef VPA_D_0)) + )) + (net N_55_0 (joined + (portRef O (instanceRef VPA_D_0)) + (portRef I0 (instanceRef VPA_D_0_i)) + )) + (net N_6_i (joined + (portRef O (instanceRef N_6_i)) + (portRef I0 (instanceRef BGACK_030_INT_1)) + )) + (net N_47_0 (joined + (portRef O (instanceRef BGACK_030_INT_1)) + (portRef I0 (instanceRef BGACK_030_INT_1_i)) + )) (net N_26_i (joined (portRef O (instanceRef N_26_i)) (portRef I0 (instanceRef BG_000_1)) @@ -3281,79 +3261,6 @@ (portRef O (instanceRef pos_clk_un9_bg_030)) (portRef I0 (instanceRef pos_clk_un9_bg_030_i)) )) - (net (rename pos_clk_un3_as_030_d0_i "pos_clk.un3_as_030_d0_i") (joined - (portRef O (instanceRef pos_clk_un3_as_030_d0_0_o2_0_o3)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_i)) - (portRef I1 (instanceRef AS_000_INT_1_sqmuxa_i_0)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i_0)) - (portRef I0 (instanceRef pos_clk_un3_as_030_d0_0_o2_0_o3_i)) - )) - (net un10_ciin_i (joined - (portRef O (instanceRef un10_ciin_i)) - (portRef I1 (instanceRef un13_ciin_i_0)) - )) - (net N_127_0 (joined - (portRef O (instanceRef un13_ciin_i_0)) - (portRef I0 (instanceRef un13_ciin_i_0_i)) - )) - (net N_369_0 (joined - (portRef O (instanceRef AS_030_D0_0_i_a2_i)) - (portRef I0 (instanceRef AS_030_D0_0_i_a2_i_i)) - )) - (net N_367_i (joined - (portRef O (instanceRef un1_as_030_i_a2_i)) - (portRef OE (instanceRef SIZE_0)) - (portRef OE (instanceRef SIZE_1)) - )) - (net un1_SM_AMIGA_0_sqmuxa_3_i (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_3_i)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) - )) - (net N_278_0 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) - )) - (net N_218_i (joined - (portRef O (instanceRef N_218_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i)) - )) - (net N_366_0 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i_i)) - )) - (net VPA_c_i (joined - (portRef O (instanceRef VPA_c_i)) - (portRef I1 (instanceRef VPA_D_0)) - )) - (net N_55_0 (joined - (portRef O (instanceRef VPA_D_0)) - (portRef I0 (instanceRef VPA_D_0_i)) - )) - (net N_7_i (joined - (portRef O (instanceRef N_7_i)) - (portRef I0 (instanceRef AS_030_000_SYNC_1)) - )) - (net N_47_0 (joined - (portRef O (instanceRef AS_030_000_SYNC_1)) - (portRef I0 (instanceRef AS_030_000_SYNC_1_i)) - )) - (net LDS_000_INT_i (joined - (portRef O (instanceRef LDS_000_INT_i)) - (portRef I1 (instanceRef un1_LDS_000_INT)) - )) - (net un1_LDS_000_INT_0 (joined - (portRef O (instanceRef un1_LDS_000_INT)) - (portRef I0 (instanceRef un1_LDS_000_INT_i)) - )) - (net UDS_000_INT_i (joined - (portRef O (instanceRef UDS_000_INT_i)) - (portRef I1 (instanceRef un1_UDS_000_INT)) - )) - (net un1_UDS_000_INT_0 (joined - (portRef O (instanceRef un1_UDS_000_INT)) - (portRef I0 (instanceRef un1_UDS_000_INT_i)) - )) (net N_25_i (joined (portRef O (instanceRef N_25_i)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) @@ -3370,396 +3277,21 @@ (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1_i)) )) - (net N_23_i (joined - (portRef O (instanceRef N_23_i)) - (portRef I0 (instanceRef UDS_000_INT_1)) - )) - (net N_37_0 (joined - (portRef O (instanceRef UDS_000_INT_1)) - (portRef I0 (instanceRef UDS_000_INT_1_i)) - )) - (net N_22_i (joined - (portRef O (instanceRef N_22_i)) - (portRef I0 (instanceRef A0_DMA_1)) - )) - (net N_38_0 (joined - (portRef O (instanceRef A0_DMA_1)) - (portRef I0 (instanceRef A0_DMA_1_i)) - )) - (net N_19_i (joined - (portRef O (instanceRef N_19_i)) + (net N_17_i (joined + (portRef O (instanceRef N_17_i)) (portRef I0 (instanceRef RW_000_DMA_2)) )) - (net N_41_0 (joined + (net N_43_0 (joined (portRef O (instanceRef RW_000_DMA_2)) (portRef I0 (instanceRef RW_000_DMA_2_i)) )) - (net N_17_i (joined - (portRef O (instanceRef N_17_i)) - (portRef I0 (instanceRef LDS_000_INT_1)) + (net N_4_i (joined + (portRef O (instanceRef N_4_i)) + (portRef I0 (instanceRef AS_000_DMA_1)) )) - (net N_43_0 (joined - (portRef O (instanceRef LDS_000_INT_1)) - (portRef I0 (instanceRef LDS_000_INT_1_i)) - )) - (net N_10_i (joined - (portRef O (instanceRef N_10_i)) - (portRef I0 (instanceRef BGACK_030_INT_1)) - )) - (net N_44_0 (joined - (portRef O (instanceRef BGACK_030_INT_1)) - (portRef I0 (instanceRef BGACK_030_INT_1_i)) - )) - (net (rename A_c_i_0 "A_c_i[0]") (joined - (portRef O (instanceRef A_c_i_0)) - (portRef I1 (instanceRef pos_clk_un10_sm_amiga_1)) - )) - (net (rename SIZE_c_i_1 "SIZE_c_i[1]") (joined - (portRef O (instanceRef SIZE_c_i_1)) - (portRef I1 (instanceRef pos_clk_un10_sm_amiga)) - )) - (net (rename pos_clk_un10_sm_amiga_i "pos_clk.un10_sm_amiga_i") (joined - (portRef O (instanceRef pos_clk_un10_sm_amiga)) - (portRef I0 (instanceRef LDS_000_INT_0_m)) - )) - (net N_259_i (joined - (portRef O (instanceRef N_259_i)) - (portRef I1 (instanceRef pos_clk_un6_bgack_000_0_0)) - )) - (net (rename pos_clk_un6_bgack_000_0 "pos_clk.un6_bgack_000_0") (joined - (portRef O (instanceRef pos_clk_un6_bgack_000_0_0)) - (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_0_i)) - )) - (net N_282_0 (joined - (portRef O (instanceRef un14_amiga_bus_data_dir_i_0_0)) - (portRef I0 (instanceRef un14_amiga_bus_data_dir_i_0_0_i)) - )) - (net N_21_i (joined - (portRef O (instanceRef N_21_i)) - (portRef I0 (instanceRef VMA_INT_1)) - )) - (net N_39_0 (joined - (portRef O (instanceRef VMA_INT_1)) - (portRef I0 (instanceRef VMA_INT_1_i)) - )) - (net N_188_i (joined - (portRef O (instanceRef N_188_i)) - (portRef I1 (instanceRef RESET_OUT_2_0_0)) - )) - (net N_187_i (joined - (portRef O (instanceRef N_187_i)) - (portRef I0 (instanceRef RESET_OUT_2_0_0)) - )) - (net N_58_0 (joined - (portRef O (instanceRef RESET_OUT_2_0_0)) - (portRef I0 (instanceRef RESET_OUT_2_0_0_i)) - )) - (net N_209_i (joined - (portRef O (instanceRef N_209_i)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0)) - )) - (net N_208_i (joined - (portRef O (instanceRef N_208_i)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0)) - )) - (net (rename pos_clk_un9_clk_000_pe_0 "pos_clk.un9_clk_000_pe_0") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_i)) - )) - (net N_210_i (joined - (portRef O (instanceRef N_210_i)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_1)) - )) - (net N_211_i (joined - (portRef O (instanceRef N_211_i)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_1)) - )) - (net (rename cpu_est_2_0_1 "cpu_est_2_0[1]") (joined - (portRef O (instanceRef cpu_est_2_0_0_0_1)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_i_1)) - )) - (net N_258_i (joined - (portRef O (instanceRef N_258_i)) - (portRef I1 (instanceRef cpu_est_2_0_0_0_2)) - )) - (net N_212_i (joined - (portRef O (instanceRef N_212_i)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_2)) - )) - (net (rename cpu_est_2_0_2 "cpu_est_2_0[2]") (joined - (portRef O (instanceRef cpu_est_2_0_0_0_2)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_i_2)) - )) - (net N_216_i (joined - (portRef O (instanceRef N_216_i)) - (portRef I1 (instanceRef un5_e_0_i)) - )) - (net N_215_i (joined - (portRef O (instanceRef N_215_i)) - (portRef I0 (instanceRef un5_e_0_i)) - )) - (net N_40_i (joined - (portRef O (instanceRef un5_e_0_i)) - (portRef I0 (instanceRef E)) - )) - (net N_138_0 (joined - (portRef O (instanceRef un5_e_0_i_o2)) - (portRef I0 (instanceRef un5_e_0_i_o2_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_4_1_0)) - )) - (net N_142_i (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_o2)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_4_1_0)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_1)) - )) - (net N_143_i (joined - (portRef O (instanceRef cpu_est_2_0_0_0_o2_2)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_a2_2)) - (portRef I0 (instanceRef cpu_est_2_0_0_a3_0_3)) - (portRef I0 (instanceRef cpu_est_2_0_0_0_o2_i_2)) - )) - (net VMA_INT_i (joined - (portRef O (instanceRef VMA_INT_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_4_2_0)) - )) - (net N_392_i (joined - (portRef O (instanceRef N_392_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_2_0)) - )) - (net N_393_i (joined - (portRef O (instanceRef N_393_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_2_0)) - )) - (net N_152_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_o2_2_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_o2_2_i_0)) - )) - (net N_161_0 (joined - (portRef O (instanceRef un5_e_0_i_o2_0)) - (portRef I0 (instanceRef un5_e_0_i_o2_0_i)) - )) - (net N_307_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) - (portRef D (instanceRef CYCLE_DMA_0)) - )) - (net N_106_i (joined - (portRef O (instanceRef N_106_i)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_2)) - )) - (net N_186_i (joined - (portRef O (instanceRef N_186_i)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_2)) - )) - (net CLK_030_c_i (joined - (portRef O (instanceRef CLK_030_c_i)) - (portRef I1 (instanceRef CLK_030_H_2_i_0_o2)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i_0)) - )) - (net N_164_0 (joined - (portRef O (instanceRef CLK_030_H_2_i_0_o2)) - (portRef I0 (instanceRef CLK_030_H_2_i_0_o2_i)) - )) - (net N_67_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o3)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o3_i)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) - )) - (net LDS_000_c_i (joined - (portRef O (instanceRef LDS_000_c_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) - )) - (net UDS_000_c_i (joined - (portRef O (instanceRef UDS_000_c_i)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) - )) - (net N_156_i (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_i_0)) - )) - (net (rename pos_clk_un21_bgack_030_int_i_0_0 "pos_clk.un21_bgack_030_int_i_0_0") (joined - (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3)) - (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa_i_0)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0_0)) - (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_i)) - (portRef I1 (instanceRef CLK_030_H_2_i_0)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_0)) - )) - (net N_237_i (joined - (portRef O (instanceRef N_237_i)) - (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_2)) - )) - (net N_131_i (joined - (portRef O (instanceRef N_310_i_0_o2)) - (portRef I1 (instanceRef G_114)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a2_1)) - (portRef I0 (instanceRef pos_clk_RW_000_INT_5_0_0_a3)) - (portRef I1 (instanceRef pos_clk_un6_bgack_000_0_0_a2)) - (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i_0_a3)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_a3)) - (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_2_0_1_a3)) - (portRef I0 (instanceRef N_310_i_0_o2_i)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_1)) - )) - (net N_125_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i)) - (portRef D (instanceRef CYCLE_DMA_1)) - )) - (net N_368_i (joined - (portRef O (instanceRef un1_as_000_i_a2_i)) - (portRef OE (instanceRef AS_000)) - (portRef OE (instanceRef LDS_000)) - (portRef OE (instanceRef RW_000)) - (portRef OE (instanceRef UDS_000)) - )) - (net N_275_0 (joined - (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i_0)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i_0_i)) - )) - (net N_227_i (joined - (portRef O (instanceRef N_227_i)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_0_1)) - )) - (net N_276_0 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_0)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_0_i)) - )) - (net N_226_i (joined - (portRef O (instanceRef N_226_i)) - (portRef I1 (instanceRef pos_clk_RW_000_INT_5_0_0_1)) - )) - (net RW_c_i (joined - (portRef O (instanceRef RW_c_i)) - (portRef I1 (instanceRef pos_clk_RW_000_INT_5_0_0)) - )) - (net (rename pos_clk_RW_000_INT_5_0 "pos_clk.RW_000_INT_5_0") (joined - (portRef O (instanceRef pos_clk_RW_000_INT_5_0_0)) - (portRef I0 (instanceRef pos_clk_RW_000_INT_5_0_0_i)) - )) - (net N_225_i (joined - (portRef O (instanceRef N_225_i)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_0)) - )) - (net (rename pos_clk_DS_000_DMA_4_0 "pos_clk.DS_000_DMA_4_0") (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_0)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_0_i)) - )) - (net N_224_i (joined - (portRef O (instanceRef N_224_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_1)) - )) - (net (rename pos_clk_SIZE_DMA_6_0_1 "pos_clk.SIZE_DMA_6_0[1]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_1)) - )) - (net N_223_i (joined - (portRef O (instanceRef N_223_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) - )) - (net (rename pos_clk_SIZE_DMA_6_0_0 "pos_clk.SIZE_DMA_6_0[0]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_0)) - )) - (net N_222_i (joined - (portRef O (instanceRef N_222_i)) - (portRef I0 (instanceRef CLK_030_H_2_i_0_1)) - )) - (net N_277_i (joined - (portRef O (instanceRef CLK_030_H_2_i_0)) - (portRef D (instanceRef CLK_030_H)) - )) - (net N_201_i (joined - (portRef O (instanceRef N_201_i)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0)) - )) - (net N_202_i (joined - (portRef O (instanceRef N_202_i)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0)) - )) - (net AMIGA_BUS_DATA_DIR_c_0 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_i)) - )) - (net N_199_i (joined - (portRef O (instanceRef N_199_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_5)) - )) - (net N_200_i (joined - (portRef O (instanceRef N_200_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_0_0_0_5)) - )) - (net (rename SM_AMIGA_nss_0_2 "SM_AMIGA_nss_0[2]") (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_i_5)) - )) - (net N_189_i (joined - (portRef O (instanceRef N_189_i)) - (portRef I0 (instanceRef RST_DLY_e0_i_0_1)) - )) - (net N_190_i (joined - (portRef O (instanceRef N_190_i)) - (portRef I1 (instanceRef RST_DLY_e0_i_0_1)) - )) - (net N_306_i (joined - (portRef O (instanceRef RST_DLY_e0_i_0)) - (portRef D (instanceRef RST_DLY_0)) - )) - (net N_29_i (joined - (portRef O (instanceRef N_29_i)) - (portRef I0 (instanceRef IPL_030_1_2)) - )) - (net N_33_0 (joined - (portRef O (instanceRef IPL_030_1_2)) - (portRef I0 (instanceRef IPL_030_1_i_2)) - )) - (net N_28_i (joined - (portRef O (instanceRef N_28_i)) - (portRef I0 (instanceRef IPL_030_1_1)) - )) - (net N_32_0 (joined - (portRef O (instanceRef IPL_030_1_1)) - (portRef I0 (instanceRef IPL_030_1_i_1)) - )) - (net N_27_i (joined - (portRef O (instanceRef N_27_i)) - (portRef I0 (instanceRef IPL_030_1_0)) - )) - (net N_31_0 (joined - (portRef O (instanceRef IPL_030_1_0)) - (portRef I0 (instanceRef IPL_030_1_i_0)) - )) - (net (rename IPL_c_i_2 "IPL_c_i[2]") (joined - (portRef O (instanceRef IPL_c_i_2)) - (portRef I0 (instanceRef IPL_D0_0_2)) - )) - (net N_54_0 (joined - (portRef O (instanceRef IPL_D0_0_2)) - (portRef I0 (instanceRef IPL_D0_0_i_2)) - )) - (net (rename IPL_c_i_1 "IPL_c_i[1]") (joined - (portRef O (instanceRef IPL_c_i_1)) - (portRef I0 (instanceRef IPL_D0_0_1)) - )) - (net N_53_0 (joined - (portRef O (instanceRef IPL_D0_0_1)) - (portRef I0 (instanceRef IPL_D0_0_i_1)) - )) - (net (rename IPL_c_i_0 "IPL_c_i[0]") (joined - (portRef O (instanceRef IPL_c_i_0)) - (portRef I0 (instanceRef IPL_D0_0_0)) - )) - (net N_52_0 (joined - (portRef O (instanceRef IPL_D0_0_0)) - (portRef I0 (instanceRef IPL_D0_0_i_0)) - )) - (net DTACK_c_i (joined - (portRef O (instanceRef DTACK_c_i)) - (portRef I0 (instanceRef DTACK_D0_0)) - )) - (net N_56_0 (joined - (portRef O (instanceRef DTACK_D0_0)) - (portRef I0 (instanceRef DTACK_D0_0_i)) + (net N_49_0 (joined + (portRef O (instanceRef AS_000_DMA_1)) + (portRef I0 (instanceRef AS_000_DMA_1_i)) )) (net N_3_i (joined (portRef O (instanceRef N_3_i)) @@ -3769,329 +3301,523 @@ (portRef O (instanceRef DS_000_DMA_1)) (portRef I0 (instanceRef DS_000_DMA_1_i)) )) - (net N_8_i (joined - (portRef O (instanceRef N_8_i)) - (portRef I0 (instanceRef AS_000_DMA_1)) + (net N_215_i (joined + (portRef O (instanceRef N_215_i)) + (portRef I0 (instanceRef RESET_OUT_2_i_i)) )) - (net N_46_0 (joined - (portRef O (instanceRef AS_000_DMA_1)) - (portRef I0 (instanceRef AS_000_DMA_1_i)) + (net N_216_i (joined + (portRef O (instanceRef N_216_i)) + (portRef I1 (instanceRef RESET_OUT_2_i_i)) + )) + (net N_301_0 (joined + (portRef O (instanceRef RESET_OUT_2_i_i)) + (portRef I0 (instanceRef RESET_OUT_2_i_i_i)) + )) + (net N_243_0 (joined + (portRef O (instanceRef un14_amiga_bus_data_dir_i_0_0)) + (portRef I0 (instanceRef un14_amiga_bus_data_dir_i_0_0_i)) + )) + (net N_266_i (joined + (portRef O (instanceRef N_266_i)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0)) + )) + (net N_249_i (joined + (portRef O (instanceRef N_249_i)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0)) + )) + (net AMIGA_BUS_DATA_DIR_c_0 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_i)) + )) + (net N_268_i (joined + (portRef O (instanceRef N_268_i)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_0)) + )) + (net (rename pos_clk_DS_000_DMA_4_0 "pos_clk.DS_000_DMA_4_0") (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_0)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_0_i)) + )) + (net CLK_030_c_i (joined + (portRef O (instanceRef CLK_030_c_i)) + (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i_0)) + (portRef I1 (instanceRef CLK_030_H_2_i_0_o2)) + )) + (net N_236_0 (joined + (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i_0)) + (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i_0_i)) + )) + (net un1_as_000_i (joined + (portRef O (instanceRef un1_as_000_0)) + (portRef OE (instanceRef AS_000)) + (portRef OE (instanceRef LDS_000)) + (portRef OE (instanceRef RW_000)) + (portRef OE (instanceRef UDS_000)) + )) + (net N_297_i (joined + (portRef O (instanceRef N_297_i)) + (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_2)) + )) + (net N_160_i (joined + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i_o2)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_i_a3)) + (portRef I1 (instanceRef pos_clk_un35_as_030_d0_0_i_o2)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i_o2_i)) + )) + (net (rename pos_clk_un21_bgack_030_int_i_0_i "pos_clk.un21_bgack_030_int_i_0_i") (joined + (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3)) + (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0_0)) + (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa_i_0)) + (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_i)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_0)) + (portRef I1 (instanceRef CLK_030_H_2_i_0)) + )) + (net N_100_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o3)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o3_i)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_1)) + )) + (net N_186_0 (joined + (portRef O (instanceRef CLK_030_H_2_i_0_o2)) + (portRef I0 (instanceRef CLK_030_H_2_i_0_o2_i)) + )) + (net N_183_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_6)) + )) + (net N_182_0 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_i_0)) + )) + (net N_181_0 (joined + (portRef O (instanceRef pos_clk_un35_as_030_d0_0_i_o2)) + (portRef I0 (instanceRef pos_clk_un35_as_030_d0_0_i_o2_i)) + )) + (net N_228_i (joined + (portRef O (instanceRef N_228_i)) + (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_o3)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_1_0)) + )) + (net N_176_0 (joined + (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_o3)) + (portRef I0 (instanceRef pos_clk_RW_000_INT_5_i_a2_i)) + (portRef I1 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1)) + )) + (net LDS_000_c_i (joined + (portRef O (instanceRef LDS_000_c_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) + )) + (net UDS_000_c_i (joined + (portRef O (instanceRef UDS_000_c_i)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) + )) + (net N_173_i (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a3_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_i_0)) + )) + (net N_304_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_6)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0)) + )) + (net AS_030_000_SYNC_i (joined + (portRef O (instanceRef AS_030_000_SYNC_i)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0_1_0)) + )) + (net N_157_i (joined + (portRef O (instanceRef pos_clk_un35_as_030_d0_0_i_o2_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_6)) + (portRef I0 (instanceRef pos_clk_un35_as_030_d0_0_i_o2)) + )) + (net N_110_0 (joined + (portRef O (instanceRef AS_030_D0_0_i_a2_i)) + (portRef I0 (instanceRef AS_030_D0_0_i_a2_i_i)) + )) + (net RW_c_i (joined + (portRef O (instanceRef RW_c_i)) + (portRef I1 (instanceRef pos_clk_RW_000_INT_5_i_a2_i)) + )) + (net N_106_0 (joined + (portRef O (instanceRef pos_clk_RW_000_INT_5_i_a2_i)) + (portRef I0 (instanceRef pos_clk_RW_000_INT_5_i_a2_i_i)) + )) + (net N_284_i (joined + (portRef O (instanceRef N_284_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_6)) + )) + (net N_151_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_6)) + (portRef D (instanceRef SM_AMIGA_6)) + )) + (net N_334_i (joined + (portRef O (instanceRef N_334_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_2)) + )) + (net N_143_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_2)) + (portRef D (instanceRef SM_AMIGA_2)) + )) + (net N_278_i (joined + (portRef O (instanceRef N_278_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_1)) + )) + (net N_279_i (joined + (portRef O (instanceRef N_279_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1)) + )) + (net N_141_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1)) + (portRef D (instanceRef SM_AMIGA_1)) + )) + (net N_332_i (joined + (portRef O (instanceRef N_332_i)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_0_1)) + )) + (net N_237_0 (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_0)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_0_i)) + )) + (net un1_SM_AMIGA_0_sqmuxa_1_0 (joined + (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1)) + (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_i)) + )) + (net N_247_i (joined + (portRef O (instanceRef N_247_i)) + (portRef I0 (instanceRef RST_DLY_e0_i_0_1)) + )) + (net N_248_i (joined + (portRef O (instanceRef N_248_i)) + (portRef I1 (instanceRef RST_DLY_e0_i_0_1)) + )) + (net N_240_i (joined + (portRef O (instanceRef RST_DLY_e0_i_0)) + (portRef D (instanceRef RST_DLY_0)) + )) + (net N_246_i (joined + (portRef O (instanceRef N_246_i)) + (portRef I0 (instanceRef CLK_030_H_2_i_0_1)) + )) + (net N_60_i (joined + (portRef O (instanceRef CLK_030_H_2_i_0)) + (portRef D (instanceRef CLK_030_H)) + )) + (net N_64_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_0)) + (portRef D (instanceRef CYCLE_DMA_1)) + )) + (net un10_ciin_i (joined + (portRef O (instanceRef un10_ciin_i)) + (portRef I1 (instanceRef un13_ciin_i_0_0)) + )) + (net N_241_0 (joined + (portRef O (instanceRef un13_ciin_i_0_0)) + (portRef I0 (instanceRef un13_ciin_i_0_0_i)) + )) + (net un1_DS_000_ENABLE_0_sqmuxa_i (joined + (portRef O (instanceRef un1_DS_000_ENABLE_0_sqmuxa_i)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) + )) + (net N_242_0 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) + )) + (net N_48_i (joined + (portRef O (instanceRef un1_as_030_i_a2_i)) + (portRef OE (instanceRef SIZE_0)) + (portRef OE (instanceRef SIZE_1)) + )) + (net N_227_i (joined + (portRef O (instanceRef N_227_i)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_1_0)) + )) + (net N_155_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_0)) + (portRef D (instanceRef SM_AMIGA_i_7)) + )) + (net N_225_i (joined + (portRef O (instanceRef N_225_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_4)) + )) + (net N_147_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_4)) + (portRef D (instanceRef SM_AMIGA_4)) + )) + (net N_224_i (joined + (portRef O (instanceRef N_224_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_3)) + )) + (net N_145_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_3)) + (portRef D (instanceRef SM_AMIGA_3)) + )) + (net N_223_i (joined + (portRef O (instanceRef N_223_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_0)) + )) + (net N_139_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_0)) + (portRef D (instanceRef SM_AMIGA_0)) + )) + (net N_218_i (joined + (portRef O (instanceRef N_218_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_1)) + )) + (net (rename pos_clk_SIZE_DMA_6_0_1 "pos_clk.SIZE_DMA_6_0[1]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_1)) + )) + (net N_217_i (joined + (portRef O (instanceRef N_217_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) + )) + (net (rename pos_clk_SIZE_DMA_6_0_0 "pos_clk.SIZE_DMA_6_0[0]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_0)) + )) + (net N_213_i (joined + (portRef O (instanceRef N_213_i)) + (portRef I0 (instanceRef pos_clk_un35_as_030_d0_0_i)) + )) + (net N_319_i (joined + (portRef O (instanceRef N_319_i)) + (portRef I1 (instanceRef pos_clk_un35_as_030_d0_0_i)) + )) + (net N_300_0 (joined + (portRef O (instanceRef pos_clk_un35_as_030_d0_0_i)) + (portRef I0 (instanceRef pos_clk_un35_as_030_d0_0_i_i)) + )) + (net N_15_i (joined + (portRef O (instanceRef N_15_i)) + (portRef I0 (instanceRef AS_030_000_SYNC_1)) + )) + (net N_45_0 (joined + (portRef O (instanceRef AS_030_000_SYNC_1)) + (portRef I0 (instanceRef AS_030_000_SYNC_1_i)) + )) + (net N_16_i (joined + (portRef O (instanceRef N_16_i)) + (portRef I0 (instanceRef RW_000_INT_1)) + )) + (net N_44_0 (joined + (portRef O (instanceRef RW_000_INT_1)) + (portRef I0 (instanceRef RW_000_INT_1_i)) + )) + (net N_22_i (joined + (portRef O (instanceRef N_22_i)) + (portRef I0 (instanceRef A0_DMA_1)) + )) + (net N_38_0 (joined + (portRef O (instanceRef A0_DMA_1)) + (portRef I0 (instanceRef A0_DMA_1_i)) + )) + (net (rename pos_clk_un21_bgack_030_int_i_0_i_1 "pos_clk.un21_bgack_030_int_i_0_i_1") (joined + (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_1)) + (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3)) + )) + (net (rename pos_clk_un21_bgack_030_int_i_0_i_2 "pos_clk.un21_bgack_030_int_i_0_i_2") (joined + (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_2)) + (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3)) + )) + (net N_238_i_1 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_1)) + (portRef I0 (instanceRef RST_DLY_e2_i_0)) + )) + (net N_238_i_2 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_2)) + (portRef I1 (instanceRef RST_DLY_e2_i_0)) + )) + (net N_239_i_1 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_1)) + (portRef I0 (instanceRef RST_DLY_e1_i_0)) + )) + (net N_239_i_2 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_2)) + (portRef I1 (instanceRef RST_DLY_e1_i_0)) )) (net (rename pos_clk_un10_sm_amiga_i_1 "pos_clk.un10_sm_amiga_i_1") (joined (portRef O (instanceRef pos_clk_un10_sm_amiga_1)) (portRef I0 (instanceRef pos_clk_un10_sm_amiga)) )) - (net (rename SM_AMIGA_nss_i_0_1_0 "SM_AMIGA_nss_i_0_1[0]") (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_4_0)) - )) - (net (rename SM_AMIGA_nss_i_0_2_0 "SM_AMIGA_nss_i_0_2[0]") (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_4_0)) - )) - (net (rename SM_AMIGA_nss_i_0_3_0 "SM_AMIGA_nss_i_0_3[0]") (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_5_0)) - )) - (net (rename SM_AMIGA_nss_i_0_4_0 "SM_AMIGA_nss_i_0_4[0]") (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_4_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_0)) - )) - (net (rename SM_AMIGA_nss_i_0_5_0 "SM_AMIGA_nss_i_0_5[0]") (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_5_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_0)) - )) (net un10_ciin_1 (joined - (portRef O (instanceRef un10_ciin_0_a3_1)) - (portRef I0 (instanceRef un10_ciin_0_a3_7)) + (portRef O (instanceRef un13_ciin_i_0_0_a3_1)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3_7)) )) (net un10_ciin_2 (joined - (portRef O (instanceRef un10_ciin_0_a3_2)) - (portRef I1 (instanceRef un10_ciin_0_a3_7)) + (portRef O (instanceRef un13_ciin_i_0_0_a3_2)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3_7)) )) (net un10_ciin_3 (joined - (portRef O (instanceRef un10_ciin_0_a3_3)) - (portRef I0 (instanceRef un10_ciin_0_a3_8)) + (portRef O (instanceRef un13_ciin_i_0_0_a3_3)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3_8)) )) (net un10_ciin_4 (joined - (portRef O (instanceRef un10_ciin_0_a3_4)) - (portRef I1 (instanceRef un10_ciin_0_a3_8)) + (portRef O (instanceRef un13_ciin_i_0_0_a3_4)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3_8)) )) (net un10_ciin_5 (joined - (portRef O (instanceRef un10_ciin_0_a3_5)) - (portRef I0 (instanceRef un10_ciin_0_a3_9)) + (portRef O (instanceRef un13_ciin_i_0_0_a3_5)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3_9)) )) (net un10_ciin_6 (joined - (portRef O (instanceRef un10_ciin_0_a3_6)) - (portRef I1 (instanceRef un10_ciin_0_a3_9)) + (portRef O (instanceRef un13_ciin_i_0_0_a3_6)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3_9)) )) (net un10_ciin_7 (joined - (portRef O (instanceRef un10_ciin_0_a3_7)) - (portRef I0 (instanceRef un10_ciin_0_a3_10)) + (portRef O (instanceRef un13_ciin_i_0_0_a3_7)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3_10)) )) (net un10_ciin_8 (joined - (portRef O (instanceRef un10_ciin_0_a3_8)) - (portRef I1 (instanceRef un10_ciin_0_a3_10)) + (portRef O (instanceRef un13_ciin_i_0_0_a3_8)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3_10)) )) (net un10_ciin_9 (joined - (portRef O (instanceRef un10_ciin_0_a3_9)) - (portRef I0 (instanceRef un10_ciin_0_a3_11)) + (portRef O (instanceRef un13_ciin_i_0_0_a3_9)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3_11)) )) (net un10_ciin_10 (joined - (portRef O (instanceRef un10_ciin_0_a3_10)) - (portRef I0 (instanceRef un10_ciin_0_a3)) + (portRef O (instanceRef un13_ciin_i_0_0_a3_10)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a3)) )) (net un10_ciin_11 (joined - (portRef O (instanceRef un10_ciin_0_a3_11)) - (portRef I1 (instanceRef un10_ciin_0_a3)) + (portRef O (instanceRef un13_ciin_i_0_0_a3_11)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a3)) )) - (net (rename pos_clk_un21_bgack_030_int_i_0_0_1 "pos_clk.un21_bgack_030_int_i_0_0_1") (joined - (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_1)) - (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3)) + (net N_357_1 (joined + (portRef O (instanceRef un21_berr_0_a2_0_a2_1)) + (portRef I0 (instanceRef un21_berr_0_a2_0_a2_4)) )) - (net (rename pos_clk_un21_bgack_030_int_i_0_0_2 "pos_clk.un21_bgack_030_int_i_0_0_2") (joined - (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3_2)) - (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_2_o3)) + (net N_357_2 (joined + (portRef O (instanceRef un21_berr_0_a2_0_a2_2)) + (portRef I1 (instanceRef un21_berr_0_a2_0_a2_4)) )) - (net N_307_i_1 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) + (net N_357_3 (joined + (portRef O (instanceRef un21_berr_0_a2_0_a2_3)) + (portRef I1 (instanceRef un21_berr_0_a2_0_a2)) )) - (net N_307_i_2 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_2)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) + (net N_357_4 (joined + (portRef O (instanceRef un21_berr_0_a2_0_a2_4)) + (portRef I0 (instanceRef un21_berr_0_a2_0_a2)) )) - (net N_202_1 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0)) - )) - (net N_202_2 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_2)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0)) - )) - (net N_208_1 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_1)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3)) - )) - (net N_208_2 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_2)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3)) - )) - (net N_209_1 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0_1)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0)) - )) - (net N_209_2 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0_2)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0)) - )) - (net N_392_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a2_4_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_4_0)) - )) - (net N_392_2 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a2_4_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a2_4_0)) - )) - (net N_122_1 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - )) - (net N_122_2 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - )) - (net N_122_3 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - )) - (net N_122_4 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) - )) - (net N_218_1 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i_a3_1)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i_a3)) - )) - (net N_218_2 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i_a3_2)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_i_a3)) + (net N_304_i_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0_0)) )) (net un21_fpu_cs_1 (joined (portRef O (instanceRef un21_fpu_cs_0_a2_0_a3_1)) (portRef I0 (instanceRef un21_fpu_cs_0_a2_0_a3)) )) - (net un22_berr_1_0 (joined - (portRef O (instanceRef un22_berr_0_a2_0_a3_1_0)) - (portRef I0 (instanceRef un22_berr_0_a2_0_a3)) + (net un21_berr_1_0 (joined + (portRef O (instanceRef un21_berr_0_a2_0_a3_1_0)) + (portRef I0 (instanceRef un21_berr_0_a2_0_a3)) )) - (net N_305_i_1 (joined - (portRef O (instanceRef RST_DLY_e1_i_0_1)) - (portRef I0 (instanceRef RST_DLY_e1_i_0)) + (net N_266_1 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_1)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0)) )) - (net N_305_i_2 (joined - (portRef O (instanceRef RST_DLY_e1_i_0_2)) - (portRef I1 (instanceRef RST_DLY_e1_i_0)) + (net N_266_2 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0_2)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_0_a3_0)) )) - (net N_304_i_1 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_1)) - (portRef I0 (instanceRef RST_DLY_e2_i_0)) + (net N_67_i_1 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) )) - (net N_304_i_2 (joined - (portRef O (instanceRef RST_DLY_e2_i_0_2)) - (portRef I1 (instanceRef RST_DLY_e2_i_0)) + (net N_67_i_2 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_2)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) )) - (net N_178_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_1_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_3_0)) + (net N_314_1 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_1)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3)) )) - (net N_178_2 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_3_0)) + (net N_314_2 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_2)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3)) )) - (net N_178_3 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_2_0)) + (net N_318_1 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0_1)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0)) )) - (net N_204_1_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_1_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_0_6)) + (net N_318_2 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0_2)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a3_0)) )) - (net N_125_i_1 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) + (net N_341_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_3)) )) - (net N_276_0_1 (joined + (net N_341_2 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_2_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_3)) + )) + (net N_151_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_6)) + )) + (net N_143_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_2)) + )) + (net N_141_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1)) + )) + (net N_237_0_1 (joined (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_0_1)) (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_0)) )) - (net (rename pos_clk_RW_000_INT_5_0_1 "pos_clk.RW_000_INT_5_0_1") (joined - (portRef O (instanceRef pos_clk_RW_000_INT_5_0_0_1)) - (portRef I0 (instanceRef pos_clk_RW_000_INT_5_0_0)) + (net N_240_i_1 (joined + (portRef O (instanceRef RST_DLY_e0_i_0_1)) + (portRef I0 (instanceRef RST_DLY_e0_i_0)) )) - (net N_277_i_1 (joined + (net N_60_i_1 (joined (portRef O (instanceRef CLK_030_H_2_i_0_1)) (portRef I0 (instanceRef CLK_030_H_2_i_0)) )) - (net N_306_i_1 (joined - (portRef O (instanceRef RST_DLY_e0_i_0_1)) - (portRef I0 (instanceRef RST_DLY_e0_i_0)) + (net N_64_i_1 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_0_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_0)) + )) + (net N_155_i_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_0)) + )) + (net N_147_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_4)) + )) + (net N_145_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_3)) + )) + (net N_139_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_0)) )) (net (rename pos_clk_un6_bg_030_1 "pos_clk.un6_bg_030_1") (joined (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_0_a3_1)) (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_0_a3)) )) - (net N_211_1 (joined - (portRef O (instanceRef cpu_est_2_0_0_a3_1_1_1)) - (portRef I0 (instanceRef cpu_est_2_0_0_a3_1_1)) + (net N_220_1 (joined + (portRef O (instanceRef cpu_est_2_0_0_a3_0_1_1)) + (portRef I0 (instanceRef cpu_est_2_0_0_a3_0_1)) )) - (net N_203_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_0_a3_1_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_0_a3_6)) + (net N_216_1 (joined + (portRef O (instanceRef RESET_OUT_2_i_i_a3_0_1)) + (portRef I0 (instanceRef RESET_OUT_2_i_i_a3_0)) )) - (net N_199_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_0_0_0_a3_1_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_0_0_0_a3_5)) - )) - (net N_185_1 (joined + (net N_205_1 (joined (portRef O (instanceRef RST_DLY_e1_i_0_a3_1_1)) (portRef I0 (instanceRef RST_DLY_e1_i_0_a3_1)) )) - (net N_179_1 (joined + (net N_199_1 (joined (portRef O (instanceRef RST_DLY_e2_i_0_a3_1_0)) (portRef I0 (instanceRef RST_DLY_e2_i_0_a3)) )) - (net N_177_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_0_0_0_a3_1_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_0_0_0_a3_1_0)) - )) (net (rename pos_clk_ipl_1 "pos_clk.ipl_1") (joined - (portRef O (instanceRef G_122_1)) - (portRef I0 (instanceRef G_122)) - )) - (net (rename DSACK1_INT_0_un3 "DSACK1_INT_0.un3") (joined - (portRef O (instanceRef DSACK1_INT_0_r)) - (portRef I1 (instanceRef DSACK1_INT_0_n)) - )) - (net (rename DSACK1_INT_0_un1 "DSACK1_INT_0.un1") (joined - (portRef O (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_0_p)) - )) - (net (rename DSACK1_INT_0_un0 "DSACK1_INT_0.un0") (joined - (portRef O (instanceRef DSACK1_INT_0_n)) - (portRef I1 (instanceRef DSACK1_INT_0_p)) - )) - (net (rename RW_000_INT_0_un3 "RW_000_INT_0.un3") (joined - (portRef O (instanceRef RW_000_INT_0_r)) - (portRef I1 (instanceRef RW_000_INT_0_n)) - )) - (net (rename RW_000_INT_0_un1 "RW_000_INT_0.un1") (joined - (portRef O (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef RW_000_INT_0_p)) - )) - (net (rename RW_000_INT_0_un0 "RW_000_INT_0.un0") (joined - (portRef O (instanceRef RW_000_INT_0_n)) - (portRef I1 (instanceRef RW_000_INT_0_p)) - )) - (net (rename AS_000_INT_0_un3 "AS_000_INT_0.un3") (joined - (portRef O (instanceRef AS_000_INT_0_r)) - (portRef I1 (instanceRef AS_000_INT_0_n)) - )) - (net (rename AS_000_INT_0_un1 "AS_000_INT_0.un1") (joined - (portRef O (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef AS_000_INT_0_p)) - )) - (net (rename AS_000_INT_0_un0 "AS_000_INT_0.un0") (joined - (portRef O (instanceRef AS_000_INT_0_n)) - (portRef I1 (instanceRef AS_000_INT_0_p)) - )) - (net (rename BG_000_0_un3 "BG_000_0.un3") (joined - (portRef O (instanceRef BG_000_0_r)) - (portRef I1 (instanceRef BG_000_0_n)) - )) - (net (rename BG_000_0_un1 "BG_000_0.un1") (joined - (portRef O (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_000_0_p)) - )) - (net (rename BG_000_0_un0 "BG_000_0.un0") (joined - (portRef O (instanceRef BG_000_0_n)) - (portRef I1 (instanceRef BG_000_0_p)) - )) - (net (rename cpu_est_0_3__un3 "cpu_est_0_3_.un3") (joined - (portRef O (instanceRef cpu_est_0_3__r)) - (portRef I1 (instanceRef cpu_est_0_3__n)) - )) - (net (rename cpu_est_0_3__un1 "cpu_est_0_3_.un1") (joined - (portRef O (instanceRef cpu_est_0_3__m)) - (portRef I0 (instanceRef cpu_est_0_3__p)) - )) - (net (rename cpu_est_0_3__un0 "cpu_est_0_3_.un0") (joined - (portRef O (instanceRef cpu_est_0_3__n)) - (portRef I1 (instanceRef cpu_est_0_3__p)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__un3 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.un3") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__r)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__n)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__un1 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.un1") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__m)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__p)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__un0 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.un0") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__n)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un3 "AMIGA_BUS_ENABLE_DMA_LOW_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un1 "AMIGA_BUS_ENABLE_DMA_LOW_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un0 "AMIGA_BUS_ENABLE_DMA_LOW_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) + (portRef O (instanceRef G_110_1)) + (portRef I0 (instanceRef G_110)) )) (net (rename UDS_000_INT_0_un3 "UDS_000_INT_0.un3") (joined (portRef O (instanceRef UDS_000_INT_0_r)) @@ -4105,29 +3831,41 @@ (portRef O (instanceRef UDS_000_INT_0_n)) (portRef I1 (instanceRef UDS_000_INT_0_p)) )) - (net (rename A0_DMA_0_un3 "A0_DMA_0.un3") (joined - (portRef O (instanceRef A0_DMA_0_r)) - (portRef I1 (instanceRef A0_DMA_0_n)) + (net (rename AS_000_INT_0_un3 "AS_000_INT_0.un3") (joined + (portRef O (instanceRef AS_000_INT_0_r)) + (portRef I1 (instanceRef AS_000_INT_0_n)) )) - (net (rename A0_DMA_0_un1 "A0_DMA_0.un1") (joined - (portRef O (instanceRef A0_DMA_0_m)) - (portRef I0 (instanceRef A0_DMA_0_p)) + (net (rename AS_000_INT_0_un1 "AS_000_INT_0.un1") (joined + (portRef O (instanceRef AS_000_INT_0_m)) + (portRef I0 (instanceRef AS_000_INT_0_p)) )) - (net (rename A0_DMA_0_un0 "A0_DMA_0.un0") (joined - (portRef O (instanceRef A0_DMA_0_n)) - (portRef I1 (instanceRef A0_DMA_0_p)) + (net (rename AS_000_INT_0_un0 "AS_000_INT_0.un0") (joined + (portRef O (instanceRef AS_000_INT_0_n)) + (portRef I1 (instanceRef AS_000_INT_0_p)) )) - (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined - (portRef O (instanceRef RW_000_DMA_0_r)) - (portRef I1 (instanceRef RW_000_DMA_0_n)) + (net (rename DSACK1_INT_0_un3 "DSACK1_INT_0.un3") (joined + (portRef O (instanceRef DSACK1_INT_0_r)) + (portRef I1 (instanceRef DSACK1_INT_0_n)) )) - (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined - (portRef O (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_p)) + (net (rename DSACK1_INT_0_un1 "DSACK1_INT_0.un1") (joined + (portRef O (instanceRef DSACK1_INT_0_m)) + (portRef I0 (instanceRef DSACK1_INT_0_p)) )) - (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined - (portRef O (instanceRef RW_000_DMA_0_n)) - (portRef I1 (instanceRef RW_000_DMA_0_p)) + (net (rename DSACK1_INT_0_un0 "DSACK1_INT_0.un0") (joined + (portRef O (instanceRef DSACK1_INT_0_n)) + (portRef I1 (instanceRef DSACK1_INT_0_p)) + )) + (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined + (portRef O (instanceRef VMA_INT_0_r)) + (portRef I1 (instanceRef VMA_INT_0_n)) + )) + (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined + (portRef O (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_p)) + )) + (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined + (portRef O (instanceRef VMA_INT_0_n)) + (portRef I1 (instanceRef VMA_INT_0_p)) )) (net (rename LDS_000_INT_0_un3 "LDS_000_INT_0.un3") (joined (portRef O (instanceRef LDS_000_INT_0_r)) @@ -4141,53 +3879,41 @@ (portRef O (instanceRef LDS_000_INT_0_n)) (portRef I1 (instanceRef LDS_000_INT_0_p)) )) - (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined - (portRef O (instanceRef BGACK_030_INT_0_r)) - (portRef I1 (instanceRef BGACK_030_INT_0_n)) + (net (rename IPL_030_0_1__un3 "IPL_030_0_1_.un3") (joined + (portRef O (instanceRef IPL_030_0_1__r)) + (portRef I1 (instanceRef IPL_030_0_1__n)) )) - (net (rename BGACK_030_INT_0_un1 "BGACK_030_INT_0.un1") (joined - (portRef O (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_p)) + (net (rename IPL_030_0_1__un1 "IPL_030_0_1_.un1") (joined + (portRef O (instanceRef IPL_030_0_1__m)) + (portRef I0 (instanceRef IPL_030_0_1__p)) )) - (net (rename BGACK_030_INT_0_un0 "BGACK_030_INT_0.un0") (joined - (portRef O (instanceRef BGACK_030_INT_0_n)) - (portRef I1 (instanceRef BGACK_030_INT_0_p)) + (net (rename IPL_030_0_1__un0 "IPL_030_0_1_.un0") (joined + (portRef O (instanceRef IPL_030_0_1__n)) + (portRef I1 (instanceRef IPL_030_0_1__p)) )) - (net (rename DS_000_ENABLE_0_un3 "DS_000_ENABLE_0.un3") (joined - (portRef O (instanceRef DS_000_ENABLE_0_r)) - (portRef I1 (instanceRef DS_000_ENABLE_0_n)) + (net (rename IPL_030_0_0__un3 "IPL_030_0_0_.un3") (joined + (portRef O (instanceRef IPL_030_0_0__r)) + (portRef I1 (instanceRef IPL_030_0_0__n)) )) - (net (rename DS_000_ENABLE_0_un1 "DS_000_ENABLE_0.un1") (joined - (portRef O (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_p)) + (net (rename IPL_030_0_0__un1 "IPL_030_0_0_.un1") (joined + (portRef O (instanceRef IPL_030_0_0__m)) + (portRef I0 (instanceRef IPL_030_0_0__p)) )) - (net (rename DS_000_ENABLE_0_un0 "DS_000_ENABLE_0.un0") (joined - (portRef O (instanceRef DS_000_ENABLE_0_n)) - (portRef I1 (instanceRef DS_000_ENABLE_0_p)) + (net (rename IPL_030_0_0__un0 "IPL_030_0_0_.un0") (joined + (portRef O (instanceRef IPL_030_0_0__n)) + (portRef I1 (instanceRef IPL_030_0_0__p)) )) - (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_r)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) + (net (rename cpu_est_0_3__un3 "cpu_est_0_3_.un3") (joined + (portRef O (instanceRef cpu_est_0_3__r)) + (portRef I1 (instanceRef cpu_est_0_3__n)) )) - (net (rename AS_030_000_SYNC_0_un1 "AS_030_000_SYNC_0.un1") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_p)) + (net (rename cpu_est_0_3__un1 "cpu_est_0_3_.un1") (joined + (portRef O (instanceRef cpu_est_0_3__m)) + (portRef I0 (instanceRef cpu_est_0_3__p)) )) - (net (rename AS_030_000_SYNC_0_un0 "AS_030_000_SYNC_0.un0") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_n)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un3 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un1 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un0 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + (net (rename cpu_est_0_3__un0 "cpu_est_0_3_.un0") (joined + (portRef O (instanceRef cpu_est_0_3__n)) + (portRef I1 (instanceRef cpu_est_0_3__p)) )) (net (rename cpu_est_0_2__un3 "cpu_est_0_2_.un3") (joined (portRef O (instanceRef cpu_est_0_2__r)) @@ -4213,17 +3939,113 @@ (portRef O (instanceRef cpu_est_0_1__n)) (portRef I1 (instanceRef cpu_est_0_1__p)) )) - (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined - (portRef O (instanceRef VMA_INT_0_r)) - (portRef I1 (instanceRef VMA_INT_0_n)) + (net (rename IPL_030_0_2__un3 "IPL_030_0_2_.un3") (joined + (portRef O (instanceRef IPL_030_0_2__r)) + (portRef I1 (instanceRef IPL_030_0_2__n)) )) - (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined - (portRef O (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_p)) + (net (rename IPL_030_0_2__un1 "IPL_030_0_2_.un1") (joined + (portRef O (instanceRef IPL_030_0_2__m)) + (portRef I0 (instanceRef IPL_030_0_2__p)) )) - (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined - (portRef O (instanceRef VMA_INT_0_n)) - (portRef I1 (instanceRef VMA_INT_0_p)) + (net (rename IPL_030_0_2__un0 "IPL_030_0_2_.un0") (joined + (portRef O (instanceRef IPL_030_0_2__n)) + (portRef I1 (instanceRef IPL_030_0_2__p)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un3 "AMIGA_BUS_ENABLE_DMA_LOW_0.un3") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un1 "AMIGA_BUS_ENABLE_DMA_LOW_0.un1") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un0 "AMIGA_BUS_ENABLE_DMA_LOW_0.un0") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) + )) + (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined + (portRef O (instanceRef RW_000_DMA_0_r)) + (portRef I1 (instanceRef RW_000_DMA_0_n)) + )) + (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined + (portRef O (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_p)) + )) + (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined + (portRef O (instanceRef RW_000_DMA_0_n)) + (portRef I1 (instanceRef RW_000_DMA_0_p)) + )) + (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined + (portRef O (instanceRef AS_000_DMA_0_r)) + (portRef I1 (instanceRef AS_000_DMA_0_n)) + )) + (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined + (portRef O (instanceRef AS_000_DMA_0_m)) + (portRef I0 (instanceRef AS_000_DMA_0_p)) + )) + (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined + (portRef O (instanceRef AS_000_DMA_0_n)) + (portRef I1 (instanceRef AS_000_DMA_0_p)) + )) + (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined + (portRef O (instanceRef DS_000_DMA_0_r)) + (portRef I1 (instanceRef DS_000_DMA_0_n)) + )) + (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined + (portRef O (instanceRef DS_000_DMA_0_m)) + (portRef I0 (instanceRef DS_000_DMA_0_p)) + )) + (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined + (portRef O (instanceRef DS_000_DMA_0_n)) + (portRef I1 (instanceRef DS_000_DMA_0_p)) + )) + (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined + (portRef O (instanceRef BGACK_030_INT_0_r)) + (portRef I1 (instanceRef BGACK_030_INT_0_n)) + )) + (net (rename BGACK_030_INT_0_un1 "BGACK_030_INT_0.un1") (joined + (portRef O (instanceRef BGACK_030_INT_0_m)) + (portRef I0 (instanceRef BGACK_030_INT_0_p)) + )) + (net (rename BGACK_030_INT_0_un0 "BGACK_030_INT_0.un0") (joined + (portRef O (instanceRef BGACK_030_INT_0_n)) + (portRef I1 (instanceRef BGACK_030_INT_0_p)) + )) + (net (rename BG_000_0_un3 "BG_000_0.un3") (joined + (portRef O (instanceRef BG_000_0_r)) + (portRef I1 (instanceRef BG_000_0_n)) + )) + (net (rename BG_000_0_un1 "BG_000_0.un1") (joined + (portRef O (instanceRef BG_000_0_m)) + (portRef I0 (instanceRef BG_000_0_p)) + )) + (net (rename BG_000_0_un0 "BG_000_0.un0") (joined + (portRef O (instanceRef BG_000_0_n)) + (portRef I1 (instanceRef BG_000_0_p)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un3 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un3") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un1 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un1") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un0 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un0") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + )) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__un3 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.un3") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__r)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__n)) + )) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__un1 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.un1") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__m)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__p)) + )) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__un0 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0_.un0") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__n)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_i_m2_0__p)) )) (net (rename SIZE_DMA_0_0__un3 "SIZE_DMA_0_0_.un3") (joined (portRef O (instanceRef SIZE_DMA_0_0__r)) @@ -4249,65 +4071,53 @@ (portRef O (instanceRef SIZE_DMA_0_1__n)) (portRef I1 (instanceRef SIZE_DMA_0_1__p)) )) - (net (rename IPL_030_0_0__un3 "IPL_030_0_0_.un3") (joined - (portRef O (instanceRef IPL_030_0_0__r)) - (portRef I1 (instanceRef IPL_030_0_0__n)) + (net (rename DS_000_ENABLE_0_un3 "DS_000_ENABLE_0.un3") (joined + (portRef O (instanceRef DS_000_ENABLE_0_r)) + (portRef I1 (instanceRef DS_000_ENABLE_0_n)) )) - (net (rename IPL_030_0_0__un1 "IPL_030_0_0_.un1") (joined - (portRef O (instanceRef IPL_030_0_0__m)) - (portRef I0 (instanceRef IPL_030_0_0__p)) + (net (rename DS_000_ENABLE_0_un1 "DS_000_ENABLE_0.un1") (joined + (portRef O (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef DS_000_ENABLE_0_p)) )) - (net (rename IPL_030_0_0__un0 "IPL_030_0_0_.un0") (joined - (portRef O (instanceRef IPL_030_0_0__n)) - (portRef I1 (instanceRef IPL_030_0_0__p)) + (net (rename DS_000_ENABLE_0_un0 "DS_000_ENABLE_0.un0") (joined + (portRef O (instanceRef DS_000_ENABLE_0_n)) + (portRef I1 (instanceRef DS_000_ENABLE_0_p)) )) - (net (rename IPL_030_0_1__un3 "IPL_030_0_1_.un3") (joined - (portRef O (instanceRef IPL_030_0_1__r)) - (portRef I1 (instanceRef IPL_030_0_1__n)) + (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_r)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) )) - (net (rename IPL_030_0_1__un1 "IPL_030_0_1_.un1") (joined - (portRef O (instanceRef IPL_030_0_1__m)) - (portRef I0 (instanceRef IPL_030_0_1__p)) + (net (rename AS_030_000_SYNC_0_un1 "AS_030_000_SYNC_0.un1") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_p)) )) - (net (rename IPL_030_0_1__un0 "IPL_030_0_1_.un0") (joined - (portRef O (instanceRef IPL_030_0_1__n)) - (portRef I1 (instanceRef IPL_030_0_1__p)) + (net (rename AS_030_000_SYNC_0_un0 "AS_030_000_SYNC_0.un0") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_n)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_p)) )) - (net (rename IPL_030_0_2__un3 "IPL_030_0_2_.un3") (joined - (portRef O (instanceRef IPL_030_0_2__r)) - (portRef I1 (instanceRef IPL_030_0_2__n)) + (net (rename RW_000_INT_0_un3 "RW_000_INT_0.un3") (joined + (portRef O (instanceRef RW_000_INT_0_r)) + (portRef I1 (instanceRef RW_000_INT_0_n)) )) - (net (rename IPL_030_0_2__un1 "IPL_030_0_2_.un1") (joined - (portRef O (instanceRef IPL_030_0_2__m)) - (portRef I0 (instanceRef IPL_030_0_2__p)) + (net (rename RW_000_INT_0_un1 "RW_000_INT_0.un1") (joined + (portRef O (instanceRef RW_000_INT_0_m)) + (portRef I0 (instanceRef RW_000_INT_0_p)) )) - (net (rename IPL_030_0_2__un0 "IPL_030_0_2_.un0") (joined - (portRef O (instanceRef IPL_030_0_2__n)) - (portRef I1 (instanceRef IPL_030_0_2__p)) + (net (rename RW_000_INT_0_un0 "RW_000_INT_0.un0") (joined + (portRef O (instanceRef RW_000_INT_0_n)) + (portRef I1 (instanceRef RW_000_INT_0_p)) )) - (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined - (portRef O (instanceRef DS_000_DMA_0_r)) - (portRef I1 (instanceRef DS_000_DMA_0_n)) + (net (rename A0_DMA_0_un3 "A0_DMA_0.un3") (joined + (portRef O (instanceRef A0_DMA_0_r)) + (portRef I1 (instanceRef A0_DMA_0_n)) )) - (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined - (portRef O (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_p)) + (net (rename A0_DMA_0_un1 "A0_DMA_0.un1") (joined + (portRef O (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_p)) )) - (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined - (portRef O (instanceRef DS_000_DMA_0_n)) - (portRef I1 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined - (portRef O (instanceRef AS_000_DMA_0_r)) - (portRef I1 (instanceRef AS_000_DMA_0_n)) - )) - (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined - (portRef O (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_p)) - )) - (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined - (portRef O (instanceRef AS_000_DMA_0_n)) - (portRef I1 (instanceRef AS_000_DMA_0_p)) + (net (rename A0_DMA_0_un0 "A0_DMA_0.un0") (joined + (portRef O (instanceRef A0_DMA_0_n)) + (portRef I1 (instanceRef A0_DMA_0_p)) )) ) (property orig_inst_of (string "BUS68030")) diff --git a/Logic/BUS68030.prj b/Logic/BUS68030.prj index db1f9f3..49666ab 100644 --- a/Logic/BUS68030.prj +++ b/Logic/BUS68030.prj @@ -1,6 +1,6 @@ #-- Lattice Semiconductor Corporation Ltd. -#-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj -#-- Written on Thu Aug 25 22:27:39 2016 +#-- Synplify OEM project file c:/users/matze/amiga/hardwarehacks/68030-tk/github/logic\BUS68030.prj +#-- Written on Wed Sep 14 23:54:13 2016 #device options diff --git a/Logic/BUS68030.srm b/Logic/BUS68030.srm index 81eb527..e76f9b9 100644 --- a/Logic/BUS68030.srm +++ b/Logic/BUS68030.srm @@ -27,7 +27,7 @@ f "e:\isplever_classic2_0\synpbase\lib\vhd\unsigned.vhd"; #file 6 af .is_vhdl 1; af .child_list "-1"; af .parent_list "-1"; -f "c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd"; #file 7 +f "c:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd"; #file 7 af .is_vhdl 1; af .child_list "-1"; af .parent_list "-1"; @@ -181,12 +181,12 @@ B;bjRf:VjRNCD#RDVN#tCRh ftell; @E@MR@4(:d::(44d:cIRRFRs Anz1UjjdRELCNFPHs;ND -RNP3MDHCRMFc -n;N3PRHE#P84DR;P +RNP3MDHCRMF6 +j;N3PRHE#P84DR;P NR#3H_8PED;R4 RNP3ONsEDVHC;R( RNP38lFkVDCHRDC(N; -P#R3$VM_lRNb"sIF "R\B\:\ks#C#l\\NC0x\F\8OCklM\0#\0oHE\kL\jnUd j0\F\Do\HO\jnUdnj-Ujjj-#Lk38PE\M"\"N; +P#R3$VM_lRNb"sIF "R\B\:\ks#C#l\\NC0x\l\NH\oN\sEN8sINCOEN \#\ndUjj -0\H\o0LEk\F\Do\HO\jnUdnj-Ujjj-#Lk38PE\M"\"N; POR3DMCNk#b_0.Cb_l0HC3Rjj.d46;jj RNP3CODNbMk_C#0b04_HRlCj43j66n.jN; P#R30Dl0H0#0HRlCjj3jjjjj;P @@ -201,8 +201,8 @@ PVR3D_FIDbFF#s_LFM CR j;}N; P$R#M#_HlCHG8MDNo;R4 RNP3M#$_#lV_FoskHb_8;Rj -RNP3M#$_lMkOsEN#jRU4 -n;N3PR#_$MD HMC8sHRc"{U cw-.cAAA6-6cd A-Uj .-gg(7jcngg}(6"N; +RNP3M#$_lMkOsEN#jRU6 +.;N3PR#_$MD HMC8sHR("{(UwUc-dUnw.(-ccwnA-g(gw-.7q666jq }(A"N; POR38#L_NRPC{P NRM#$_VsCCMsCOOC_D FORN{ P$R1#l0CRN{ @@ -365,85 +365,85 @@ MMRk47_p1j_jjh_QaN; M#R3N_PCM_C0VoDN#.4R6 n;okMRMz4_7j1_jQj_h a;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_McNj#_j +RoMk_M41qv_vqQt_#j_JGlkN;_4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4kM__71j_jj Ahqpj __l#Jk;GN +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRckM__N#j;jj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4kMjH_OH +M;N3MR#CNP_0MC_NVDoR#4.;6n +RoMk4M._kVb_;O# +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.kM4C_Ls +s;N3MR#CNP_0MC_NVDoR#4.;6n +RoMk_Mn8j#_d j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMkjM4_HOHMN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRM_.4V_bkO -#;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk.M._sLCsN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRM8n_#d_jjN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_ -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh;_U +RoMh;_( RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_4N; +oRUh_;M +NRN3#PMC_CV0_D#No46R.no; +M_RhgN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4. +n;ohMR_;44 RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_cN; +oR4h_.N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;46 +n;ohMR_;4d RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_nN; +oR4h_6N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.( +n;ohMR_;4n RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_UN; +oR.h_.N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.g +n;ohMR_;dj RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_jN; +oRdh_4N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;d4 +n;ohMR_;d. RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_.N; +oRdh_dN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;dd +n;ohMR_;dc RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_cN; +oRdh_6N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;d6 +n;ohMR_;dn RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_nN; +oRdh_(N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;d( +n;ohMR_;dU RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_UN; +oRdh_gN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;dg +n;ohMR_;cj RNM3P#NCC_M0D_VN4o#Rn.6;M oRch_4N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;c. +n;ohMR_;cd RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_dN; +oRch_cN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;cc +n;ohMR_;c6 RNM3P#NCC_M0D_VN4o#Rn.6;M oRch_nN; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;c( RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_UN; +oRch_gN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;cg +n;ohMR_;6j RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_jN; +oR6h_.N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6. +n;ohMR_;6d RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_dN; +oR6h_cN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6c +n;ohMR_;66 RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_6N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6n -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_UN; +oR6h_nN; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;6g RNM3P#NCC_M0D_VN4o#Rn.6;M @@ -463,65 +463,35 @@ oR(h_(N; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_;(U RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_4 +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_.4N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_..4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.;d( +RNM3P#NCC_M0D_VN4o#Rn.6;M oR.h_c +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhc_..N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_d.U;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.;gc +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_j +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhj_d4N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_gd4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;d. +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_d c;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhc_.6N; +RoMhc_ddN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_n.c;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh.;(6 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_( -n;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhj_ddN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_gdn;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhd;(j -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_g -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7B1qiQ4_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7q4Bi_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7B1qiQ4_hja_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_jQj_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_aQh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_jQj_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjj_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_jQj_hja_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MtRA_jjj_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMAjt_jjj_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MtRA_jjj_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__d3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__jdk_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__d3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lH_.__j3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lH_.__j34kM;M -NRN3#PMC_CV0_D#No46R.no; -MMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lH_.__j3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM;M +n;ohMR_(d6;M NRN3#PMC_CV0_D#No46R.no; M7Rz1j_jjh_Qa3_jk;Md RNM3P#NCC_M0D_VN4o#Rn.6;M @@ -529,11 +499,71 @@ oR1z7_jjj_aQh_kj3M 4;N3MR#CNP_0MC_NVDoR#4.;6n RoMz_71j_jjQ_hajM3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;oqMRjv_7q3_jk;Md +n;oqMR1j_jjh_Qa3_jk;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_qj7_vqjM3k4N; +oR_q1j_jjQ_hajM3k4N; M#R3N_PCM_C0VoDN#.4R6 -n;oqMRjv_7q3_jk;Mj +n;oqMR1j_jjh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRq71B_i4Q_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1iqB4h_Qa3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRq71B_i4Q_hajM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oeMRvQq_hja_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MvReqh_Qa3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRqev_aQh_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMp_71j_jjQ_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;opMR7j1_jQj_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M7Rp1j_jjh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_4j__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__34_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_4j__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3j_k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_jj__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3j_k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C#_dj__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oOMRbCk_#j0__3d_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C#_dj__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oOMRbCk_#j0__3._k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C#_.j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oOMRbCk_#j0__3._k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C#_4j__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oOMRbCk_#j0__34_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C#_4j__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3._k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_.j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3._k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRQqvtAq_z 1_hpqA v_7qm_pW3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRQqvtAq_z 1_hpqA v_7qm_pW3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRQqvtAq_z 1_hpqA v_7qm_pW3_jk;Mj RNM3P#NCC_M0D_VN4o#Rn.6;M oR_)Wj_jj7_vqjM3kdN; M#R3N_PCM_C0VoDN#.4R6 @@ -541,53 +571,41 @@ n;o)MRWj_jjv_7q3_jk;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M oR_)Wj_jj7_vqjM3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;opMR7j1_jQj_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M7Rp1j_jjh_Qa3_jk;M4 +n;oqMR1j_jjv_7q3_jk;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1p7_jjj_aQh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMABtqid_jjh_Qa3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRqAtBji_dQj_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MtRAq_Bij_djQ_hajM3kjN; +oR_q1j_jj7_vqjM3k4N; M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjh_ q Ap_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7j1_j j_hpqA 3_jk;M4 +n;oqMR1j_jjv_7q3_jk;Mj RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj Ahqpj _3jkM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjd_jjj_h1YB3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_djj_jj1BYh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_djj_j1j_Y_hBjM3kjN; +oR_71j_jj7_vqjM3kdN; M#R3N_PCM_C0VoDN#.4R6 -n;oqMRvqQt_1Az_q hA_p 7_vq]]Qt_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk;M4 +n;o7MR1j_jjv_7q3_jk;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRQqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__j.k_3M +oR_71j_jj7_vqjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oAMRtiqB_jjd_aQh_kj3M d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__.34kM;M +RoMABtqid_jjh_Qa3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRqAtBji_dQj_hja_3jkM;M NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__j.k_3M +MtRA_jjj_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMAjt_jjj_34kM;M +NRN3#PMC_CV0_D#No46R.no; +MtRA_jjj_kj3M j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__43dkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0__j4k_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC_#0j__43jkM;M -NRN3#PMC_CV0_D#No46R.no; -MvReqh_Qa3_jk;Md +RoMqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oRqev_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMe_vqQ_hajM3kjN; +oRQqvtAq_z 1_hpqA v_7qQ_]tj]_34kM;M +NRN3#PMC_CV0_D#No46R.no; +MvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__Hlj.__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__Hlj.__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__Hlj.__M3kjN; M#R3N_PCM_C0VoDN#.4R6 n;o1MRQ_Z 7_vqj__j3dkM;M NRN3#PMC_CV0_D#No46R.no; @@ -601,69 +619,50 @@ n;o1MRQ_Z 7_vqj__434kM;M NRN3#PMC_CV0_D#No46R.no; MQR1Z7 _vjq__34_k;Mj RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_jj__M3kdN; +oR_71j_jj Ahqpj _3dkM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7_jjj_q hA_p jM3k4N; M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3j_k;M4 +n;o7MR1j_jjh_ q Ap_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_djj_j1j_Y_hBjM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMR1d_jjj_jjY_1hjB_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjd_jjj_h1YB3_jk;Mj RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_jj__M3kjN; +oR_)Wj_jjQ_hajM3kdN; M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__34_k;Md +n;o)MRWj_jjh_Qa3_jk;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_4j__M3k4N; +oR_)Wj_jjQ_hajM3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__34_k;Mj +n;oqMRjv_7q3_jk;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_.j__M3kdN; +oR_qj7_vqjM3k4N; M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3._k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_.j__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjv_7q3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj7_vqjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjv_7q3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjv_7q3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;b@R@j::44::4.j+4:k0sCjRf:0jRsRkC0CskRBeB;R -b@:@j4::44+:.4Vj:NCD#R:fjjNRVDR#CV#NDChRt7b; -R(@@:44d::dU4:d4c4j+jv:1_Qqvtjqr:R(9fjj:RFoE#10Rvv_qQrtqj9:(R(h_4_,h(h.,_,(dhc_(,(h_6_,h(hn,_,((hU_(;b -oRjmr9N; -b$R#MF_bsD0VNRo#n -c;ombRr;49 +n;oqMRjv_7q3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;R +b@:@j4::44+:.40j:sRkCfjj:Rk0sCsR0keCRB +B;b@R@j::44::4.j+4:DVN#fCRjR:jV#NDCNRVDR#Ct;h7 +@bR@4(:dd4:Ud:44j:c+:4j1qv_vqQtr(j:9jRf:ojRE0F#R_1vqtvQq:rj(h9R_,(4h._(,(h_d_,h(hc,_,(6hn_(,(h_(_,h( +U;ombRr;j9 RNb#_$Mb0FsVoDN#cRn;b -oR.mr9N; +oR4mr9N; b$R#MF_bsD0VNRo#n -c;ombRr;d9 +c;ombRr;.9 RNb#_$Mb0FsVoDN#cRn;b -oRcmr9N; +oRdmr9N; b$R#MF_bsD0VNRo#n -c;ombRr;69 +c;ombRr;c9 RNb#_$Mb0FsVoDN#cRn;b -oRnmr9N; +oR6mr9N; b$R#MF_bsD0VNRo#n -c;ombRr;(9 -RNb#_$Mb0FsVoDN#cRn;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(44dddU44;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -H#R30CN0_blNboHMRR"RRjjjjjjj4>R-RjjjjjjjjR\MRjRjjjjj4-jR>jRjjjjj4M4\RjRRjjjj4Rjj-j>Rjjjj4\j4MRRRjjjj4jjjRR->jjjj44jj\RMRRjjj4jjjj>R-Rjjj4jjj4R\MRjRj4jjjj-jR>jRj4jjjjM4\RjRR4jjjjRjj-j>R4jjjj\j4MRRR4jjjjjjjRR->4jjjj4jj\;M" -@sR@4(:dd4:Ud:44j:c+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9r4 -=ST1qv_vqQtr -49S17=vv_qQ_tqMr##nS9 -B=piB_pimQ1Z_ -O;N3HRsC0D_R0F4N; +c;ombRr;n9 +RNb#_$Mb0FsVoDN#cRn;b +oR(mr9N; +b$R#MF_bsD0VNRo#n +c;N3HRsC0D_R0F4N; H$R#M#_Vl8_HR4"(dU4d44d4"N; HsR30FD_sMHoNRlC"_1vqtvQq ";N3HRV_#lVlsF#"0R1qv_vqQtR;d" @@ -671,10 +670,10 @@ RNH3lV#_#0F01R"vv_qQRtqU ";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs ";N3HRV_#lFosHMCNlRv"1_Qqvt;q" RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -R(@@:44d::dU4:d4c4j+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtqjS9 -Tv=1_Qqvtjqr97 -S=_1vqtvQq#_M#9r( +RNH3N#00lC_NHbbM"oRRjRRjjjjjRj4-j>Rjjjjj\jjMRRRjjjjjjj4RR->jjjjj4j4\RMRRjjjjjj4j>R-Rjjjjjj44R\MRjRjjjj4j-jR>jRjjjj4jM4\RjRRjjj4jRjj-j>Rjjj4j\j4MRRRjjj4jjjjRR->jjj4j4jj\RMRRjj4jjjjj>R-Rjj4jjjj4R\MRjR4jjjjj-jR>jR4jjjjjM4\"s; +R(@@:44d::dU4:d4c4j+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtqdS9 +Tv=1_Qqvtdqr97 +S=4h_cH6_ pSBip=Bi1_mZOQ_;H NR03sD0C_F;R4 RNH#_$MV_#lH"8R(44dddU44;4" @@ -685,48 +684,87 @@ NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsj NR#3Vls_FHNoMl"CR1qv_vqQt"N; HVR3##l_0CN0sRCo4N; HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4(:dd4:Ud:44j:c+:4jQ_upjrdj.9:jR:fjjNRlO7ERwbwRsRHlQ_upj7djwjwr9T -S=pQu_jjd_jOr97 -S=dh_4B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"pQu_jjd"N; -HkR3MNVsOM_H8RCGjs; +@sR@4(:dd4:Ud:44j:c+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9r. +=ST1qv_vqQtr +.9Sh7=_d4c_SH +B=piB_pimQ1Z_ +O;N3HRsC0D_R0F4N; +H$R#M#_Vl8_HR4"(dU4d44d4"N; +HsR30FD_sMHoNRlC"_1vqtvQq +";N3HRV_#lVlsF#"0R1qv_vqQtR;d" +RNH3lV#_#0F01R"vv_qQRtqU +";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs +";N3HRV_#lFosHMCNlRv"1_Qqvt;q" +RNH3lV#_N#00CCso;R4 +RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; +R(@@:44d::dU4:d4c4j+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtq4S9 +Tv=1_Qqvt4qr97 +S=4h_cH4_ +pSBip=Bi1_mZOQ_;H +NR03sD0C_F;R4 +RNH#_$MV_#lH"8R(44dddU44;4" +RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H +NR#3Vls_VF0l#Rv"1_QqvtdqR"N; +HVR3#0l_FR#0"_1vqtvQq"RU;H +NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H +NR#3Vls_FHNoMl"CR1qv_vqQt"N; +HVR3##l_0CN0sRCo4N; +HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' +@sR@4(:dd4:Ud:44j:c+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9rj +=ST1qv_vqQtr +j9Sh7=_g4d_SH +B=piB_pimQ1Z_ +O;N3HRsC0D_R0F4N; +H$R#M#_Vl8_HR4"(dU4d44d4"N; +HsR30FD_sMHoNRlC"_1vqtvQq +";N3HRV_#lVlsF#"0R1qv_vqQtR;d" +RNH3lV#_#0F01R"vv_qQRtqU +";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs +";N3HRV_#lFosHMCNlRv"1_Qqvt;q" +RNH3lV#_N#00CCso;R4 +RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; R(@@:44d::dU4:d4c4j+ju:Qpd_jj:r.jf9RjR:jlENORw7wRHbsluRQpd_jjw7wr -49SQT=ujp_dOj_r -49Sh7=_ -d.SiBp=iBp_Zm1Q;_O +j9SQT=ujp_dOj_r +j9Sh7=_ +d4SiBp=iBp_Zm1Q;_O RNH3Ds0_HFsolMNCQR"ujp_d;j" -RNH3VkMs_NOHCM8G;R4 -@sR@4(:dd4:Ud:44j:c+:4jQ_upjrdj.9:jR:fjjNRlO7ERwbwRsRHlQ_upj7djw.wr9T -S=pQu_jjd_.Or97 -S=dh_dB +RNH3VkMs_NOHCM8G;Rj +@sR@4(:dd4:Ud:44j:c+:4jQ_upjrdj.9:jR:fjjNRlO7ERwbwRsRHlQ_upj7djw4wr9T +S=pQu_jjd_4Or97 +S=dh_.B SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"pQu_jjd"N; -HkR3MNVsOM_H8RCG.s; -R(@@:44d::dU4:d4c4j+ju:Qpj_7rj.:9jRf:ljRNROE7RwwblsHRpQu_r7jjS9 -Tu=Qpj_7r -j9Sh7=_ -6.SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCQR"u7p_j -";N3HRksMVNHO_MG8CR -j;N3HR#_$MH0MHPRND";4" -@sR@4(:dd4:Ud:44j:c+:4jQ_up7.jr:Rj9fjj:ROlNEwR7wsRbHQlRu7p_j9r4 -=STQ_up74jr97 -S=6h_dB +HkR3MNVsOM_H8RCG4s; +R(@@:44d::dU4:d4c4j+ju:Qpd_jj:r.jf9RjR:jlENORw7wRHbsluRQpd_jjw7wr +.9SQT=ujp_dOj_r +.9Sh7=_ +ddSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCQR"ujp_d;j" +RNH3VkMs_NOHCM8G;R. +@sR@4(:dd4:Ud:44j:c+:4jQ_up7.jr:Rj9fjj:ROlNEwR7wsRbHQlRu7p_j9rj +=STQ_up7jjr97 +S=6h_.B SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"pQu_"7j;H -NRM3kVOsN_8HMC4GR;H +NRM3kVOsN_8HMCjGR;H NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+ju:Qpj_7rj.:9jRf:ljRNROE7RwwblsHRpQu_r7j.S9 +R(@@:44d::dU4:d4c4j+ju:Qpj_7rj.:9jRf:ljRNROE7RwwblsHRpQu_r7j4S9 Tu=Qpj_7r -.9Sh7=_ -6cSiBp=iBp_Zm1Q;_O +49Sh7=_ +6dSiBp=iBp_Zm1Q;_O RNH3Ds0_HFsolMNCQR"u7p_j ";N3HRksMVNHO_MG8CR -.;N3HR#_$MH0MHPRND";4" -@sR@4(:dd4:Ud:44j:c+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQqr_H(S9 -Tv=1_QqvtHq_r -(9S17=vv_qQ_tqM_##H9rj +4;N3HR#_$MH0MHPRND";4" +@sR@4(:dd4:Ud:44j:c+:4jQ_up7.jr:Rj9fjj:ROlNEwR7wsRbHQlRu7p_j9r. +=STQ_up7.jr97 +S=6h_cB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"pQu_"7j;H +NRM3kVOsN_8HMC.GR;H +NR$3#MM_HHN0PD4R""s; +R(@@:44d::dU4:d4c4j+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQ_tqH9r( +=ST1qv_vqQt_(Hr97 +S=4h_6H6_ pSBip=Bi1_mZOQ_;H NR03sD0C_F;R4 RNH#_$MV_#lH"8R(44dddU44;4" @@ -741,20 +779,7 @@ RNH3PHM_k#FsROC'_1vqtvQq9r('N; H#R3$HM_MPH0N"DRj ";s@R@(d:44U:d:44d:+cj41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr n9S1T=vv_qQrtqnS9 -7_=hd -jdSiBp=iBp_Zm1Q;_O -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4dd4U44d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@(d:44U:d:44d:+cj41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr -69S1T=vv_qQrtq6S9 -7v=1_QqvtMq_#.#r9B +7_=h4_64HB SpBi=pmi_1_ZQON; HsR30_DC04FR;H NRM#$_lV#_RH8"d(444dUd"44;H @@ -765,10 +790,10 @@ HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjj HVR3#Fl_sMHoNRlC"_1vqtvQq ";N3HRV_#l#00NCosCR 4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@(4:d4d4U:dc4:jj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvtcqr9T -S=_1vqtvQq9rc -=S71qv_vqQt_#M#r -d9SiBp=iBp_Zm1Q;_O +s@:@(4:d4d4U:dc4:jj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvt6qr9T +S=_1vqtvQq9r6 +=S7hc_4g +_HSiBp=iBp_Zm1Q;_O RNH3Ds0CF_0R 4;N#HR$VM_#Hl_8(R"4dd4U44d4 ";N3HRs_0DFosHMCNlRv"1_Qqvt;q" @@ -779,8 +804,8 @@ RNH3lV#_HFsolMNC1R"vv_qQ"tq;H NR#3Vl0_#Ns0CC4oR;H NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 ';s@R@(d:44U:d:44d:+cj41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr -d9S1T=vv_qQrtqdS9 -7v=1_QqvtMq_#c#r9B +c9S1T=vv_qQrtqcS9 +7_=h4_c(HB SpBi=pmi_1_ZQON; HsR30_DC04FR;H NRM#$_lV#_RH8"d(444dUd"44;H @@ -791,531 +816,525 @@ HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjj HVR3#Fl_sMHoNRlC"_1vqtvQq ";N3HRV_#l#00NCosCR 4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@(4:d4d4U:dc4:jj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvt.qr9T -S=_1vqtvQq9r. -=S71qv_vqQt_#M#r -69SiBp=iBp_Zm1Q;_O -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4dd4U44d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@(d:44U:d:44d:+cj4Bj:Y Bp_q7vrj4:9jRf:ljRNROE7RwwblsHRBBYp7 _v4qr9T +s@:@(4:d4d4U:dc4:jj+4:iBp_jjj_.7r:Rj9fjj:ROlNEwR7wsRbHBlRpji_j7j_r +.9SBT=pji_j7j_r +.9SB7=pji_j7j_r +49SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_j7j_"N; +HIR3NCsM8kbsMoHMR +4;N3HRksMVNHO_MG8CR +.;s@R@(d:44U:d:44d:+cj4Bj:Y Bp_q7vrj4:9jRf:ljRNROE7RwwblsHRBBYp7 _vjqr9T +S=BBYp7 _vjqr97 +S=nh_( +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"Y Bp_q7v"N; +HkR3MNVsOM_H8RCGjN; +H#R3$HM_MPH0N"DRj +";s@R@(d:44U:d:44d:+cj4Bj:Y Bp_q7vrj4:9jRf:ljRNROE7RwwblsHRBBYp7 _v4qr9T S=BBYp7 _v4qr97 -S=4h_.H6_ -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRBpYB v_7q -";N3HRksMVNHO_MG8CR -4;N3HR#_$MH0MHPRND";j" -@sR@4(:dd4:Ud:44j:c+:4j1 QZ_q7vrj4:9jRf:ljRNROE7RwwblsHRZ1Q v_7q9rj -=ST1 QZ_q7vr -j9Sh7=_ -44SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC1R"Q_Z 7"vq;H -NRM3kVOsN_8HMCjGR;H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+jQ:1Z7 _v4qr:Rj9fjj:ROlNEwR7wsRbH1lRQ_Z 7rvq4S9 -TQ=1Z7 _v4qr97 -S=4h_.B +S=nh_c +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"Y Bp_q7v"N; +HkR3MNVsOM_H8RCG4N; +H#R3$HM_MPH0N"DRj +";s@R@(d:44U:d:44d:+cj41j:Q_Z 7rvq49:jR:fjjNRlO7ERwbwRsRHl1 QZ_q7vr +j9S1T=Q_Z 7rvqjS9 +7_=h(B SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"Z1Q v_7q ";N3HRksMVNHO_MG8CR -4;N3HR#_$MH0MHPRND";4" -@sR@4(:dd4:Ud:44j:c+:4jO_bkCr#0d9:jR:fjjNRlO7ERwbwRsRHlO_bkCr#0jS9 -Tb=Ok#_C09rj -=S7hn_.U -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCOR"bCk_#;0" -RNH3VkMs_NOHCM8G;Rj -@sR@4(:dd4:Ud:44j:c+:4jO_bkCr#0d9:jR:fjjNRlO7ERwbwRsRHlO_bkCr#04S9 -Tb=Ok#_C09r4 -=S7hc_4 +j;N3HR#_$MH0MHPRND";4" +@sR@4(:dd4:Ud:44j:c+:4j1 QZ_q7vrj4:9jRf:ljRNROE7RwwblsHRZ1Q v_7q9r4 +=ST1 QZ_q7vr +49Sh7=_SU +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRQ"1Z7 _v;q" +RNH3VkMs_NOHCM8G;R4 +RNH3M#$_HHM0DPNR""4;R +s@:@(4:d4d4U:dc4:jj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r +j9SOT=bCk_#j0r97 +S=.h_dHj_ pSBip=Bi1_mZOQ_;H NR03sDs_FHNoMl"CRO_bkC"#0;H -NRM3kVOsN_8HMC4GR;R +NRM3kVOsN_8HMCjGR;R s@:@(4:d4d4U:dc4:jj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r -.9SOT=bCk_#.0r97 -S=4h_6B +49SOT=bCk_#40r97 +S=4h_4B SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"kOb_0C#"N; -HkR3MNVsOM_H8RCG.s; -R(@@:44d::dU4:d4c4j+jb:Ok#_C0:rdjf9RjR:jlENORw7wRHbslbROk#_C09rd -=STO_bkCr#0dS9 -7_=h4Sn +HkR3MNVsOM_H8RCG4s; +R(@@:44d::dU4:d4c4j+jb:Ok#_C0:rdjf9RjR:jlENORw7wRHbslbROk#_C09r. +=STO_bkCr#0.S9 +7_=h4S. B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRb"Ok#_C0 ";N3HRksMVNHO_MG8CR -d;s@R@(d:44U:d:44d:+cj4)j:17a_p.Yr:Rj9fjj:ROlNEwR7wsRbH)lR17a_pjYr9T -S=a)1_Y7pr -j9Sh7=_ndj_SH -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1")ap_7Y -";N3HR#_$MH0MHPRND";j" -@sR@4(:dd4:Ud:44j:c+:4j)_1a7rpY.9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpY4S9 -T1=)ap_7Y9r4 -=S7hj_d6 +.;s@R@(d:44U:d:44d:+cj4Oj:bCk_#d0r:Rj9fjj:ROlNEwR7wsRbHOlRbCk_#d0r9T +S=kOb_0C#r +d9Sh7=_ +4dSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCOR"bCk_#;0" +RNH3VkMs_NOHCM8G;Rd +@sR@4(:dd4:Ud:44j:c+:4j)_1a7rpY.9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpYjS9 +T1=)ap_7Y9rj +=S7hc_.j _HSiBp=iBp_Zm1Q;_O RNH3Ds0_HFsolMNC)R"17a_p;Y" RNH3M#$_HHM0DPNR""j;R s@:@(4:d4d4U:dc4:jj+4:a)1_Y7prj.:9jRf:ljRNROE7RwwblsHRa)1_Y7pr -.9S)T=17a_p.Yr97 -S=dh_jHc_ +49S)T=17a_p4Yr97 +S=.h_dHg_ pSBip=Bi1_mZOQ_;H NR03sDs_FHNoMl"CR)_1a7"pY;H NR$3#MM_HHN0PDjR""s; -R(@@:44d::dU4:d4c4j+jp:Bij_jjr_749:jR:fjjNRlO7ERwbwRsRHlB_pij_jj79rj -=STB_pij_jj79rj -=S7B_pij_jjOB +R(@@:44d::dU4:d4c4j+j1:)ap_7Y:r.jf9RjR:jlENORw7wRHbsl1R)ap_7Y9r. +=ST)_1a7rpY.S9 +7_=h._dUHB SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_;7" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCjGR;R -s@:@(4:d4d4U:dc4:jj+4:iBp_jjj_47r:Rj9fjj:ROlNEwR7wsRbHBlRpji_j7j_r -49SBT=pji_j7j_r -49SB7=pji_j7j_r -j9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_j7j_"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -4;s@R@(d:44U:d:44d:+cj4Bj:Y Bp_q7vrj4:9jRf:ljRNROE7RwwblsHRBBYp7 _vjqr9T -S=BBYp7 _vjqr97 -S=dh_jH(_ +HsR30FD_sMHoNRlC"a)1_Y7p"N; +H#R3$HM_MPH0N"DRj +";s@R@(d:44U:d:44d:+cj4Bj:pji_j7j_rj.:9jRf:ljRNROE7RwwblsHRiBp_jjj_j7r9T +S=iBp_jjj_j7r97 +S=iBp_jjj_SO +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jj"_7;H +NRN3Is8MCbMskHRMo4N; +HkR3MNVsOM_H8RCGjs; +R(@@:44d::dU4:d4c4j+jp:Bij_jjr_7.9:jR:fjjNRlO7ERwbwRsRHlB_pij_jj79r4 +=STB_pij_jj79r4 +=S7B_pij_jj79rj pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRBpYB v_7q -";N3HRksMVNHO_MG8CR -j;N3HR#_$MH0MHPRND";j" -@sR@4(:dd4:Ud:44j:c+:4jqj1_j7j_vfqRjR:jlENORw7wRHbsl1Rq_jjj_q7v -=STqj1_j7j_vSq -7_=hcSn +NR03sDs_FHNoMl"CRB_pij_jj7 +";N3HRIMNsCs8bkMMHo;R4 +RNH3VkMs_NOHCM8G;R4 +@sR@4(:dd4:Ud:44j:c+:4j)jW_jQj_hfaRjR:jlENORw7wRHbslWR)_jjj_aQh +=ST)jW_jQj_hSa +7_=hcSc B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1"q_jjj_q7v"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:44U:d:44d:+cj4qj:1d_jjj_jjY_1hfBRjR:jlENORw7wRHbsl1Rq_jjd_jjj_h1YBT -S=_q1j_djj_jj1BYh -=S7h(_c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRqj1_djj_j1j_Y"hB;H +O;N3HRs_0DFosHMCNlRW")_jjj_aQh"N; +HHR3MF_DF4bR;H NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+j1:q_jjj_aQhR:fjjNRlO7ERwbwRsRHlqj1_jQj_hSa -T1=q_jjj_aQh -=S7hU_c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRqj1_jQj_h;a" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:d4d4U:dc4:jj+4:q71B_i4QRhafjj:ROlNEwR7wsRbH7lR1iqB4h_QaT -S=q71B_i4Q -haSh7=_ -cgSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC7R"1iqB4h_Qa -";N3HRHDM_FRFbgN; -H#R3$HM_MPH0N"DR4 -";s@R@(d:44U:d:44d:+cj47j:1j_jjv_7qjRf:ljRNROE7RwwblsHR_71j_jj7 -vqS7T=1j_jjv_7q7 -S=6h_jB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_71j_jj7"vq;H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+j1:q_jjd_R7jfjj:ROlNEwR7wsRbHqlR1d_jjj_7 -=STqj1_d7j_j7 -S=dh_nSg -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1"q_jjd_"7j;H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+ju:eqR_7fjj:ROlNEwR7wsRbHelRu7q_ -=STe_uq77 -S=6h_6B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"qeu_;7" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:d4d4U:dc4:jj+4:q7aB7i_jjRf:ljRNROE7RwwblsHRq7aB7i_jT -S=q7aB7i_j7 -S=6h_nB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"q7aB7i_j -";N3HR#_$MH0MHPRND";4" -@sR@4(:dd4:Ud:44j:c+:4jB_pij_dj]jRf:ljRNROE7RwwblsHRiBp_jjd_S] -Tp=Bid_jj -_]Sh7=_(.(_SH -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bid_jj"_];H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+j :)1_ amRzafjj:ROlNEwR7wsRbH)lR a1 _amz -=ST) 1az_ma7 -S=6h_UB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"1) ma_z;a" -RNH3M#$_HHM0DPNR""j;R -s@:@(4:d4d4U:dc4:jj+4:_71j_jj Ahqpf RjR:jlENORw7wRHbsl1R7_jjj_q hA -p S7T=1j_jjh_ q Ap -=S7hg_6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR7j1_j j_hpqA -";N3HR#_$MH0MHPRND";j" -@sR@4(:.dn:n.:4nU:d+:4jB_pim_zau_) .f6RjR:jlENORw7wRHbslpRBiz_ma)_u 6_. -=STB_pim_zau_) .S6 -7_=hdSj -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Biz_ma)_u 6_."N; -HHR3MF_DFUbR;H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+jt:A_jjjR:fjjNRlO7ERwbwRsRHlAjt_jwj7wT -S=_Atj_jjO7 -S=dh_cB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_Atj"jj;H -NRM3H_FDFb;Rn -@sR@4(:dd4:Ud:44j:c+:4jqtvQqz_A1h_ q Ap_q7v_t]Q]jRf:ljRNROE7RwwblsHRQqvtAq_z 1_hpqA v_7qQ_]tS] -Tv=qQ_tqA_z1 Ahqp7 _v]q_Q -t]Sh7=_ -d6SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"vqQt_1Az_q hA_p 7_vq]]Qt"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:44U:d:44d:+cj4qj:vqQt_1Az_q hA_p 7_vqpRmWfjj:ROlNEwR7wsRbHqlRvqQt_1Az_q hA_p 7_vqp -mWSqT=vqQt_1Az_q hA_p 7_vqp -mWSh7=_ -dnSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"vqQt_1Az_q hA_p 7_vqp"mW;H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+j7:z1j_jjh_QajRf:ljRNROE7RwwblsHR1z7_jjj_aQh -=STz_71j_jjQ -haSh7=_ -d(SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCzR"7j1_jQj_h;a" -RNH3_HMDbFFR -d;N3HR#_$MH0MHPRND";4" -@sR@4(:dd4:Ud:44j:c+:4jq7j_vfqRjR:jlENORw7wRHbsljRq_q7v -=STq7j_vSq -7_=hdSU -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRj"q_q7v"N; -HHR3MF_DF6bR;H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+jv:eqh_QajRf:ljRNROE7RwwblsHRqev_aQh -=STe_vqQ -haSh7=_ -dgSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCeR"vQq_h;a" -RNH3_HMDbFFR;4j -RNH3M#$_HHM0DPNR""4;R -s@:@(4:d4d4U:dc4:jj+4:_)Wj_jj7Rvqfjj:ROlNEwR7wsRbH)lRWj_jjv_7qT -S=_)Wj_jj7 -vqSh7=_ -c4SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"Wj_jjv_7q -";N3HRHDM_FRFbjN; -H#R3$HM_MPH0N"DR4 -";s@R@(d:44U:d:44d:+cj4)j:Wj_jjh_QajRf:ljRNROE7RwwblsHR_)Wj_jjQ -haS)T=Wj_jjh_Qa7 -S=ch_.B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_)Wj_jjQ"ha;H -NRM3H_FDFb;R4 +R(@@:44d::dU4:d4c4j+j1:q_jjd_jjj_h1YBjRf:ljRNROE7RwwblsHR_q1j_djj_jj1BYh +=STqj1_djj_j1j_Y +hBSh7=_ +c6SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"1d_jjj_jjY_1h;B" RNH3M#$_HHM0DPNR""4;R s@:@(4:d4d4U:dc4:jj+4:1p7_jjj_aQhR:fjjNRlO7ERwbwRsRHlp_71j_jjQ haSpT=7j1_jQj_hSa -7_=hcSd +7_=hcSn B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlR7"p1j_jjh_Qa ";N3HRHDM_FRFbcN; H#R3$HM_MPH0N"DR4 ";s@R@(d:44U:d:44d:+cj4Aj:tiqB_jjd_aQhR:fjjNRlO7ERwbwRsRHlABtqid_jjh_QaT S=qAtBji_dQj_hSa -7_=hcSc +7_=hcS( B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRt"Aq_Bij_djQ"ha;H NRM3H_FDFb;R( RNH3M#$_HHM0DPNR""4;R +s@:@(4:d4d4U:dc4:jj+4:_q1j_jj7Rvqfjj:ROlNEwR7wsRbHqlR1j_jjv_7qT +S=_q1j_jj7 +vqSh7=_ +cgSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"1j_jjv_7q +";N3HR#_$MH0MHPRND";4" +@sR@4(:dd4:Ud:44j:c+:4j7j1_j7j_vfqRjR:jlENORw7wRHbsl1R7_jjj_q7v +=ST7j1_j7j_vSq +7_=h6Sj +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlR1"7_jjj_q7v"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:44U:d:44d:+cj4qj:1d_jjj_7R:fjjNRlO7ERwbwRsRHlqj1_d7j_jT +S=_q1j_dj7Sj +7_=h4 +4jSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"1d_jjj_7"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:44U:d:44d:+cj4ej:u7q_R:fjjNRlO7ERwbwRsRHle_uq7T +S=qeu_S7 +7_=h6S6 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRu"eq"_7;H +NR$3#MM_HHN0PD4R""s; +R(@@:44d::dU4:d4c4j+ja:7q_Bi7fjRjR:jlENORw7wRHbslaR7q_Bi7Sj +Ta=7q_Bi7Sj +7_=h6Sn +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRa"7q_Bi7;j" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:d4d4U:dc4:jj+4:iBp_jjd_f]RjR:jlENORw7wRHbslpRBid_jj +_]SBT=pji_d]j_ +=S7hj_n_SH +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bid_jj"_];H +NR$3#MM_HHN0PD4R""s; +R(@@:44d::dU4:d4c4j+j :)1_ amRzafjj:ROlNEwR7wsRbH)lR a1 _amz +=ST) 1az_ma7 +S=dh_jS4 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlR ")1_ am"za;H +NR$3#MM_HHN0PDjR""s; +R(@@:44d::dU4:d4c4j+j1:7_jjj_q hARp fjj:ROlNEwR7wsRbH7lR1j_jjh_ q Ap +=ST7j1_j j_hpqA 7 +S=6h_gB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_71j_jj Ahqp; " +RNH3M#$_HHM0DPNR""j;R +s@:@(4:.nd4n:.dn:Uj+4:iBp_amz_ u)_R.6fjj:ROlNEwR7wsRbHBlRpmi_zua_). _6T +S=iBp_amz_ u)_ +.6Sh7=_ +djSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pmi_zua_). _6 +";N3HRHDM_FRFbUN; +H#R3$HM_MPH0N"DR4 +";s@R@(d:44U:d:44d:+cj4Aj:tj_jjjRf:ljRNROE7RwwblsHR_Atj7jjwSw +Tt=A_jjj_SO +7_=hdSc +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRt"A_jjj"N; +HHR3MF_DFnbR;R +s@:@(4:d4d4U:dc4:jj+4:QqvtAq_z 1_hpqA v_7qQ_]tf]RjR:jlENORw7wRHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q +t]SqT=vqQt_1Az_q hA_p 7_vq]]Qt +=S7h6_d +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRqtvQqz_A1h_ q Ap_q7v_t]Q] +";N3HR#_$MH0MHPRND";4" +@sR@4(:dd4:Ud:44j:c+:4jqtvQqz_A1h_ q Ap_q7v_WpmR:fjjNRlO7ERwbwRsRHlqtvQqz_A1h_ q Ap_q7v_Wpm +=STqtvQqz_A1h_ q Ap_q7v_Wpm +=S7hn_d +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRqtvQqz_A1h_ q Ap_q7v_Wpm"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:44U:d:44d:+cj4zj:7j1_jQj_hfaRjR:jlENORw7wRHbsl7Rz1j_jjh_QaT +S=1z7_jjj_aQh +=S7h(_d +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRz_71j_jjQ"ha;H +NRM3H_FDFb;Rd +RNH3M#$_HHM0DPNR""4;R +s@:@(4:d4d4U:dc4:jj+4:_qj7Rvqfjj:ROlNEwR7wsRbHqlRjv_7qT +S=_qj7 +vqSh7=_ +dUSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"jv_7q +";N3HRHDM_FRFb6N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:44U:d:44d:+cj4qj:1j_jjh_QajRf:ljRNROE7RwwblsHR_q1j_jjQ +haSqT=1j_jjh_Qa7 +S=dh_gB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_q1j_jjQ"ha;H +NR$3#MM_HHN0PD4R""s; +R(@@:44d::dU4:d4c4j+j1:7q4Bi_aQhR:fjjNRlO7ERwbwRsRHl7B1qiQ4_hSa +T1=7q4Bi_aQh +=S7hj_c +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR7B1qiQ4_h;a" +RNH3_HMDbFFR +g;N3HR#_$MH0MHPRND";4" +@sR@4(:dd4:Ud:44j:c+:4je_vqQRhafjj:ROlNEwR7wsRbHelRvQq_hSa +Tv=eqh_Qa7 +S=ch_4B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"qev_aQh"N; +HHR3MF_DF4bRjN; +H#R3$HM_MPH0N"DR4 +";s@R@(d:44U:d:44d:+cj4)j:Wj_jjv_7qjRf:ljRNROE7RwwblsHR_)Wj_jj7 +vqS)T=Wj_jjv_7q7 +S=ch_dB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_)Wj_jj7"vq;H +NRM3H_FDFb;Rj +RNH3M#$_HHM0DPNR""4;R s@:@(4:d4d4U:dc4:jj+4:qAtBji_dQj_h7a_R:fjjNRlO7ERwbwRsRHlABtqid_jjh_Qa _7SAT=tiqB_jjd_aQh_S7 -7_=hnS( -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRt"Aq_Bij_djQ_ha7 -";N3HR#_$MH0MHPRND";4" -@sR@4(:.dn:n.:4nU:d+:4jB_pim_zau_) 7jRf:ljRNROE7RwwblsHRiBp_amz_ u)_S7 -Tp=Biz_ma)_u -_7SB7=pmi_zua_). _6B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_amz_ u)_;7" +7_=h4 +jjSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCAR"tiqB_jjd_aQh_;7" RNH3M#$_HHM0DPNR""4;R -s@:@(4:dUd4c:ddU:nj+4:iBp_amz_ u)_R6jfjj:ROlNEwR7wsRbHBlRpmi_zua_)6 _jT -S=iBp_amz_ u)_ -6jSB7=pmi_zua_)6 _j -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pmi_zua_)6 _j -";N3HR#_$MH0MHPRND";4" -@sR@4(:.dg:6.:4g(:d+:4jB_pim_zaQRhafjj:ROlNEwR7wsRbHBlRpmi_zQa_hSa -Tp=BiX_ u -_OSB7=pmi_zua_)7 _ -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pim_zaQ"ha;H +s@:@(4:.nd4n:.dn:Uj+4:iBp_amz_ u)_f7RjR:jlENORw7wRHbslpRBiz_ma)_u +_7SBT=pmi_zua_)7 _ +=S7B_pim_zau_) .S6 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Biz_ma)_u "_7;H NR$3#MM_HHN0PD4R""s; -R:fjjNRlOAERQQ_7)sRbHqlR1d_jjm -S=_q1j_djOQ -SjM=kn#_N_jjd_SH -Qqm=1d_jjm -S _=h4;jU -RobQ -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEA7Q_Qb)RsRHlqj1_jSj -m1=q_jjj_SO -Qkj=MNc_#j_jj -_HS=Qmqj1_jSj -mh =_Udn_ +R(@@:U4d::dc4:dUd4n+jp:Biz_ma)_u j_6R:fjjNRlO7ERwbwRsRHlB_pim_zau_) 6Sj +Tp=Biz_ma)_u j_6 +=S7B_pim_zau_) 6Hj_ +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pim_zau_) 6;j" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:.gd46:.dg:(j+4:iBp_amz_aQhR:fjjNRlO7ERwbwRsRHlB_pim_zaQ +haSBT=p i_XOu_ +=S7B_pim_zau_) 7B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_amz_aQh"N; +H#R3$HM_MPH0N"DR4 +";sjRf:ljRNROEA7Q_Qb)RsRHlqj1_dSj +m1=q_jjd_SO +Qkj=MNn_#d_jj +_HS=Qmqj1_dSj +mh =_d.U;b +oR;Qm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR_AQ7RQ)blsHR_q1j +jjSqm=1j_jj +_OS=Qjk_McNj#_jHj_ +mSQ=_q1j +jjS=m k_M4Nj#_jHj_;b +oR;Qm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR_AQ7RQ)blsHR_)Wj +jjS)m=Wj_jj +_OS=Qj)jW_jQj_hSa +Q)m=Wj_jjm +S M=k4#_N_jjj_ H;oQbRmN; b$R#MF_bsD0VNRo#Us; -R:fjjNRlOAERQQ_7)sRbH)lRWj_jjm -S=_)Wj_jjOQ -SjW=)_jjj_aQh -mSQ=_)Wj -jjS=m hn_dU;_H -RobQ -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEAazw]sRbH7lR1d_jjm -S=_71j -djS=Qjk_Mn8j#_dHj_ - Sm=4h_j -U;ombR;b -NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEQRA_)7QRHbsl7Rz1j_jjm -S=1z7_jjj_SO -Qkj=Mz4_7j1_jQj_hSa -Qzm=7j1_jSj -mh =_Udn_ +R:fjjNRlOAERz]waRHbsl1R7_jjd +=Sm7j1_dSj +Qkj=M8n_#d_jj +_HS=m hU_.do; +b;Rm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR_AQ7RQ)blsHR1z7_jjj +=Smz_71j_jjOQ +SjM=k47_z1j_jjh_QaQ +Sm7=z1j_jjm +S M=k4#_N_jjj_ H;oQbRmN; b$R#MF_bsD0VNRo#Us; R:fjjNRlOAERQQ_7)sRbHplR7j1_jSj m7=p1j_jj _OS=Qjk_M4p_71j_jjQ haS=Qmp_71j -jjS=m hn_dU;_H -RobQ -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEA7Q_Qb)RsRHl1 QZr -j9S1m=Q_Z O9rj -jSQ=ckM_x#HCQ -SmQ=1Zj r9m -S _=hd_n(Ho; +jjS=m k_M4Nj#_jHj_;b +oR;Qm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR_AQ7RQ)blsHRZ1Q 9rj +=Sm1 QZ_jOr9Q +SjM=kcH_#xSC +Q1m=QrZ jS9 +mh =__cUHo; bmRQ;b NRM#$_sbF0NVDoU#R;R sfjj:ROlNEQRA_)7QRHbslQR1Z4 r9m S=Z1Q r_O4S9 Qkj=M#d_H xCS=Qm1 QZr -49S=m hn_d(;_H +49S=m hU_c_ +H;oQbRmN; +b$R#MF_bsD0VNRo#Us; +R:fjjNRlOAERQQ_7)sRbHqlR]]Qtr9.c +=Smqt]Q]r_O. +c9S=Qjt +h7S=Qmqt]Q]cr.9m +S _=h.;Ud RobQ m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEA7Q_Qb)RsRHlqt]Q]cr.9m -S=Qq]tO]_r9.c +U;sjRf:ljRNROEA7Q_Qb)RsRHlqt]Q]6r.9m +S=Qq]tO]_r9.6 jSQ=7th -mSQ=Qq]t.]rcS9 -mh =_U4j;b +mSQ=Qq]t.]r6S9 +mh =_d.U;b oR;Qm RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENOR_AQ7RQ)blsHRQq]t.]r6S9 -m]=qQ_t]O6r.9Q +fsRjR:jlENOR_AQ7RQ)blsHRQq]t.]rnS9 +m]=qQ_t]Onr.9Q Sjh=t7Q Sm]=qQrt]. -69S=m hj_4Uo; +n9S=m hU_.do; bmRQ;b NRM#$_sbF0NVDoU#R;R sfjj:ROlNEQRA_)7QRHbsl]RqQrt]. -n9Sqm=]]Qt_.OrnS9 +(9Sqm=]]Qt_.Or(S9 Qtj=hS7 -Qqm=]]Qtr9.n - Sm=4h_j -U;oQbRmN; +Qqm=]]Qtr9.( + Sm=.h_U +d;oQbRmN; b$R#MF_bsD0VNRo#Us; -R:fjjNRlOAERQQ_7)sRbHqlR]]Qtr9.( +R:fjjNRlOAERQQ_7)sRbHqlR]]Qtr9.U =Smqt]Q]r_O. -(9S=Qjt -h7S=Qmqt]Q](r.9m -S _=h4;jU +U9S=Qjt +h7S=Qmqt]Q]Ur.9m +S _=h.;Ud RobQ m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEA7Q_Qb)RsRHlqt]Q]Ur.9m -S=Qq]tO]_r9.U +U;sjRf:ljRNROEA7Q_Qb)RsRHlqt]Q]gr.9m +S=Qq]tO]_r9.g jSQ=7th -mSQ=Qq]t.]rUS9 -mh =_U4j;b +mSQ=Qq]t.]rgS9 +mh =_d.U;b oR;Qm RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENOR_AQ7RQ)blsHRQq]t.]rgS9 -m]=qQ_t]Ogr.9Q +fsRjR:jlENOR_AQ7RQ)blsHRQq]td]rjS9 +m]=qQ_t]Ojrd9Q Sjh=t7Q -Sm]=qQrt]. -g9S=m hj_4Uo; +Sm]=qQrt]d +j9S=m hU_.do; bmRQ;b NRM#$_sbF0NVDoU#R;R sfjj:ROlNEQRA_)7QRHbsl]RqQrt]d -j9Sqm=]]Qt_dOrjS9 +49Sqm=]]Qt_dOr4S9 Qtj=hS7 -Qqm=]]Qtr9dj - Sm=4h_j -U;oQbRmN; +Qqm=]]Qtr9d4 + Sm=.h_U +d;oQbRmN; b$R#MF_bsD0VNRo#Us; -R:fjjNRlOAERQQ_7)sRbHqlR]]Qtr9d4 -=Smqt]Q]r_Od -49S=Qjt -h7S=Qmqt]Q]4rd9m -S _=h4;jU +R:fjjNRlOQERARzwblsHR7q_ 7Bm 9r. +=Smq _7B m7_.Or9Q +Sj_=q7m B7. r9s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm 9rd +=Smq _7B m7_dOr9Q +Sj_=q7m B7d r9s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm 9rc +=Smq _7B m7_cOr9Q +Sj_=q7m B7c r9s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm 9r6 +=Smq _7B m7_6Or9Q +Sj_=q7m B76 r9s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm 9rn +=Smq _7B m7_nOr9Q +Sj_=q7m B7n r9s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm 9r( +=Smq _7B m7_(Or9Q +Sj_=q7m B7( r9s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm 9rU +=Smq _7B m7_UOr9Q +Sj_=q7m B7U r9s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm 9rg +=Smq _7B m7_gOr9Q +Sj_=q7m B7g r9s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm jr49m +S=7q_ 7Bm r_O4 +j9S=Qjq _7B m7r94j;R +sfjj:ROlNEARQzbwRsRHlq _7B m7r944 +=Smq _7B m7_4Or4S9 +Qqj=_B7 mr7 4;49 +fsRjR:jlENORzQAwsRbHqlR_B7 mr7 4 +.9Sqm=_B7 m_7 O.r49Q +Sj_=q7m B74 r. +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B74 rdS9 +m_=q7m B7O _r94d +jSQ=7q_ 7Bm dr49s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm cr49m +S=7q_ 7Bm r_O4 +c9S=Qjq _7B m7r94c;R +sfjj:ROlNEARQzbwRsRHlq _7B m7r946 +=Smq _7B m7_4Or6S9 +Qqj=_B7 mr7 4;69 +fsRjR:jlENORzQAwsRbHqlR_B7 mr7 4 +n9Sqm=_B7 m_7 Onr49Q +Sj_=q7m B74 rn +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B74 r(S9 +m_=q7m B7O _r94( +jSQ=7q_ 7Bm (r49s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm Ur49m +S=7q_ 7Bm r_O4 +U9S=Qjq _7B m7r94U;R +sfjj:ROlNEARQzbwRsRHlq _7B m7r94g +=Smq _7B m7_4OrgS9 +Qqj=_B7 mr7 4;g9 +fsRjR:jlENORzQAwsRbHqlR_B7 mr7 . +j9Sqm=_B7 m_7 Ojr.9Q +Sj_=q7m B7. rj +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7. r4S9 +m_=q7m B7O _r9.4 +jSQ=7q_ 7Bm 4r.9s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm .r.9m +S=7q_ 7Bm r_O. +.9S=Qjq _7B m7r9..;R +sfjj:ROlNEARQzbwRsRHlq _7B m7r9.d +=Smq _7B m7_.OrdS9 +Qqj=_B7 mr7 .;d9 +fsRjR:jlENOR_AQ7RQ)blsHRjqr9m +S=Oq_r +j9S=Qjq7j_vSq +Qqm=r +j9S=m hU_.do; +bmRQ;b +NRM#$_sbF0NVDoU#R;R +sfjj:ROlNEARQzbwRsRHlq9r4 +=Smqr_O4S9 +Qqj=r;49 +fsRjR:jlENORzQAwsRbHMlR _Xu1Buq m +S=XM uu_1q_B OQ +Sj =MX1u_u qB;R +sfjj:ROlNEQRA_)7QRHbsl RA)S) +m =A)O)_ +jSQ=7th +mSQ=)A )m +S M=k.L4_C;ss RobQ m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEQwAzRHbsl_Rq7m B7. r9m -S=7q_ 7Bm r_O.S9 -Qqj=_B7 mr7 . -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7d r9m -S=7q_ 7Bm r_OdS9 -Qqj=_B7 mr7 d -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7c r9m -S=7q_ 7Bm r_OcS9 -Qqj=_B7 mr7 c -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B76 r9m -S=7q_ 7Bm r_O6S9 -Qqj=_B7 mr7 6 -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7n r9m -S=7q_ 7Bm r_OnS9 -Qqj=_B7 mr7 n -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7( r9m -S=7q_ 7Bm r_O(S9 -Qqj=_B7 mr7 ( -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7U r9m -S=7q_ 7Bm r_OUS9 -Qqj=_B7 mr7 U -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7g r9m -S=7q_ 7Bm r_OgS9 -Qqj=_B7 mr7 g -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B74 rjS9 -m_=q7m B7O _r94j -jSQ=7q_ 7Bm jr49s; -R:fjjNRlOQERARzwblsHR7q_ 7Bm 4r49m -S=7q_ 7Bm r_O4 -49S=Qjq _7B m7r944;R -sfjj:ROlNEARQzbwRsRHlq _7B m7r94. -=Smq _7B m7_4Or.S9 -Qqj=_B7 mr7 4;.9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 4 -d9Sqm=_B7 m_7 Odr49Q -Sj_=q7m B74 rd -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B74 rcS9 -m_=q7m B7O _r94c -jSQ=7q_ 7Bm cr49s; -R:fjjNRlOQERARzwblsHR7q_ 7Bm 6r49m -S=7q_ 7Bm r_O4 -69S=Qjq _7B m7r946;R -sfjj:ROlNEARQzbwRsRHlq _7B m7r94n -=Smq _7B m7_4OrnS9 -Qqj=_B7 mr7 4;n9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 4 -(9Sqm=_B7 m_7 O(r49Q -Sj_=q7m B74 r( -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B74 rUS9 -m_=q7m B7O _r94U -jSQ=7q_ 7Bm Ur49s; -R:fjjNRlOQERARzwblsHR7q_ 7Bm gr49m -S=7q_ 7Bm r_O4 -g9S=Qjq _7B m7r94g;R -sfjj:ROlNEARQzbwRsRHlq _7B m7r9.j -=Smq _7B m7_.OrjS9 -Qqj=_B7 mr7 .;j9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 . -49Sqm=_B7 m_7 O4r.9Q -Sj_=q7m B7. r4 -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7. r.S9 -m_=q7m B7O _r9.. -jSQ=7q_ 7Bm .r.9s; -R:fjjNRlOQERARzwblsHR7q_ 7Bm dr.9m -S=7q_ 7Bm r_O. -d9S=Qjq _7B m7r9.d;R -sfjj:ROlNEQRA_)7QRHbslrRqjS9 -m_=qO9rj -jSQ=_qj7 -vqS=Qmq9rj - Sm=4h_j -U;oQbRmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOQERARzwblsHR4qr9m -S=Oq_r -49S=Qjq9r4;R -sfjj:ROlNEARQzbwRsRHlMu X_q1uBS -m =MX1u_u qB_SO -QMj= _Xu1Buq s; -R:fjjNRlOAERQQ_7)sRbHAlR -))SAm= _))OQ -Sjh=t7Q -Sm =A)S) -mk =M_..LsCs;b -oR;Qm -RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENORzQAwsRbHAlRtd_jjm -S=_Atj_djOQ -Sjt=A_jjd;R -sfjj:ROlNEARmzbwRsRHlAjt_jSj -mt=A_jjj -jSQ=_Atj_jjOs; -R:fjjNRlOmERARzwblsHRqAtBji_dSj -mt=Aq_Bij -djS=QjABtqid_jjh_Qas; -R:fjjNRlOQERARzwblsHRqAtBji_jSj -mt=Aq_Bij_jjOQ -Sjt=Aq_Bij;jj -fsRjR:jlENORzQAwsRbHBlRpji_dSj -mp=Bid_jj -_OS=QjB_pij;dj -fsRjR:jlENORzQAwsRbHBlRpji_jSj -mp=Bij_jj -_OS=QjB_pij;jj -fsRjR:jlENORzQAwsRbHBlRpmi_1 -ZQSBm=pmi_1_ZQOQ -Sjp=Bi1_mZ -Q;sjRf:ljRNROEmwAzRHbslpRBiQ_7ez_mam -S=iBp_e7Q_amz -jSQ=iBp_u X_ -O;sjRf:ljRNROEmwAzRHbslpRBiX_ um -S=iBp_u X -jSQ=iBp_u X_ -O;sjRf:ljRNROEmwAzRHbsluRwz1_B -=Smw_uzBS1 -Qkj=M_.4V_bkOH#_;R -sfjj:ROlNEARQzbwRsRHlw_uz11 h m -S=zwu_h1 1O _ -jSQ=zwu_h1 1 - ;sjRf:ljRNROEmwAzRHbsluRQpd_jj9rj -=SmQ_upjrdjjS9 -QQj=ujp_dOj_r;j9 -fsRjR:jlENORzmAwsRbHQlRujp_d4jr9m +U;sjRf:ljRNROEQwAzRHbsltRA_jjd +=SmAjt_dOj_ +jSQ=_Atj;dj +fsRjR:jlENORzmAwsRbHAlRtj_jjm +S=_Atj +jjS=QjAjt_jOj_;R +sfjj:ROlNEARmzbwRsRHlABtqid_jjm +S=qAtBji_dSj +QAj=tiqB_jjd_aQh;R +sfjj:ROlNEARQzbwRsRHlABtqij_jjm +S=qAtBji_jOj_ +jSQ=qAtBji_j +j;sjRf:ljRNROEQwAzRHbslpRBid_jjm +S=iBp_jjd_SO +QBj=pji_d +j;sjRf:ljRNROEQwAzRHbslpRBij_jjm +S=iBp_jjj_SO +QBj=pji_j +j;sjRf:ljRNROEQwAzRHbslpRBi1_mZSQ +mp=Bi1_mZOQ_ +jSQ=iBp_Zm1Qs; +R:fjjNRlOmERARzwblsHRiBp_e7Q_amz +=SmB_pi7_Qem +zaS=QjB_pi _XuOs; +R:fjjNRlOmERARzwblsHRiBp_u X +=SmB_pi +XuS=QjB_pi _XuOs; +R:fjjNRlOmERARzwblsHRzwu_ +B1Swm=uBz_1Q +SjM=k.V4_bOk_#;_H +fsRjR:jlENORzQAwsRbHwlRu1z_ h1 +=Smw_uz11 h +_OS=Qjw_uz11 h s; +R:fjjNRlOmERARzwblsHRpQu_jjdr +j9SQm=ujp_djjr9Q +Sju=Qpd_jjr_Oj +9;sjRf:ljRNROEmwAzRHbsluRQpd_jj9r4 +=SmQ_upjrdj4S9 +QQj=ujp_dOj_r;49 +fsRjR:jlENORzmAwsRbHQlRujp_d.jr9m S=pQu_jjdr -49S=QjQ_upj_djO9r4;R -sfjj:ROlNEARmzbwRsRHlQ_upjrdj.S9 -mu=Qpd_jj9r. -jSQ=pQu_jjd_.Or9s; +.9S=QjQ_upj_djO9r.;R +sfjj:ROlNEARQzbwRsRHlQrupjS9 +mu=Qpr_OjS9 +QQj=ujpr9s; R:fjjNRlOQERARzwblsHRpQur -j9SQm=uOp_r -j9S=QjQrupj -9;sjRf:ljRNROEQwAzRHbsluRQp9r4 -=SmQ_upO9r4 -jSQ=pQur;49 -fsRjR:jlENORzQAwsRbHQlRu.pr9m -S=pQu_.Or9Q -Sju=Qp9r.;R -sfjj:ROlNEzRAwRa]blsHRq71B -i4S7m=1iqB4Q -Sj1=7q4Bi_aQh - Sm=XM uu_1q_B Oo; -b;Rm -RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENORzQAwsRbH7lRaiqB -=Sm7Baqi -_OS=Qj7Baqis; -R:fjjNRlOmERARzwblsHR qeBm -S= qeBQ -SjB=eBs; -R:fjjNRlOmERARzwblsHRS -m -= S=Qjhj_c_ +49SQm=uOp_r +49S=QjQrup4 +9;sjRf:ljRNROEQwAzRHbsluRQp9r. +=SmQ_upO9r. +jSQ=pQur;.9 +fsRjR:jlENORwAzab]RsRHl7B1qiS4 +m1=7q4Bi +jSQ=q71B_i4Q +haS=m Mu X_q1uBO _;b +oR +m;N#bR$bM_FVs0D#NoR +U;sjRf:ljRNROEQwAzRHbslaR7q +BiS7m=aiqB_SO +Q7j=aiqB;R +sfjj:ROlNEARmzbwRsRHlqBe +=SmqBe +jSQ=BeB;R +sfjj:ROlNEARmzbwRsRHl m +S=S +Qhj=_.dj_ H;sjRf:ljRNROEQwAzRHbsluReqm S=qeu_SO Qej=u @@ -1335,7 +1354,7 @@ R:fjjNRlOAERQQ_7)sRbH)lRWm S=_)WOQ SjW=)_jjj_q7v mSQ= -)WS=m h4_4jo; +)WS=m hg_.co; bmRQ;b NRM#$_sbF0NVDoU#R;R sfjj:ROlNEARQzbwRsRHlwjBr9m @@ -1355,1970 +1374,1079 @@ mWSqm=vqQt_1Az_q hA_p p mWS=Qjk_M4NolHNk_L#M_CNCLD_IDF_ H;sjRf:ljRNROEmwAzRHbslvRqQ_tqA_z1 Ahqp] _Q t]Sqm=vqQt_1Az_q hA_p ]]Qt -jSQ=dh_( -j;sjRf:ljRNROEAazw]sRbHBlRQ +jSQ=4h_g +4;sjRf:ljRNROEAazw]sRbHBlRQ QhSBm=Q QhS=QjkjM4_HOHMm -S _=h4;.( +S _=h.;c4 RobmN; b$R#MF_bsD0VNRo#Us; -R:fjjNRlOqERhR7.blsHR4t_.S. -mF=b#D_O H\3bSD -Qbj=FO#_D3 \H_bD4Q -S4_=h._c6Hs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kno_L_jjd_Nj_.__jN4d_ -=Smb_F#O\D 3nkM__Loj_dj4Q -Sj =MX1u_u qB_SO -Qq4=1d_jjj_7;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3nkM__Loj_djj._N_Nj_dm -S=#bF_ OD\M3kno_L_jjd -jSQ=#bF_ OD\M3kno_L_jjd_S4 -QB4=pji_j7j_r;j9 -fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj___Nd4r_44S9 -m_=h._444Q -Sjb=Ok#_C09rj -4SQ=kOb_0C#_4Hr9s; -R:fjjNRlOqERhR7.blsHRkOb_0C#_j.__Nj_dr_44S9 -m_=h. -44S=Qjh4_.4 -_4S=Q4O_bkC_#0H9rd;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jH___Nd49rn -=Smhj_.d -_4S=Qjh6_.jQ -S4v=1_Qqvtnqr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__Hjd_Nr -n9Shm=_d.j -jSQ=.h_j4d_ -4SQ=_1vqtvQqr_H( -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jj__Nj_dr_46S9 +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kno_L_jjd_Nj_.__jNSd +mF=b#D_O k\3MLn_od_jjQ +SjF=b#D_O k\3MLn_od_jj +_4S=Q4B_pij_jj79rj;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__jjd_N_4j_r +49Shm=_j.._S4 +QOj=bCk_#j0r9Q +S4b=Ok#_C0r_H4 +9;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jNjd_r +49Shm=_j.. +jSQ=.h_.4j_ +4SQ=kOb_0C#_dHr9s; +R:fjjNRlOqERhR7.blsHR1) ma_z.a__HH___Ndj +_4Shm=_n.4_S4 +Qhj=_c4d_SH +Qh4=_ddc;R +sfjj:ROlNEhRq7b.RsRHl) 1az_ma__.H__HNjd_ +=Smh4_.nQ +Sj_=h._4n4Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_4__Hjd_N_44_ +=Smhj_.6 +_4S=Qjh4_.c +_jS=Q4)_1a7_pYH9rj;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH4__Nj_d +_4Shm=_6.j +jSQ=.h_j46_ +4SQ=a)1_Y7p_4Hr9s; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C.H__jN4d__Sj m_=h4_gg4Q Sj_=h4 -djS=Q4hd_.ns; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#j__jjd_Nr -69Shm=_g4g -jSQ=4h_g4g_ -4SQ=_1vqtvQq9r6;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH4__Nj_d__44m -S=4h_U46_ -jSQ=.h_cHU_ -4SQ=a)1_Y7p_jHr9s; -R:fjjNRlOqERhR7.blsHRa)1_Y7p__C4H__jN4d_ -=SmhU_46Q -Sj_=h4_U64Q -S41=)ap_7Yr_H4 -9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH___Nd4 -_jShm=_g4(_S4 -Qhj=_g4d +6nS=Q4h4_.c;_j +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hjd_N +=Smhg_4gQ +Sj_=h4_gg4Q +S41=)ap_7Yr_H. +9;sjRf:ljRNROEq.h7RHbsl_Rt4_4j4m +S=#bF_ OD\b3HD +_4S=Qjh4_.. +_HS=Q4h4_.j;_H +fsRjR:jlENOR7qh.sRbHtlR_j44 +=Smb_F#O\D 3DHb +jSQ=#bF_ OD\b3HD +_4S=Q4h4_.4;_H +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_j__Hj +_4Shm=_j.c_4H_ +jSQ=.h_cH(_ 4SQ=.h_cHU_;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__Nj_dm -S=4h_(Sg -Qhj=_g4(_S4 -Q)4=17a_pHY_r;.9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__jj__jN4d__j4r9m -S=4h_(4(_ -jSQ=4h_6S. -Qh4=_d.c;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_jH__jj___Nd49rj -=Smh(_4(Q -Sj_=h4_((4Q -S4v=1_Qqvtdqr9s; -R:fjjNRlOqERhR7.blsHR4t_.4._ -=Smb_F#O\D 3DHb_S4 +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCHj__Sj +m_=h._cjHQ +Sj_=h._cjH +_4S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRiBp_jjd_.]__jH__S4 +m_=hnHj__S4 Qhj=_n.c_SH -Qh4=_c.c_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jj__Nj_d__..9rj -=Smh(_4U -_.S=Qjhj_.c -_4S=Q4hc_.ds; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__Hj__jjd_N_d._r -j9Shm=_U4(_Sd -Qhj=_U4(_S4 -Qh4=_U4(_ -.;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jj__Nj_dr_.jS9 -m_=h4 -(US=Qjh(_4U -_dS=Q41qv_vqQt_dHr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__Hjd_N_4j_r -n9Shm=_c.j_j4_ -jSQ=.h_j4c_ -4SQ=.h_c -d;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH__Nj_dr_jnS9 -m_=h. -jcS=Qjhj_.c__4jQ -S4v=1_QqvtHq__(Hr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__4H -_4Shm=_64._4H_ +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlB_pij_dj]__.H +_jShm=__njHQ +Sj_=hnHj__S4 +Qb4=FO#_D3 \k4M._NLoOj _dHj_MH0__Hj_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__H4__4j_ +=Smhc_n_4H_ jSQ=_q1j_jjHQ -S4_=hnH(_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__H4_ -=Smh._46 -_HS=Qjh._46__H4Q -S4_=h4_nUHs; +S4_=h4_jjHs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__4H +_jShm=__ncHQ +Sj_=hnHc__S4 +Qh4=_.4g_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__4j_r +j9Shm=_646_4H_ +jSQ=.h_.H(_ +4SQ=.h_.HU_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj_r +j9Shm=_646_SH +Qhj=_646_4H_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__4j_r +c9Shm=_(4c_4H_ +jSQ=4h_dSU +Qh4=_6.._ +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__cjr9m +S=4h_cH(_ +jSQ=4h_cH(__S4 +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__d4r9m +S=4h_cH6__S4 +Qhj=_.4( +4SQ=.h_.Hc_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj_r +d9Shm=_64c_SH +Qhj=_64c_4H_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__4j_r +j9Shm=_g4d_4H_ +jSQ=4h_dSU +Qh4=_d.._ +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__jjr9m +S=4h_dHg_ +jSQ=4h_dHg__S4 +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3nkM__Loj_djj._N_Nj_d +_4Sbm=FO#_D3 \k_MnLjo_d4j_ +jSQ=XM uu_1q_B OQ +S41=q_jjd_;7j +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjbjC__Nj_dm +S=dh_4Sc +Qhj=_cd4_S4 +Qh4=_cd4_ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MOg_Dj _jbj_C__jjd_N_4j_ +=Smh4_dU +_4S=Qjhd_4c +_HS=Q4hc_dUs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_b_jj___Ndj +_.Shm=_Ud4_S. +Qej=u7q__SH +QO4=bCk_#H0_r;d9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjbjC__Nj_d +_jShm=_Ud4 +jSQ=dh_44U_ +4SQ=dh_4.U_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj___N.49rd +=Smhc_d4 +_4S=Qjh6_4c +_jS=Q4hj_d6;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jN.._r +d9Shm=_4dc_S. +Qej=vQq_hHa_ +4SQ=qeu_H7_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__rN.dS9 +m_=hd +c4S=Qjhc_d4 +_4S=Q4hc_d4;_. +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__j49rn +=Smh6_44__H4Q +Sj_=h4 +n(S=Q4hU_.c;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_jnS9 +m_=h4_64HQ +Sj_=h4_64H +_4S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjr_4.S9 +m_=h4_cdH +_4S=Qjhd_4UQ +S4_=hd_dcHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj9r. +=Smhc_4d +_HS=Qjhc_4d__H4Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__j49r4 +=Smhc_44__H4Q +Sj_=h4_nnHQ +S4_=h._(UHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj9r4 +=Smhc_44 +_HS=Qjhc_44__H4Q +S4_=h._(gHs; R:fjjNRlOqERhR7.blsHR_71j_jj7_vq.J_#lNkG_jH__S4 -m_=h._(nj -_4S=Qjh._.( +m_=h._d(j +_4S=Qjhd_d. _HS=Q4)jW_jHj_;R sfjj:ROlNEhRq7b.RsRHl7j1_j7j_v.q__l#Jk_GNH -_jShm=_n.(_Sj -Qhj=_n.(_4j_ +_jShm=_(.d_Sj +Qhj=_(.d_4j_ 4SQ=#bF_ OD\M3k.L4_o NO_jjd_0HM_jH__ -j;sjRf:ljRNROEq.h7RHbslFRb#D_O )\3Wj_jjh_Qa__6j__j4m -S=#bF_ OD\W3)_jjj_aQh_j6__S4 -Q1j=vv_qQ_tqH9r( -4SQ=.h_.Hn_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3_)Wj_jjQ_ha6__jjm -S=#bF_ OD\W3)_jjj_aQh_j6_ -jSQ=#bF_ OD\W3)_jjj_aQh_j6__S4 -Q)4=W__OHs; -R:fjjNRlOqERhR7.blsHRiBp_jjd_.]__jH__S4 -m_=h._((H -_4S=Qjh._.. -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRiBp_jjd_.]__jH_ -=Smh(_.( -_HS=Qjh(_.(__H4Q -S4F=b#D_O k\3M_.4LOoN d_jjM_H0__Hj;_j -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_j__Hj -_4Shm=_ndj_4H_ -jSQ=4h_UHg_ -4SQ=4h_gHj_;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCHj__Sj -m_=hd_jnHQ -Sj_=hd_jnH -_4S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_. -_4Shm=_.4. -jSQ=4h_.c._ -4SQ=4h_.d._;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH__HN4d_ -=Smh4_.U -_4S=Qjh._4. -_HS=Q4hc_.(s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_HH___Nd.m -S=.h_4.U_ -jSQ=_1vqtvQq__HH9r( -4SQ=XM uu_1q_B Os; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_HH__ -NdShm=_U.4 -jSQ=.h_44U_ -4SQ=.h_4.U_;R +H;sjRf:ljRNROEq.h7RHbslMRk.L4_C_ssj._N_Nj_.m +S=dh_6S( +Qhj=_(d6_Sc +Qh4=_(d6_ +d;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__Fj_.__j49rj +=Smhj_dc__H4Q +Sjp=Bij_jj__7H9r4 +4SQ=_q1j_djj_jj1BYh_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__Fj_.r_jjS9 +m_=hd_jcHQ +Sj_=hd_jcH +_4S=Q4B_pij_jj79r.;R sfjj:ROlNEhRq7b.RsRHlk4M._kVb__O#j._N_Nj_d _4Skm=M_.4V_bkO4#_ -jSQ=_q1j_djHQ -S4u=wz _1h_1 Hs; -R:fjjNRlOqERhR7.blsHR.kM4b_Vk#_O_Nj_.__jNSd -mM=k.V4_bOk_#Q -SjM=k.V4_bOk_# -_4S=Q4k.M._sLCs;_4 -fsRjR:jlENOR7qh.sRbHklRM_..LsCs_Nj_.__jN4d__Sj -mM=k.L._C_ss4 -_jS=Qjk.M._sLCs -_4S=Q4qj1_dHj_;R -sfjj:ROlNEhRq7b.RsRHlk.M._sLCs__jNj.__ -NdSkm=M_..LsCs -jSQ=.kM.C_Ls4s__Sj -Qw4=u1z_ h1_ -O;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH__S4 -m_=hd_j6H -_4S=QjhU_4d -_HS=Q4hU_4c;_H -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_4__Hj -_.Shm=_6dj_.H_ -jSQ=4h_UH6_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH_ -=Smhj_d6 -_HS=Qjhj_d6__H4Q -S4_=hd_j6H;_. -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hj -_4Shm=_cdj_4H_ -jSQ=4h_(Hg_ -4SQ=4h_UHj_;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__.j_ -=Smhj_dc__H.Q -Sj_=h4_U4HQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hjm -S=dh_jHc_ -jSQ=dh_jHc__S4 -Qh4=_cdj_.H_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_jH__jj___Nd.__4j9rj -=Smh(_4U -_4S=Qjh6_4c -_HS=Q4h6_46;_H +jSQ=.kM4C_Ls4s_ +4SQ=zwu_h1 1H _;R +sfjj:ROlNEhRq7b.RsRHlk4M._kVb__O#j._N_Nj_dm +S=.kM4b_Vk#_O +jSQ=.kM4b_Vk#_O_S4 +Qh4=_(d6;R +sfjj:ROlNEhRq7b.RsRHlk4M._sLCs__jNj.___Nd4 +_jSkm=M_.4LsCs_j4_ +jSQ=.kM4C_Ls4s_ +4SQ=zwu_h1 1O _;R +sfjj:ROlNEhRq7b.RsRHlk4M._sLCs__jNj.__ +NdSkm=M_.4LsCs +jSQ=.kM4C_Ls4s__Sj +Qh4=_(d6;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)__jj___Ndj +_4Shm=_n.n_S4 +Qqj=1j_jj +_HS=Q4ABtqid_jjh_Qa;_H fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jj__jNjd__S. -m_=h._j..Q +m_=h._nn.Q SjW=)_jjj_SO QM4= _Xu1Buq ;_H fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jj__jNjd_ -=Smhj_..Q -Sj_=h._j.4Q -S4_=h._j..s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_b_jj___Nd4m -S=.h_j4U_ -jSQ=4h_dH4_ -4SQ=4h_cH._;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__bCj__jN.d_ -=Smhj_.U -_.S=QjO_bkC_#0H9r4 -4SQ=kOb_0C#_dHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_b_jj__ -NdShm=_U.j -jSQ=.h_j4U_ -4SQ=.h_j.U_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__bCj__jNjd__S4 -m_=h._jg4Q -Sj_=h4_djHQ -S4_=h.;6U -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjbjC__Nj_d__j.m -S=.h_j.g_ -jSQ=qeu_H7_ -4SQ=kOb_0C#_dHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_b_jj___Ndjm -S=.h_jSg -Qhj=_g.j_S4 -Qh4=_g.j_ -.;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jj__Nj_.__c49rj -=Smhg_d. -_4S=Qjhd_4U -_jS=Q4hc_4.;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__jj__jNc.__j.r9m -S=dh_g.._ -jSQ=qev_aQh_SH -Qe4=u7q__ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jj__Nj_.r_cjS9 -m_=hd -g.S=Qjhg_d. -_4S=Q4hg_d.;_. -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj___N.4 -_4Shm=_.4._S4 -Qwj=Br_OjS9 -Qw4=Br_O4 -9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HN4.__S. -m_=h4_...Q -Sj_=q7m B7O _r94( -4SQ=7q_ 7Bm r_H4;n9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj___N.4 -_dShm=_.4._Sd -Qqj=_B7 m_7 HUr49Q -S4_=q7m B7H _r94g;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH._N_c4_ -=Smh._4. -_cS=Qjh._4. -_4S=Q4h._4.;_. -fsRjR:jlENOR7qh.sRbHklRM_4jOMHH_Nj_d -_6Skm=M_4jOMHH_S6 -Qqj=_B7 m_7 Odr.9Q -S41=q_jjd__7jHs; -R:fjjNRlOqERhR7.blsHR4kMjH_OHjM___Ndnm -S=4kMjH_OHnM_ -jSQ=7q_ 7Bm r_O. -j9S=Q4q _7B m7_.Or4 -9;sjRf:ljRNROEq.h7RHbslMRk4Oj_H_HMjd_N_S( -mM=k4Oj_H_HM(Q -SjM=k4Oj_H_HM4Q -S4M=k4Oj_H_HM.s; -R:fjjNRlOqERhR7.blsHR4kMjH_OHjM___NdUm -S=4kMjH_OHUM_ -jSQ=4kMjH_OHdM_ -4SQ=4kMjH_OHcM_;R -sfjj:ROlNEhRq7b.RsRHlkjM4_HOHM__jNgd_ -=SmkjM4_HOHM -_gS=QjkjM4_HOHM -_6S=Q4kjM4_HOHM;_n -fsRjR:jlENOR7qh.sRbHklRM_4jOMHH_Nj_dj_4 -=SmkjM4_HOHMj_4 -jSQ=4kMjH_OH(M_ -4SQ=4kMjH_OHUM_;R -sfjj:ROlNEhRq7b.RsRHlkjM4_HOHM__jN4d_4m -S=4kMjH_OH4M_4Q -SjM=k4Oj_H_HMgQ -S4_=q7m B7O _r9..;R -sfjj:ROlNEhRq7b.RsRHlkjM4_HOHM__jNSd -mM=k4Oj_H -HMS=QjkjM4_HOHMj_4 -4SQ=4kMjH_OH4M_4s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.L4_o NO_jjd_0HM_jH___F..d_F_S4 -mF=b#D_O k\3M_.4LOoN d_jjM_H0__Hj__j4Q +=Smhn_.nQ +Sj_=h._nn4Q +S4_=h._nn.s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__jH__j4m +S=nh_(__H4Q Sj1=q_jjj_SH -QA4=tiqB_jjd_aQh_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.4LOoN d_jjM_H0__Hj._F_F._d -_.Sbm=FO#_D3 \k4M._NLoOj _dHj_MH0__jj__S. -Qhj=_.4d_SH -Qh4=_(.d_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.4LOoN d_jjM_H0__Hj._F_F._dm -S=#bF_ OD\M3k.L4_o NO_jjd_0HM_jH__Sj -Qbj=FO#_D3 \k4M._NLoOj _dHj_MH0__jj__S4 -Qb4=FO#_D3 \k4M._NLoOj _dHj_MH0__jj__ -.;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_j6__jH__S4 -m_=hd_j(H -_4S=Qjqj1_jHj_ -4SQ=nh_(;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \BpYB v_7q__6j__Hj -_.Shm=_(dj_.H_ -jSQ=4h_jHn_ -4SQ=4h_UHn_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__Hj__Sj -m_=hd_j(HQ -Sj_=hd_j(H -_4S=Q4hj_d(__H.s; -R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)j__jjd_N_4j_ -=Smhj_.. -_4S=Qjqj1_jHj_ -4SQ=qAtBji_dQj_hHa_;R -sfjj:ROlNEhRQesRbH7lR1j_jjv_7q__4Hm -S=6h_jQ -Sj_=h6jj_;R -sfjj:ROlNEhRQesRbHhlR_HU_ -=Smh__UHQ -Sj_=hUs; -R:fjjNRlOQERhbeRsRHlqj1_j7j_v4q__SH -m_=hcSn -Qhj=__cnjs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k4#j_ll_NH_oN4m -S=#bF_ OD\M3k4#j_ll_NH_oNH -_4S=Qj1 QZ_jOr9Q -S4_=qOr_Hj -9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_4j#Nl_lNHo -=Smb_F#O\D 34kMjl_#_HNloHN_ -jSQ=#bF_ OD\M3k4#j_ll_NH_oNH -_4S=Q41 QZ_HO_r;49 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__jj__j49rj -=Sm1qv_vqQt_#M#_jH__j4r9Q -Sj_=h4_(6HQ -S4_=h4_(nHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__Hj__jjr_.jS9 -mv=1_QqvtMq_#H#__.j_r -j9S=Qjh(_4( -_HS=Q41qv_vqQt_#M#_(jr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__Hj__jjr_djS9 -mv=1_QqvtMq_#H#__dj_r -j9S=Qjh(_4U -_HS=Q4hd_.g;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__jj__jc9rj -=Sm1qv_vqQt_#M#_jH__jcr9Q -Sjv=1_QqvtMq_#H#__4j_r -j9S=Q41qv_vqQt_#M#_jH__j.r9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__Hj__jjr_6jS9 -mv=1_QqvtMq_#H#__6j_r -j9S=Qj1qv_vqQt_#M#_jH__jdr9Q -S4_=h._6.Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__Hj__jj9rj -=Sm1qv_vqQt_#M#_jH_r -j9S=Qj1qv_vqQt_#M#_jH__jcr9Q -S4v=1_QqvtMq_#H#__6j_r;j9 -fsRjR:jlENOR7qh.sRbHklRM_4jOMHH_Nj_d -_4Skm=M_4jOMHH_S4 -Qqj=]]Qt_.HrcS9 -Qq4=]]Qt_.Hr6 -9;sjRf:ljRNROEq.h7RHbslMRk4Oj_H_HMjd_N_S. -mM=k4Oj_H_HM.Q -Sj]=qQ_t]Hnr.9Q -S4]=qQ_t]H(r.9s; -R:fjjNRlOqERhR7.blsHR4kMjH_OHjM___Nddm -S=4kMjH_OHdM_ -jSQ=Qq]tH]_r9.U -4SQ=Qq]tH]_r9.g;R -sfjj:ROlNEhRq7b.RsRHlkjM4_HOHM__jNcd_ +Qh4=_j4j_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_j6__jH__S. +m_=hnH(__S. +Qhj=_(.j_SH +Qh4=_U.j_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_j6__jH_ +=Smh(_n_SH +Qhj=__n(H +_4S=Q4h(_n_.H_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__bCj__jN4d_ +=Smh4_dc +_4S=Qjhd_4U +_HS=Q4hj_d6;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjbjC__Nj_d +_.Shm=_cd4_S. +QOj=bCk_#H0_r +j9S=Q4O_bkC_#0H9rd;R +sfjj:ROlNEhRq7b.RsRHlkdM4_HOHM__Hj__jN.d_ =SmkjM4_HOHM -_cS=Qjqt]Q]r_Hd -j9S=Q4qt]Q]r_Hd;49 -fsRjR:jlENOReQhRHbsl_Rh.Hg_ -=Smhg_._SH -Qhj=_;.g -fsRjR:jlENOReQhRHbsluRQpd_jj__4H9r. -=Smhd_d -jSQ=dh_d;_j -fsRjR:jlENOReQhRHbsl_Rh.HU_ -=SmhU_._SH -Qhj=_;.U -fsRjR:jlENOReQhRHbsluRQpd_jj__4H9r4 -=Smh._d -jSQ=dh_.;_j -fsRjR:jlENOReQhRHbsl_Rh.H(_ -=Smh(_._SH -Qhj=_;.( -fsRjR:jlENOReQhRHbsluRQpd_jj__4H9rj -=Smh4_d -jSQ=dh_4;_j -fsRjR:jlENOReQhRHbsluRQp__OH9r. -=SmQ_upOr_H.S9 -QQj=uOp_r;.9 -fsRjR:jlENOReQhRHbsluRQpj_7_Hj_r -.9Shm=_ -6cS=Qjhc_6_ -j;sjRf:ljRNROEQRheblsHRpQu_HO_r -49SQm=uOp__4Hr9Q -Sju=Qpr_O4 -9;sjRf:ljRNROEQRheblsHRpQu__7jjr_H4S9 -m_=h6Sd -Qhj=__6djs; -R:fjjNRlOQERhbeRsRHlQ_upOr_HjS9 -mu=Qp__OH9rj -jSQ=pQu_jOr9s; -R:fjjNRlOQERhbeRsRHlQ_up7jj__jHr9m -S=6h_.Q -Sj_=h6j._;R -sfjj:ROlNEhRQesRbH7lRaiqB_HO_ -=Sm7Baqi__OHQ -Sja=7q_BiOs; -R:fjjNRlOQERhbeRsRHl7Baqij_7_Hj_ -=Smhn_6 -jSQ=6h_n;_j -fsRjR:jlENOReQhRHbsl_Rhd -_HShm=_Hd_ -jSQ=dh_;R -sfjj:ROlNEhRQesRbHhlR_6.._SH -m_=h._.6HQ -Sj_=h.;.6 -fsRjR:jlENOReQhRHbslFRb#D_O 7\31j_jjv_7q__cVjj__Hj_ -=Smb_F#O\D 3_71j_jj7_vqcQ -SjF=b#D_O 7\31j_jjv_7q__cjs; -R:fjjNRlOQERhbeRsRHlh._.c -_HShm=_c.._SH -Qhj=_c..;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \1 QZ_q7v_jn__jj__4Hr9m -S=#bF_ OD\Q31Z7 _vnq_r -49S=Qjb_F#O\D 3Z1Q v_7q__nj9r4;R -sfjj:ROlNEhRQesRbHhlR_d.._SH -m_=h._.dHQ -Sj_=h.;.d -fsRjR:jlENOReQhRHbslFRb#D_O 1\3Q_Z 7_vqn__jj__jH9rj -=Smb_F#O\D 3Z1Q v_7qr_njS9 -Qbj=FO#_D3 \1 QZ_q7v_jn_r;j9 -fsRjR:jlENOReQhRHbsl_Rh._..Hm -S=.h_.H._ -jSQ=.h_. -.;sjRf:ljRNROEQRheblsHR.h_jH4_ -=Smhj_.4 -_HS=Qjhj_.4s; -R:fjjNRlOQERhbeRsRHlhj_.. -_HShm=_..j_SH -Qhj=_..j;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_a7qqQ_7)__jj__jHm -S=QqvtAq_z71_q_aq7_Q)OQ -Sjv=qQ_tqA_z17qqa_)7Q_jO_;R -sfjj:ROlNEhRQesRbHhlR_g4g_SH -m_=h4_ggHQ -Sj_=h4;gg -fsRjR:jlENOReQhRHbsl_Rh._jjHm -S=.h_jHj_ -jSQ=.h_j -j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#j__jjr_H6S9 -mv=1_QqvtMq_#.#r9Q -Sjv=1_QqvtMq_#j#_r;.9 -fsRjR:jlENOReQhRHbsl_Rh4_UgHm -S=4h_UHg_ -jSQ=4h_U -g;sjRf:ljRNROEQRheblsHR4h_gHj_ -=Smhg_4j -_HS=Qjhg_4js; -R:fjjNRlOQERhbeRsRHlB_pij_djO -_HSBm=pji_dOj__SH -QBj=pji_dOj_;R -sfjj:ROlNEhRQesRbHBlRpji_d]j__H.__Fj_. -_HShm=_c4n -jSQ=4h_njc_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \BpYB v_7q__6j__Hjd_F_SH -m_=hnS( -Qhj=__n(Hs; -R:fjjNRlOQERhbeRsRHlp_71j_jjO -_HSpm=7j1_jOj__SH -Qpj=7j1_jOj_;R -sfjj:ROlNEhRQesRbHzlR7j1_jOj__SH -m7=z1j_jj__OHQ -Sj7=z1j_jj;_O -fsRjR:jlENOReQhRHbslFRb#D_O 1\3Q_Z 7_vqn__jj__jFH._r -j9Shm=_n46 -jSQ=4h_6Hn_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \k4M._NLoOj _dHj_MH0__Fj_.__.FHd_ -=Smb_F#O\D 3.kM4o_LN_O j_djH_M0H -_jS=Qjb_F#O\D 3.kM4o_LN_O j_djH_M0H__jjs; -R:fjjNRlOQERhbeRsRHlhd_.( -_HShm=_(.d_SH -Qhj=_(.d;R -sfjj:ROlNEhRQesRbHhlR_jd4_jH___F.Hm -S=4h_dS4 -Qhj=_44d_ -H;sjRf:ljRNROEQRheblsHR_q1j_jj7_vq4J_#lNkG_jH__SH +_.S=Qjqt]Q]r_H. +n9S=Q4qt]Q]r_H.;(9 +fsRjR:jlENOR7qh.sRbHklRM_4dOMHH_jH__Nj_d +_dSkm=M_4jOMHH_Sd +Qqj=]]Qt_.HrUS9 +Qq4=]]Qt_.Hrg +9;sjRf:ljRNROEq.h7RHbslMRk4Od_H_HMH__jjd_N_Sc +mM=k4Oj_H_HMcQ +Sj]=qQ_t]Hjrd9Q +S4]=qQ_t]H4rd9s; +R:fjjNRlOqERhR7.blsHR4kMdH_OHHM__jj___Nd6m +S=4kMjH_OH6M_ +jSQ=7q_ 7Bm r_O. +d9S=Q4qj1_d7j_j;_H +fsRjR:jlENOR7qh.sRbHklRM_4dOMHH_jH__Nj_d +_nSkm=M_4jOMHH_Sn +Qqj=_B7 m_7 Ojr.9Q +S4_=q7m B7O _r9.4;R +sfjj:ROlNEhRq7b.RsRHlkdM4_HOHM__Hj__jN(d_ +=SmkjM4_HOHM +_(S=QjkjM4_HOHM +_4S=Q4kjM4_HOHM;_. +fsRjR:jlENOR7qh.sRbHklRM_4dOMHH_jH__Nj_d +_USkm=M_4jOMHH_SU +Qkj=M_4jOMHH_Sd +Qk4=M_4jOMHH_ +c;sjRf:ljRNROEq.h7RHbslMRk4Od_H_HMH__jjd_N_Sg +mM=k4Oj_H_HMgQ +SjM=k4Oj_H_HM6Q +S4M=k4Oj_H_HMns; +R:fjjNRlOqERhR7.blsHR4kMdH_OHHM__jj___Nd4Sj +mM=k4Oj_H_HM4Sj +Qkj=M_4jOMHH_S( +Qk4=M_4jOMHH_ +U;sjRf:ljRNROEq.h7RHbslMRk4Od_H_HMH__jjd_N_ +44Skm=M_4jOMHH_ +44S=QjkjM4_HOHM +_gS=Q4q _7B m7_.Or. +9;sjRf:ljRNROEq.h7RHbslMRk4Od_H_HMH__jjd_N +=SmkjM4_HOHMQ +SjM=k4Oj_H_HM4Sj +Qk4=M_4jOMHH_;44 +fsRjR:jlENOR7qh.sRbHklRM_.4LsCs_Nj_.__jN4._ +=Smh6_d( +_4S=QjwOB_r +j9S=Q4wOB_r;49 +fsRjR:jlENOR7qh.sRbHklRM_.4LsCs_Nj_.__jN.._ +=Smh6_d( +_.S=Qjq _7B m7_4Or(S9 +Qq4=_B7 m_7 Hnr49s; +R:fjjNRlOqERhR7.blsHR.kM4C_Lsjs___N.j._N_Sd +m_=hd_6(dQ +Sj_=q7m B7H _r94U +4SQ=7q_ 7Bm r_H4;g9 +fsRjR:jlENOR7qh.sRbHklRM_.4LsCs_Nj_.__jNc._ +=Smh6_d( +_cS=Qjh6_d( +_4S=Q4h6_d(;_. +fsRjR:jlENOReQhRHbslWR)_jjj_aQh_H4_ +=Smhc_c +jSQ=ch_c;_j +fsRjR:jlENOReQhRHbsl_Rh.H._ +=Smh._._SH +Qhj=_;.. +fsRjR:jlENOReQhRHbsljRq_q7v_H4_ +=SmhU_d +jSQ=dh_U;_j +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k4M._NLoOj _dHj_MH0__Fj_.__.F4d_ +=Smb_F#O\D 3.kM4o_LN_O j_djH_M0H__jH +_4S=Qjqj1_jHj_ +4SQ=4h_dH(_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM4o_LN_O j_djH_M0H__jF..___Fd.m +S=#bF_ OD\M3k.L4_o NO_jjd_0HM_jH__.H_ +jSQ=qAtBji_dQj_hHa_ +4SQ=.h_gH(_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM4o_LN_O j_djH_M0H__jF..__ +FdSbm=FO#_D3 \k4M._NLoOj _dHj_MH0__Hj_ +jSQ=#bF_ OD\M3k.L4_o NO_jjd_0HM_jH__4H_ +4SQ=#bF_ OD\M3k.L4_o NO_jjd_0HM_jH__.H_;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__4j_ +=Smhd_.U__H4Q +Sj_=h4_ggHQ +S4_=h._jjHs; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C.H__j.m +S=.h_dHU__S. +Qhj=_4.j_SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__Sj +m_=h._dUHQ +Sj_=h._dUH +_4S=Q4hd_.U__H.s; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C4H__j4m +S=.h_dHg__S4 +Qhj=_d.j_SH +Qh4=_c.j_ +H;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH__S. +m_=h._dgH +_.S=Qjhj_.6 +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C4H +_jShm=_g.d_SH +Qhj=_g.d_4H_ +4SQ=.h_dHg__ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_4j#Nl_lNHo_S4 +mF=b#D_O k\3M_4j#Nl_lNHo_4H_ +jSQ=Z1Q r_OjS9 +Qq4=_HO_r;j9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kjM4__#lNolHNm +S=#bF_ OD\M3k4#j_ll_NH_oNHQ +SjF=b#D_O k\3M_4j#Nl_lNHo_4H_ +4SQ=Z1Q __OH9r4;R +sfjj:ROlNEhRq7b.RsRHlkdM4_HOHM__Hj__jN4d_ +=SmkjM4_HOHM +_4S=Qjqt]Q]r_H. +c9S=Q4qt]Q]r_H.;69 +fsRjR:jlENOReQhRHbsl1R7_jjj_q hA_p 4J_#lNkG_jH__SH m_=h. -(6S=Qjh(_.6;_j +c.S=Qjhc_..;_j fsRjR:jlENOReQhRHbsl_Rh._.(Hm S=.h_.H(_ jSQ=.h_. -(;sjRf:ljRNROEQRheblsHR_71j_jj7_vq.J_#lNkG_jH__SH -m_=h. -(nS=Qjh(_.n;_j -fsRjR:jlENOReQhRHbsl_Rh._.nHm -S=.h_.Hn_ -jSQ=.h_. -n;sjRf:ljRNROEQRheblsHR_)WO -_HS)m=W__OHQ -SjW=)_ -O;sjRf:ljRNROEQRheblsHR#bF_ OD\W3)_jjj_aQh_j6__Hj_ -=Smb_F#O\D 3_)Wj_jjQ_ha6Q -SjF=b#D_O )\3Wj_jjh_Qa__6js; -R:fjjNRlOQERhbeRsRHlO_bkC_#0.__jj__jH9r4 -=SmO_bkC_#0.9r4 -jSQ=kOb_0C#_j._r;49 -fsRjR:jlENOReQhRHbsl_Rh._6UHm -S=.h_6HU_ -jSQ=.h_6 -U;sjRf:ljRNROEQRheblsHR.h_4H._ -=Smh4_.. -_HS=Qjh4_..s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0.__jj__jH9r. -=SmO_bkC_#0.9r. -jSQ=kOb_0C#_j._r;.9 -fsRjR:jlENOReQhRHbsl_Rh._4nHm -S=.h_4Hn_ -jSQ=.h_4 -n;sjRf:ljRNROEQRheblsHR.h_4H6_ -=Smh4_.6 -_HS=Qjh4_.6s; -R:fjjNRlOQERhbeRsRHlk_M6C__jH._F_SH -m_=h4 -dUS=Qjhd_4U;_j -fsRjR:jlENOReQhRHbslbROk#_C0__.j__jj._F_.Hr9m -S=4h_cSd -Qhj=_d4c_ -H;sjRf:ljRNROEQRheblsHRqev_aQh_SH -mv=eqh_Qa -_HS=Qje_vqQ;ha -fsRjR:jlENOReQhRHbsl_Rhd_g.Hm -S=dh_gH._ -jSQ=dh_g -.;sjRf:ljRNROEQRheblsHRdh_gHd_ -=Smhg_dd -_HS=Qjhg_dds; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_#M#_jH__jj___F..r_HjS9 -m_=h4 -6.S=Qjh6_4.;_H -fsRjR:jlENOReQhRHbslMRk6__Cj__HFj.__SH -m_=h4 -n4S=Qjhn_44;_j -fsRjR:jlENOReQhRHbsl_Rh4_jnHm -S=4h_jHn_ -jSQ=4h_j -n;sjRf:ljRNROEQRheblsHR4h_UHn_ -=SmhU_4n -_HS=QjhU_4ns; -R:fjjNRlOQERhbeRsRHlq__OH9rj -=Smq__OH9rj -jSQ=Oq_r;j9 -fsRjR:jlENOReQhRHbslQR1ZO __4Hr9m -S=Z1Q __OH9r4 -jSQ=Z1Q r_O4 -9;sjRf:ljRNROEQRheblsHR.h_6Hg_ -=Smh6_.g -_HS=Qjh6_.gs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3nkM_NLoOj _jjj__Hj_ -=Smb_F#O\D 3nkM_NLoOj _jSj -Qbj=FO#_D3 \k_MnLOoN j_jj;_j -fsRjR:jlENOReQhRHbslMRk4Nc_lNHo_#Lk_08NNH_8s__Hj__jHm -S=.h_US. -Qhj=_..U_ -j;sjRf:ljRNROEQRheblsHR.h_4 -_HShm=__.4HQ -Sj_=h. -4;sjRf:ljRNROEQRheblsHRqev_aQh_H4_ -=Smhg_d -jSQ=dh_g;_j -fsRjR:jlENOReQhRHbsl_Rh4_UUHm -S=4h_UHU_ -jSQ=4h_U -U;sjRf:ljRNROEQRheblsHR4h_UH(_ -=SmhU_4( -_HS=QjhU_4(s; -R:fjjNRlOQERhbeRsRHl) 1az_ma__.j__jHm -S=6h_UQ -Sj_=h6jU_;R -sfjj:ROlNEhRQesRbHhlR_g.j_SH -m_=h._jgHQ -Sj_=h.;jg -fsRjR:jlENOReQhRHbsl_Rh._jUHm -S=.h_jHU_ -jSQ=.h_j -U;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kgD_O j_jjC_b_jj__SH -mF=b#D_O k\3MOg_Dj _jbj_CQ -SjF=b#D_O k\3MOg_Dj _jbj_C;_j -fsRjR:jlENOReQhRHbsl_Rh._4jHm -S=.h_4Hj_ -jSQ=.h_4 -j;sjRf:ljRNROEQRheblsHR.h_4H4_ -=Smh4_.4 -_HS=Qjh4_.4s; -R:fjjNRlOQERhbeRsRHlk_M4z_71j_jjQ_haHm -S=4kM_1z7_jjj_aQh -jSQ=4kM_1z7_jjj_aQh_ -j;sjRf:ljRNROEQRheblsHR.h_6 -_HShm=__.6HQ -Sj_=h. -6;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qQ_]t4]__SH -m_=hdS6 -Qhj=__d6js; -R:fjjNRlOQERhbeRsRHlhc_._SH -m_=h.Hc_ -jSQ=.h_cs; -R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_H4_ -=Smhn_d -jSQ=dh_n;_j -fsRjR:jlENOReQhRHbsl_Rh.Hd_ -=Smhd_._SH -Qhj=_;.d -fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa__4Hm -S=dh_(Q -Sj_=hdj(_;R -sfjj:ROlNEhRQesRbHhlR__..Hm -S=.h_. -_HS=Qjh._.;R -sfjj:ROlNEhRQesRbHqlRjv_7q__4Hm -S=dh_UQ -Sj_=hdjU_;R -sfjj:ROlNEhRQesRbHhlR__4gHm -S=4h_g -_HS=Qjhg_4;R -sfjj:ROlNEhRQesRbH)lRWj_jjv_7q__.Hm -S=ch_4Q -Sj_=hcj4_;R -sfjj:ROlNEhRQesRbHhlR__4(Hm -S=4h_( -_HS=Qjh(_4;R -sfjj:ROlNEhRQesRbHplR7j1_jQj_h4a__SH -m_=hcSd -Qhj=__cdjs; -R:fjjNRlOQERhbeRsRHlhj_4_SH -m_=h4Hj_ -jSQ=4h_js; -R:fjjNRlOQERhbeRsRHlABtqid_jjh_Qa__4Hm -S=ch_cQ -Sj_=hcjc_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \k_MdNj#_d8j_j__jFj.___FdHm -S=#bF_ OD\M3kd#_N_jjd_ -8jS=Qjb_F#O\D 3dkM__N#j_dj8Hj_;R -sfjj:ROlNEhRQesRbHklRM_4jOMHH_SH -mM=k4Oj_H_HMHQ -SjM=k4Oj_H;HM -fsRjR:jlENOReQhRHbslMRk4Od_H_HMH__jHm -S=4h_.S( -Qhj=_(4._ -j;sjRf:ljRNROEQRheblsHR_q1j_dj7jj__NH_.__HHm -S=dh_nSg -Qhj=_gdn_ -j;sjRf:ljRNROEQRheblsHR4kM__1vqtvQq__j#kJlGdN__SH -mM=k4v_1_Qqvtjq__l#Jk_GNd -_HS=Qjk_M41qv_vqQt_#j_JGlkN;_d -fsRjR:jlENOReQhRHbsl1R7_jjj_q hA_p 4J_#lNkG_jH__SH -m_=h. -(US=Qjh(_.U;_j +(;sjRf:ljRNROEQRheblsHR.h_.H6_ +=Smh._.6 +_HS=Qjh._.6s; +R:fjjNRlOQERhbeRsRHlh._.c +_HShm=_c.._SH +Qhj=_c..;R +sfjj:ROlNEhRQesRbHhlR_d.._SH +m_=h._.dHQ +Sj_=h.;.d fsRjR:jlENOReQhRHbsl_Rh._4UHm S=.h_4HU_ jSQ=.h_4 -U;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kdN(_#d_jjj_8_HH__SH -m_=hd -nnS=Qjhn_dn;_j +U;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj__Hj_r +49Sbm=FO#_D3 \1 QZ_q7v_4nr9Q +SjF=b#D_O 1\3Q_Z 7_vqnr_j4 +9;sjRf:ljRNROEQRheblsHR.h_4H(_ +=Smh4_.( +_HS=Qjh4_.(s; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3Z1Q v_7q__nj__jjr_HjS9 +mF=b#D_O 1\3Q_Z 7_vqn9rj +jSQ=#bF_ OD\Q31Z7 _vnq__jjr9s; +R:fjjNRlOQERhbeRsRHlh4_.d +_HShm=_d.4_SH +Qhj=_d.4;R +sfjj:ROlNEhRQesRbHhlR_gd4_SH +m_=hd_4gHQ +Sj_=hd;4g +fsRjR:jlENOReQhRHbslFRb#D_O k\3M_d6Nj#_d8j_j__jH +_HShm=_jdj +jSQ=dh_jjj_;R +sfjj:ROlNEhRQesRbHhlR__46Hm +S=4h_6 +_HS=Qjh6_4;R +sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1h4B__SH +m_=hcS6 +Qhj=__c6js; +R:fjjNRlOQERhbeRsRHlhn_4_SH +m_=h4Hn_ +jSQ=4h_ns; +R:fjjNRlOQERhbeRsRHl)OW__SH +mW=)_HO_ +jSQ=_)WOs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3_)Wj_jjQ_ha6__HNH.__SH +m_=h4 +jnS=Qjhj_4n;_j +fsRjR:jlENOReQhRHbsl_Rh._UcHm +S=.h_UHc_ +jSQ=.h_U +c;sjRf:ljRNROEQRheblsHRdh_dHc_ +=Smhd_dc +_HS=Qjhd_dcs; +R:fjjNRlOQERhbeRsRHlh(_.U +_HShm=_U.(_SH +Qhj=_U.(;R +sfjj:ROlNEhRQesRbHhlR_g.(_SH +m_=h._(gHQ +Sj_=h.;(g +fsRjR:jlENOReQhRHbsl_Rhd_d.Hm +S=dh_dH._ +jSQ=dh_d +.;sjRf:ljRNROEQRheblsHR_71j_jj7_vq.J_#lNkG_jH__SH +m_=h. +d(S=Qjhd_.(;_j +fsRjR:jlENOReQhRHbslMRk4v_1_Qqvtjq__l#Jk_GN4__j4 +_HSkm=M14_vv_qQ_tqjJ_#lNkG_S4 +Qkj=M14_vv_qQ_tqjJ_#lNkG_j4_;R +sfjj:ROlNEhRQesRbHhlR_(.c_SH +m_=h._c(HQ +Sj_=h.;c( +fsRjR:jlENOReQhRHbsl_Rh._cUHm +S=.h_cHU_ +jSQ=.h_c +U;sjRf:ljRNROEQRheblsHR.h_cHn_ +=Smhc_.n +_HS=Qjhc_.ns; +R:fjjNRlOQERhbeRsRHlkjM4_HOHM +_HSkm=M_4jOMHH_SH +Qkj=M_4jOMHH;R +sfjj:ROlNEhRQesRbHklRM_4dOMHH_jH__Hj_ +=Smhc_.4Q +Sj_=h._c4js; +R:fjjNRlOQERhbeRsRHlk_M47j1_j j_hpqA __j#kJlGHN_ +=Smk_M47j1_j j_hpqA __j#kJlGHN_ +jSQ=4kM__71j_jj Ahqpj __l#Jk;GN +fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_#4_JGlkN__Hj +_HShm=_n.d +jSQ=.h_djn_;R +sfjj:ROlNEhRQesRbHhlR_(.g_SH +m_=h._g(HQ +Sj_=h.;g( +fsRjR:jlENOReQhRHbslQR1Z7 _vdq__l#Jk_GNH._F_FH_. +_HShm=_j4n +jSQ=4h_nHj_;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \k4M._NLoOj _dHj_MH0__Fj_.__.FHd_ +=Smb_F#O\D 3.kM4o_LN_O j_djH_M0H +_jS=Qjb_F#O\D 3.kM4o_LN_O j_djH_M0H__jHs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3BBYp7 _v6q__Hj__Fj_d +_HShm=_j4j +jSQ=4h_jHj_;R +sfjj:ROlNEhRQesRbHBlRpji_d]j__H.__Fj_. +_HShm=_n4U +jSQ=4h_Ujn_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj__jFH._r +n9Shm=_d4U +jSQ=4h_Ujd_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqM_##H__Hj__jFH._r +j9Shm=_.4U +jSQ=4h_Uj._;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \k6Md__N#j_dj8jj__FH_. +_HShm=_44U +jSQ=4h_Uj4_;R +sfjj:ROlNEhRQesRbHhlR_U.._SH +m_=h._.UHQ +Sj_=h.;.U +fsRjR:jlENOReQhRHbsl7Rp1j_jj__OHm +S=1p7_jjj_HO_ +jSQ=1p7_jjj_ +O;sjRf:ljRNROEQRheblsHR1z7_jjj_HO_ +=Smz_71j_jjO +_HS=Qjz_71j_jjOs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3Z1Q v_7q__nj__jj._F_jHr9m +S=4h_(Sd +Qhj=_d4(_ +H;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_SH +m1=q_jjd_jjj_h1YB +_HS=Qjqj1_djj_j1j_Y;hB +fsRjR:jlENOReQhRHbsl1Rq_jjd__7jj__HNH.__SH +m_=h4 +4jS=Qjh4_4j;_j +fsRjR:jlENOReQhRHbslWR)_jjj_q7v_H._ +=Smhd_c +jSQ=ch_d;_j +fsRjR:jlENOReQhRHbsl_Rhc +_HShm=_Hc_ +jSQ=ch_;R +sfjj:ROlNEhRQesRbHqlR1j_jjv_7q__4Hm +S=ch_gQ +Sj_=hcjg_;R +sfjj:ROlNEhRQesRbHhlR_Hd_ +=Smh__dHQ +Sj_=hds; +R:fjjNRlOQERhbeRsRHl7j1_j7j_v4q__SH +m_=h6Sj +Qhj=__6jjs; +R:fjjNRlOQERhbeRsRHlh4_.6 +_HShm=_6.4_SH +Qhj=_6.4;R +sfjj:ROlNEhRQesRbHhlR_n.4_SH +m_=h._4nHQ +Sj_=h.;4n +fsRjR:jlENOReQhRHbsl R)1_ am_za.__HH +_HShm=_4dj +jSQ=dh_jj4_;R +sfjj:ROlNEhRQesRbHklRM_4cNolHNk_L#N_808N_HHs__jj__SH +m_=h. +cdS=Qjhc_.d;_j +fsRjR:jlENOReQhRHbsl_Rh._nnHm +S=.h_nHn_ +jSQ=.h_n +n;sjRf:ljRNROEQRheblsHR.h_cHg_ +=Smhc_.g +_HS=Qjhc_.gs; +R:fjjNRlOQERhbeRsRHlqtvQqz_A1q_7a7q_Qj)__jj__SH +mv=qQ_tqA_z17qqa_)7Q_SO +Qqj=vqQt_1Az_a7qqQ_7)__Ojs; +R:fjjNRlOQERhbeRsRHlhn_.U +_HShm=_U.n_SH +Qhj=_U.n;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \7j1_j7j_vcq___Vjj__jHm +S=#bF_ OD\137_jjj_q7v_Sc +Qbj=FO#_D3 \7j1_j7j_vcq__ +j;sjRf:ljRNROEQRheblsHRiBp_jjd_HO_ +=SmB_pij_djO +_HS=QjB_pij_djOs; +R:fjjNRlOQERhbeRsRHl7Baqij_7_Hj_ +=Smhn_6 +jSQ=6h_n;_j fsRjR:jlENOReQhRHbsluReq__OHm S=qeu_HO_ jSQ=qeu_ O;sjRf:ljRNROEQRheblsHRqeu_j7__SH m_=h6S6 Qhj=__66js; -R:fjjNRlOQERhbeRsRHlh__(Hm -S=(h__SH +R:fjjNRlOQERhbeRsRHlh__nHm +S=nh__SH Qhj=_ -(;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_H4_ -=Smh(_c -jSQ=ch_(;_j -fsRjR:jlENOReQhRHbsl7Rp1j_jjh_Qa -_HSpm=7j1_jQj_hHa_ -jSQ=1p7_jjj_aQh;R -sfjj:ROlNEhRQesRbHklRMp4_7j1_jQj_hHa_ -=Smk_M4p_71j_jjQ -haS=Qjk_M4p_71j_jjQ_hajs; -R:fjjNRlOQERhbeRsRHlz_71j_jjQ_haHm -S=1z7_jjj_aQh_SH -Qzj=7j1_jQj_h -a;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#j__jj._F_dHr9m +n;sjRf:ljRNROEQRheblsHRqAtBji_dQj_h4a__SH +m_=hcS( +Qhj=__c(js; +R:fjjNRlOQERhbeRsRHlhn_._SH +m_=h.Hn_ +jSQ=.h_ns; +R:fjjNRlOQERhbeRsRHlAjt_j4j__SH +m_=hdSc +Qhj=__dcjs; +R:fjjNRlOQERhbeRsRHlAjt_dOj__SH +mt=A_jjd_HO_ +jSQ=_Atj_djOs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3nkM__Loj_djHm +S=#bF_ OD\M3kno_L_jjd_SH +Qbj=FO#_D3 \k_MnLjo_d +j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kgo_L_jjd_SH +mF=b#D_O k\3MLg_od_jjQ +SjF=b#D_O k\3MLg_od_jj;_j +fsRjR:jlENOReQhRHbsl_Rh.H6_ +=Smh6_._SH +Qhj=_;.6 +fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]4 +_HShm=_ +d6S=Qjh6_d_ +j;sjRf:ljRNROEQRheblsHR.h_c +_HShm=__.cHQ +Sj_=h. +c;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qm_pW__4Hm +S=dh_nQ +Sj_=hdjn_;R +sfjj:ROlNEhRQesRbHhlR__4(Hm +S=4h_( +_HS=Qjh(_4;R +sfjj:ROlNEhRQesRbHklRMC6__Hj___F.j +_HShm=_c4U +jSQ=4h_Ujc_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj__jFH._r +c9Shm=_64U +jSQ=4h_Uj6_;R +sfjj:ROlNEhRQesRbHhlR_d.j_SH +m_=h._jdHQ +Sj_=h.;jd +fsRjR:jlENOReQhRHbsl_Rh._jcHm +S=.h_jHc_ +jSQ=.h_j +c;sjRf:ljRNROEQRheblsHR.h_jH6_ +=Smhj_.6 +_HS=Qjhj_.6s; +R:fjjNRlOQERhbeRsRHlhj_.j +_HShm=_j.j_SH +Qhj=_j.j;R +sfjj:ROlNEhRQesRbHhlR_g4g_SH +m_=h4_ggHQ +Sj_=h4;gg +fsRjR:jlENOReQhRHbsl_Rh._j4Hm +S=.h_jH4_ +jSQ=.h_j +4;sjRf:ljRNROEQRheblsHR.h_jHU_ +=Smhj_.U +_HS=Qjhj_.Us; +R:fjjNRlOQERhbeRsRHlhj_.( +_HShm=_(.j_SH +Qhj=_(.j;R +sfjj:ROlNEhRQesRbHklRM14_vv_qQ_tqjJ_#lNkG_j4___FdH._N_Fj_.__HFH._ +=Smhn_4(Q +Sj_=h4_n(Hs; +R:fjjNRlOQERhbeRsRHlh6_.U__Hj._F_SH +m_=h4 +dUS=Qjhd_4U;_H +fsRjR:jlENOReQhRHbsl_Rhd_cgHm +S=dh_cHg_ +jSQ=dh_c +g;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kno_LN_O j_jjj__jHm +S=#bF_ OD\M3kno_LN_O j +jjS=Qjb_F#O\D 3nkM_NLoOj _jjj_;R +sfjj:ROlNEhRQesRbH7lRaiqB_HO_ +=Sm7Baqi__OHQ +Sja=7q_BiOs; +R:fjjNRlOQERhbeRsRHlO_bkC_#0.__jj__jFH._r +.9Shm=_d46 +jSQ=4h_6Hd_;R +sfjj:ROlNEhRQesRbHOlRbCk_#.0__jH__Fj_.r_HdS9 +m_=h4 +6cS=Qjh6_4c;_j +fsRjR:jlENOReQhRHbsl1R)ap_7Y._C_jH___F.j +_HShm=_n46 +jSQ=4h_6Hn_;R +sfjj:ROlNEhRQesRbHhlR_g.g_SH +m_=h._ggHQ +Sj_=h.;gg +fsRjR:jlENOReQhRHbsl1R7_jjj_q hA_p 4J_#lNkG_jH___F.Hm S=4h_nS. Qhj=_.4n_ -j;sjRf:ljRNROEQRheblsHRa)1_Y7p__C.H__jFj.__SH +j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__jj._F_H._r +d9Shm=_64n +jSQ=4h_nj6_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj._F_FH_.r_HjS9 m_=h4 -dgS=Qjhd_4g;_H -fsRjR:jlENOReQhRHbsl_Rh._dUHm -S=.h_dHU_ -jSQ=.h_d -U;sjRf:ljRNROEQRheblsHRa)1_Y7p__C.H__jFH._ -=Smhd_4nQ -Sj_=h4_dnjs; -R:fjjNRlOQERhbeRsRHlB_pij_jjhj ___F(H._N_Fj_.__HFH._ -=Smhd_4jQ -Sj_=h4_djHs; -R:fjjNRlOQERhbeRsRHlh4_.d -_HShm=_d.4_SH -Qhj=_d.4;R -sfjj:ROlNEhRQesRbHhlR_c.4_SH -m_=h._4cHQ -Sj_=h.;4c -fsRjR:jlENOReQhRHbslbROk#_C0__.j__jjr_HdS9 -mb=Ok#_C0r_.dS9 -QOj=bCk_#.0__djr9s; -R:fjjNRlOQERhbeRsRHlhj_.n -_HShm=_n.j_SH -Qhj=_n.j;R -sfjj:ROlNEhRQesRbHhlR_6.j_SH -m_=h._j6HQ -Sj_=h.;j6 -fsRjR:jlENOReQhRHbsl_Rh.Hn_ -=Smhn_._SH -Qhj=_;.n -fsRjR:jlENOReQhRHbsltRA_jjj_H4_ -=Smhc_d -jSQ=dh_c;_j -fsRjR:jlENOReQhRHbsltRA_jjd_HO_ -=SmAjt_dOj__SH -QAj=td_jj;_O -fsRjR:jlENOReQhRHbslFRb#D_O k\3MLn_od_jj -_HSbm=FO#_D3 \k_MnLjo_dHj_ -jSQ=#bF_ OD\M3kno_L_jjd;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \k_MgLjo_dHj_ -=Smb_F#O\D 3gkM__Loj -djS=Qjb_F#O\D 3gkM__Loj_djjs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_#M#_jH__jj___F.jr_HjS9 -m_=h4 -njS=Qjhn_4j;_j -fsRjR:jlENOReQhRHbsl_Rh._cdHm -S=.h_cHd_ -jSQ=.h_c -d;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M#__Hj__jj._F_H4_r -j9Shm=_d4n -jSQ=4h_njd_;R -sfjj:ROlNEhRQesRbHhlR_n4(_SH -m_=h4_(nHQ -Sj_=h4;(n -fsRjR:jlENOReQhRHbsl_Rh4_(6Hm -S=4h_(H6_ -jSQ=4h_( -6;sjRf:ljRNROEQRheblsHR.h_6H._ -=Smh6_.. -_HS=Qjh6_..s; -R:fjjNRlOQERhbeRsRHlhd_.g -_HShm=_g.d_SH -Qhj=_g.d;R -sfjj:ROlNEhRQesRbHhlR_U4(_SH -m_=h4_(UHQ -Sj_=h4;(U -fsRjR:jlENOReQhRHbslvR1_QqvtMq_#H#__jj__Hj_r -j9S1m=vv_qQ_tqM_##H9rj -jSQ=_1vqtvQq#_M#__Hj9rj;R -sfjj:ROlNEhRQesRbHhlR_44U_SH -m_=h4_U4HQ -Sj_=h4;U4 -fsRjR:jlENOReQhRHbsl_Rh4_UjHm -S=4h_UHj_ -jSQ=4h_U -j;sjRf:ljRNROEQRheblsHR4h_(Hg_ -=Smh(_4g -_HS=Qjh(_4gs; -R:fjjNRlOQERhbeRsRHlhU_46 -_HShm=_64U_SH -Qhj=_64U;R -sfjj:ROlNEhRQesRbHhlR_d4U_SH -m_=h4_UdHQ -Sj_=h4;Ud -fsRjR:jlENOReQhRHbsl_Rh4_UcHm -S=4h_UHc_ -jSQ=4h_U -c;sjRf:ljRNROEQRheblsHR_q1j_jjQ_ha4J_#lNkG_jH__SH -m_=h. -UjS=QjhU_.j;_j -fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_#4_JGlkN__Hj -_HShm=_g.( -jSQ=.h_(jg_;R -sfjj:ROlNEhRQesRbHhlR_n.d_SH -m_=h._dnHQ -Sj_=h.;dn -fsRjR:jlENOReQhRHbsl_Rhd_g4Hm -S=dh_gH4_ -jSQ=dh_g -4;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M#__Hj__jj._F_jHr9m -S=4h_dS( -Qhj=_(4d_ -j;sjRf:ljRNROEQRheblsHR.h_cH4_ -=Smhc_.4 -_HS=Qjhc_.4s; -R:fjjNRlOQERhbeRsRHlhc_.j -_HShm=_j.c_SH -Qhj=_j.c;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqM_##H__jj__jFHd_r -j9S1m=vv_qQ_tqMr##(S9 -Q1j=vv_qQ_tqM_##j9r(;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9rc -=Sm1qv_vqQt_cHr9Q -Sjv=1_Qqvtcqr9s; -R:fjjNRlOQERhbeRsRHlhc_.. -_HShm=_..c_SH -Qhj=_..c;R -sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_#4_JGlkN__Hj._F_SH -m_=h4 -ccS=Qjhc_4c;_j -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -.9S1m=vv_qQ_tqH9r. -jSQ=_1vqtvQq9r.;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9rn -=Sm1qv_vqQt_nHr9Q -Sjv=1_Qqvtnqr9s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_jHr9m -S=_1vqtvQqr_HjS9 -Q1j=vv_qQrtqj -9;sjRf:ljRNROEQRheblsHR4kM__1vqtvQq__j#kJlG.N__4j___F.Hm -S=4h_6S6 -Qhj=_646_ -H;sjRf:ljRNROEQRheblsHR4h_gHd_ -=Smhg_4d -_HS=Qjhg_4ds; -R:fjjNRlOQERhbeRsRHlhg_4. -_HShm=_.4g_SH -Qhj=_.4g;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__jj__jH9r4 -=Sm1qv_vqQt_#M#r -n9S=Qj1qv_vqQt_#M#_njr9s; -R:fjjNRlOQERhbeRsRHlh(_4( -_HShm=_(4(_SH -Qhj=_(4(;R -sfjj:ROlNEhRQesRbHhlR_c4g_SH -m_=h4_gcHQ -Sj_=h4;gc -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jj__Hj_r -.9S1m=vv_qQ_tqMr##6S9 -Q1j=vv_qQ_tqM_##j9r6;R -sfjj:ROlNEhRQesRbHhlR_64g_SH -m_=h4_g6HQ -Sj_=h4;g6 -fsRjR:jlENOReQhRHbsl_Rh4_gnHm -S=4h_gHn_ -jSQ=4h_g -n;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#j__jjr_HdS9 -mv=1_QqvtMq_#c#r9Q -Sjv=1_QqvtMq_#j#_r;c9 -fsRjR:jlENOReQhRHbsl_Rh4_gUHm -S=4h_gHU_ -jSQ=4h_g -U;sjRf:ljRNROEQRheblsHR4h_gH(_ -=Smhg_4( -_HS=Qjhg_4(s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0j#__jj__cHr9m -S=_1vqtvQq#_M#9rd -jSQ=_1vqtvQq#_M#r_jd -9;sjRf:ljRNROEQRheblsHR.h_jHc_ -=Smhj_.c -_HS=Qjhj_.cs; -R:fjjNRlOQERhbeRsRHlhj_.d -_HShm=_d.j_SH -Qhj=_d.j;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HH__jH9rn -=Smhj_ddQ -Sj_=hd_jdjs; -R:fjjNRlOQERhbeRsRHlhU_4_SH -m_=h4HU_ -jSQ=4h_Us; -R:fjjNRlOQERhbeRsRHl)jW_jQj_h4a__SH -m_=hcS. -Qhj=__c.js; -R:fjjNRlOQERhbeRsRHlh__6Hm -S=6h__SH -Qhj=_ -6;sjRf:ljRNROEQRheblsHR_q1j_jjQ_ha4 -_HShm=_ -cUS=QjhU_c_ -j;sjRf:ljRNROEQRheblsHRch__SH -m_=hc -_HS=Qjh;_c +ngS=Qjhn_4g;_H +fsRjR:jlENOReQhRHbslvReqh_Qa +_HSem=vQq_hHa_ +jSQ=qev_aQh;R +sfjj:ROlNEhRQesRbHhlR_4dc_SH +m_=hd_c4HQ +Sj_=hd;c4 +fsRjR:jlENOReQhRHbsl_Rhd_c.Hm +S=dh_cH._ +jSQ=dh_c +.;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__jj._F_H4_r +d9Shm=_44( +jSQ=4h_(H4_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj__jFH._r +d9Shm=_.4( +jSQ=4h_(H._;R +sfjj:ROlNEhRQesRbHklRMC6__Hj___F.Hm +S=4h_(SU +Qhj=_U4(_ +j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__jj._F_.Hr9m +S=4h_(Sg +Qhj=_g4(_ +j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__jj._F_Hj_r +d9Shm=_j4U +jSQ=4h_Ujj_;R +sfjj:ROlNEhRQesRbHOlRbCk_#.0__jj__Hj_r +49SOm=bCk_#.0_r +49S=QjO_bkC_#0.r_j4 +9;sjRf:ljRNROEQRheblsHR.h_.H4_ +=Smh._.4 +_HS=Qjh._.4s; +R:fjjNRlOQERhbeRsRHlhc_dU +_HShm=_Udc_SH +Qhj=_Udc;R +sfjj:ROlNEhRQesRbHOlRbCk_#.0__jj__Hj_r +.9SOm=bCk_#.0_r +.9S=QjO_bkC_#0.r_j. +9;sjRf:ljRNROEQRheblsHR.h_.H._ +=Smh._.. +_HS=Qjh._..s; +R:fjjNRlOQERhbeRsRHlh._.n +_HShm=_n.._SH +Qhj=_n..;R +sfjj:ROlNEhRQesRbHhlR_4.d_SH +m_=h._d4HQ +Sj_=h.;d4 +fsRjR:jlENOReQhRHbsl_Rh._.gHm +S=.h_.Hg_ +jSQ=.h_. +g;sjRf:ljRNROEQRheblsHR.h_dHd_ +=Smhd_.d +_HS=Qjhd_.ds; +R:fjjNRlOQERhbeRsRHlhd_.. +_HShm=_..d_SH +Qhj=_..d;R +sfjj:ROlNEhRQesRbHqlR1j_jjh_Qa__4#kJlGHN__Hj_ +=Smhj_U +jSQ=Uh_j;_j +fsRjR:jlENOReQhRHbsl_Rhd_cdHm +S=dh_cHd_ +jSQ=dh_c +d;sjRf:ljRNROEQRheblsHRiBp_jjj__h jd_F_NH_.__jFH.___F.Hm +S=4h_dSc +Qhj=_c4d_ +H;sjRf:ljRNROEQRheblsHR.h_gHU_ +=Smhg_.U +_HS=Qjhg_.Us; +R:fjjNRlOQERhbeRsRHl)_1a7_pYCH.__Fj_. +_HShm=_.4c +jSQ=4h_cj._;R +sfjj:ROlNEhRQesRbHQlRu7p_j__jH9r. +=Smhc_6 +jSQ=6h_c;_j +fsRjR:jlENOReQhRHbsl_Rh.H(_ +=Smh(_._SH +Qhj=_;.( +fsRjR:jlENOReQhRHbsluRQpd_jj__4H9rj +=Smh4_d +jSQ=dh_4;_j +fsRjR:jlENOReQhRHbsl_Rh.HU_ +=SmhU_._SH +Qhj=_;.U +fsRjR:jlENOReQhRHbsluRQpd_jj__4H9r4 +=Smh._d +jSQ=dh_.;_j +fsRjR:jlENOReQhRHbsl_Rh.Hg_ +=Smhg_._SH +Qhj=_;.g +fsRjR:jlENOReQhRHbsluRQpd_jj__4H9r. +=Smhd_d +jSQ=dh_d;_j +fsRjR:jlENOReQhRHbsl_RqOr_HjS9 +m_=qOr_HjS9 +Qqj=_jOr9s; +R:fjjNRlOQERhbeRsRHl1 QZ_HO_r +49S1m=Q_Z Or_H4S9 +Q1j=Q_Z O9r4;R +sfjj:ROlNEhRQesRbH7lR1iqB4h_Qa__4#kJlGHN__Hj_ +=Smh6_.nQ +Sj_=h._6njs; +R:fjjNRlOQERhbeRsRHlh4_dU +_HShm=_Ud4_SH +Qhj=_Ud4;R +sfjj:ROlNEhRQesRbHhlR_cd4_SH +m_=hd_4cHQ +Sj_=hd;4c +fsRjR:jlENOReQhRHbslFRb#D_O k\3MOg_Dj _jbj_C__jj +_HSbm=FO#_D3 \k_MgO_D j_jjbSC +Qbj=FO#_D3 \k_MgO_D j_jjbjC_;R +sfjj:ROlNEhRQesRbHhlR_g.4_SH +m_=h._4gHQ +Sj_=h.;4g +fsRjR:jlENOReQhRHbsl_Rh._.jHm +S=.h_.Hj_ +jSQ=.h_. +j;sjRf:ljRNROEQRheblsHR.h_d +_HShm=__.dHQ +Sj_=h. +d;sjRf:ljRNROEQRheblsHR1z7_jjj_aQh_H4_ +=Smh(_d +jSQ=dh_(;_j +fsRjR:jlENOReQhRHbsl_Rh.H4_ +=Smh4_._SH +Qhj=_;.4 +fsRjR:jlENOReQhRHbsl1Rq_jjj_aQh_H4_ +=Smhg_d +jSQ=dh_g;_j +fsRjR:jlENOReQhRHbsl_Rh.Hj_ +=Smhj_._SH +Qhj=_;.j fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_H4_ -=Smhg_c -jSQ=ch_g;_j -fsRjR:jlENOReQhRHbsl_Rh4_g4Hm -S=4h_gH4_ -jSQ=4h_g -4;sjRf:ljRNROEQRheblsHR4kM__1vqtvQq__j#kJlG.N__4j__SH -mM=k4v_1_Qqvtjq__l#Jk_GN.Q -SjM=k4v_1_Qqvtjq__l#Jk_GN.;_j -fsRjR:jlENOReQhRHbsl_RhgH4_ -=Smh4_g_SH -Qhj=_;g4 -fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_sj3 -=Sm7B1qiQ4_hja_3dkM -jSQ=.h_( -g;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_lj3 -=Sm7B1qiQ4_hja_34kM -jSQ=gh_4 -_HS=Q4h(_.gs; -R:fjjNRlOqERhR7.blsHRq71B_i4Q_haj -3MS7m=1iqB4h_Qa3_jk -MjS=Qj7B1qiQ4_hSa -Q74=1iqB4h_Qa3_jk;Md -fsRjR:jlENOR.m)RHbsl1R7q4Bi_aQh_bj3 -=Smh -_cS=Qj7B1qiQ4_hja_34kM -4SQ=q71B_i4Q_hajM3kjs; -R:fjjNRlOQERhbeRsRHlQ._.Um -S=_q1j_djHQ -Sj1=q_jjd_ -O;sjRf:ljRNROEQRheblsHR_q1j_jjQ_haHm -S=_q1j_jjQ_haHQ -Sj1=q_jjj_aQh;R -sfjj:ROlNEhRq7b.RsRHlk_McNj#_jSj -mM=kc#_N_jjj -jSQ=_q1j_jjQ_haHQ -S41=q_jjd_ -H;sjRf:ljRNROEQRheblsHR4kM_HNloLN_kC#_MDNLCF_DI -_HSkm=MN4_lNHo_#Lk_NCML_DCD_FIHQ -SjM=k4l_NH_oNL_k#CLMNDDC_F -I;sjRf:ljRNROEQRheblsHR.kM4b_Vk#_O_SH -mM=k.V4_bOk_# -_HS=Qjk4M._kVb_;O# -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__jj__jNcdr9m -S=4h_gS( -Qhj=_j.6 -4SQ=_1vqtvQq9rc;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0j#__jj___Ndj9rd -=Smhg_4nQ -Sj_=hd -g4S=Q41qv_vqQtr;c9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__jj__jNddr9m -S=4h_gS6 -Qhj=_.4n -4SQ=.h_d -g;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jj__Nj_d9r. -=Smhg_4cQ -Sj_=h. -6jS=Q41qv_vqQtr;.9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__jj__jNjd_r -49Shm=_d4g -jSQ=dh_gS4 -Q14=vv_qQrtq. -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jj__Nj_d9r4 -=Smhg_4.Q -Sj_=h4 -djS=Q4h6_..s; -R:fjjNRlOqERhR7.blsHR4kM__1vqtvQq__j#kJlG.N__4j__ -NdShm=_44g -jSQ=4h_dH4_ -4SQ=4h_6 -6;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jj__Nj_dr_jjS9 -m_=h4 -(nS=Qjhd_4(Q -S4_=h4;nj -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__jj__jNjdr9m -S=4h_(S6 -Qhj=_d4n -4SQ=_1vqtvQq9r6;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_h4a_ -=Smhg_c_Sj -Qhj=_Hc_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_S4 -m_=hcjU_ -jSQ=6h__SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl)jW_jQj_h4a_ -=Smh._c_Sj -Qhj=__4UHQ +=Smhj_c +jSQ=ch_j;_j +fsRjR:jlENOReQhRHbsl_Rh4Hg_ +=Smhg_4_SH +Qhj=_;4g +fsRjR:jlENOReQhRHbslvReqh_Qa__4Hm +S=ch_4Q +Sj_=hcj4_;R +sfjj:ROlNEhRQesRbHhlR__4cHm +S=4h_c +_HS=Qjhc_4;R +sfjj:ROlNEhRQesRbHplR7j1_jQj_h4a__SH +m_=hcSn +Qhj=__cnjs; +R:fjjNRlOQERhbeRsRHlQ_upOr_HjS9 +mu=Qp__OH9rj +jSQ=pQu_jOr9s; +R:fjjNRlOQERhbeRsRHlQ_up7jj__jHr9m +S=6h_.Q +Sj_=h6j._;R +sfjj:ROlNEhRQesRbHQlRuOp__4Hr9m +S=pQu_HO_r +49S=QjQ_upO9r4;R +sfjj:ROlNEhRQesRbHQlRu7p_j__jH9r4 +=Smhd_6 +jSQ=6h_d;_j +fsRjR:jlENOReQhRHbsluRQp__OH9r. +=SmQ_upOr_H.S9 +QQj=uOp_r;.9 +fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa +_HSzm=7j1_jQj_hHa_ +jSQ=1z7_jjj_aQh;R +sfjj:ROlNEhRQesRbHklRMz4_7j1_jQj_hHa_ +=Smk_M4z_71j_jjQ +haS=Qjk_M4z_71j_jjQ_hajs; +R:fjjNRlOQERhbeRsRHlp_71j_jjQ_haHm +S=1p7_jjj_aQh_SH +Qpj=7j1_jQj_h +a;sjRf:ljRNROEQRheblsHR4kM_1p7_jjj_aQh_SH +mM=k47_p1j_jjh_QaQ +SjM=k47_p1j_jjh_Qa;_j +fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_h4a_ +=Smh(_d_Sj +Qhj=__.dHQ S41=)a;_O -fsRjR:jlENOReQhRHbslWR)_jjj_aQh_sj3 -=Sm)jW_jQj_hja_3dkM -jSQ=4kM__1vqtvQq__j#kJlG.N_;R -sfjj:ROlNEhRq7b.RsRHl)jW_jQj_hja_3Sl -mW=)_jjj_aQh_kj3MS4 -Qbj=FO#_D3 \)jW_jQj_h6a_ -4SQ=4kM__1vqtvQq__j#kJlG.N_;R -sfjj:ROlNEhRq7b.RsRHl)jW_jQj_hja_3SM -mW=)_jjj_aQh_kj3MSj -Q)j=Wj_jjh_QaQ -S4W=)_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR_)Wj_jjQ_haj -3bShm=_ -4US=Qj)jW_jQj_hja_34kM -4SQ=_)Wj_jjQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHlhj_g_SH -m_=hgHj_ -jSQ=gh_js; -R:fjjNRlOQERhbeRsRHlqj1_jQj_hja_3Ss -m1=q_jjj_aQh_kj3MSd -Qhj=_j.U;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja_3Sl -m1=q_jjj_aQh_kj3MS4 -Qhj=__gjHQ -S4_=h.;Uj -fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa3_jMm -S=_q1j_jjQ_hajM3kjQ -Sj1=q_jjj_aQh -4SQ=_q1j_jjQ_hajM3kds; -R:fjjNRlOmER)b.RsRHlqj1_jQj_hja_3Sb -m_=h6Q -Sj1=q_jjj_aQh_kj3MS4 -Qq4=1j_jjh_Qa3_jk;Mj -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__jjr_j.S9 -mv=1_QqvtMq_#j#_r -69S=Qjh(_4( -_HS=Q4hg_4c;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__jjr_j4S9 -mv=1_QqvtMq_#j#_r -n9S=Qjhg_4. -_HS=Q4hg_4d;_H -fsRjR:jlENOReQhRHbslvR1_QqvtHq__(Hr9m -S=_1vqtvQq__HH9r( -jSQ=_1vqtvQqr_H( -9;sjRf:ljRNROEq.h7RHbslMRk4v_1_Qqvtjq__l#Jk_GN.__j4m -S=4kM__1vqtvQq__j#kJlG.N__Sj -Qhj=_44g_SH -Q14=vv_qQ_tqH9r(;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_jH__jj___N..9rj -=Smh6_..Q -Sj_=h. -dnS=Q41qv_vqQtr;49 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__jj__jN..r9m -S=.h_6Sj -Qhj=_44d -4SQ=.h_d -n;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p 4J_#lNkG_jH__ -N.Shm=_..c -jSQ=_)WOQ -S4v=1_Qqvtnqr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__Hj__jj._N_j4r9m -S=.h_cS4 -Qhj=_j.6 -4SQ=_1vqtvQq9rj;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_jH__jj___N.j9rj -=Smhc_.jQ -Sj_=h. -cdS=Q41qv_vqQtr;49 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__jj__jNj.r9m -S=.h_dSg -Qhj=_n.d -4SQ=_1vqtvQq9rd;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0j#__jj__rN.6S9 -m_=h. -dnS=QjA) )_SO -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __4#kJlGHN__Nj_dm -S=4kM__1vqtvQq__j#kJlGdN_ -jSQ=4h_dH4_ -4SQ=4h_c -c;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_#4_JGlkN__Hjd_N -=Smh4_g -jSQ=4h_dHj_ -4SQ=_1vqtvQq9r4;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_h4a__l#Jk_GNH__jNSd -m_=hgSj -Qhj=_44d_SH -Q14=vv_qQrtqn -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jj__Nj_dr_jcS9 -m_=h4 -gUS=Qjhc_.dQ -S4v=1_Qqvt6qr9s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_dHr9m -S=_1vqtvQqr_HdS9 -Q1j=vv_qQrtqd -9;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_SH -m1=q_jjd_jjj_h1YB -_HS=Qjqj1_djj_j1j_Y;hB -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__jj__jN.d__j4r9m -S=.h_j4c_ -jSQ=_q1j_djj_jj1BYh_SH -QM4= _Xu1Buq ;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__jj__jF4._r -j9Shm=_d4n_Sj -Qhj=_n.d_SH -Qh4=_d.c_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jj__Fj_.r_jjS9 -m_=h4_njjQ -Sj_=h4_6cHQ -S4v=1_QqvtHq_r;n9 -fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tqjJ_#lNkG_j.__F4_.m -S=4h_6H6_ -jSQ=_1vqtvQqr_HjS9 -Q14=vv_qQ_tqH9rn;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_jH__jj___F.d9rj -=Smh6_4c -_HS=Qj1qv_vqQt_.Hr9Q -S4v=1_QqvtHq_r;c9 -fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_#4_JGlkN__Hj._F -=Smhc_4c -_jS=Qjhc_.. -_HS=Q41qv_vqQt_cHr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__Hj__jjd_Fr -j9S1m=vv_qQ_tqM_##j9r( -jSQ=.h_cHj_ -4SQ=.h_cH4_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_jH__jj__rF.jS9 -m_=h4_d(jQ -Sj_=h._dnHQ -S4_=hd_g4Hs; -R:fjjNRlOqERhR7.blsHRq71B_i4Q_ha4J_#lNkG_jH_ -=Smh(_.g -_jS=Qjh4_g_SH -Qb4=FO#_D3 \k_MdNj#_d8j_j;_H -fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa__4#kJlGHN__Sj -m_=h._UjjQ -Sj_=hgHj_ -4SQ=#bF_ OD\M3kd#_N_jjd__8jHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__Hj9rn -=Smhj_dd -_jS=Qjhj_.d -_HS=Q4hj_.c;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__jjr_jcS9 -mv=1_QqvtMq_#j#_r -d9S=Qjhg_4( -_HS=Q4hg_4U;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__jjr_jdS9 -mv=1_QqvtMq_#j#_r -c9S=Qjhg_46 -_HS=Q4hg_4n;_H -fsRjR:jlENOReQhRHbsl_Rh._cgHm -S=.h_cHg__Sj -Qhj=_g.c;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__Nj_.m -S=.h_dSU -Qhj=_j4d_SH -Qh4=_g.c_jH_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_jH__jj___N.d9rj -=Smhc_.dQ -Sj_=h4_djHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbH)lR a1 _amz_#4_JGlkN__Hj._4g__4NS. -m_=h. -cgS=Qjhd_4g -_HS=Q4)_1a7rpY. -9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH___N.jm -S=.h_6S( -Qhj=_j4d -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl R)1_ am_za4J_#lNkG_jH__g4._S4 -m_=h._cUHQ -Sj_=h._cgH -_jS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRkOb_0C#_jj__jjr9m -S=.h_nHU_ -jSQ=.h_jH6_ -4SQ=.h_jHn_;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__jjr_jdS9 -mb=Ok#_C0__.j9rd -jSQ=.h_4Hd_ -4SQ=.h_4Hc_;R -sfjj:ROlNEhRQesRbHBlRpji_j7j__4Hr9m -S=iBp_jjj_H7_r -49S=QjB_pij_jj79r4;R -sfjj:ROlNEhRq7b.RsRHlB_pij_jjhj ___F(H._N_Fj_.__HFS. -m_=h4_djHQ -Sjp=Bij_jjr_74S9 -QB4=pji_j7j__jHr9s; -R:fjjNRlOqERhR7.blsHRa)1_Y7p__C.H__jFS. -m_=h4_dnjQ -Sj_=h._dUHQ -S41=)a;_O -fsRjR:jlENOReQhRHbsl1R)ap_7Yr_HjS9 -m1=)ap_7Yr_HjS9 -Q)j=17a_pjYr9s; -R:fjjNRlOQERhbeRsRHl)_1a7_pYH9r4 -=Sm)_1a7_pYH9r4 -jSQ=a)1_Y7pr;49 -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hj._F_Sj -m_=h4_dgHQ -Sj1=)ap_7Y9rj -4SQ=a)1_Y7pr;49 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__jj__jFd.r9m -S=4h_nj._ -jSQ=4h_dHj_ -4SQ=4h_6 -.;sjRf:ljRNROEQRheblsHR_Atj_jjj -3sSAm=tj_jj3_jk -MdS=Qjb_F#O\D 3gkM__Loj;dj -fsRjR:jlENOR7qh.sRbHAlRtj_jj3_jlm -S=_Atj_jjjM3k4Q -Sjt=A_jjd_SO -Qb4=FO#_D3 \k_MgLjo_d -j;sjRf:ljRNROEq.h7RHbsltRA_jjj_Mj3 -=SmAjt_jjj_3jkM -jSQ=_Atj_jjOQ -S4t=A_jjj_kj3M -d;sjRf:ljRNROEmR).blsHR_Atj_jjj -3bShm=_ -.nS=QjAjt_jjj_34kM -4SQ=_Atj_jjjM3kjs; -R:fjjNRlOqERhR7.blsHR_Atj_jj4m -S=dh_c -_jS=Qjhn_._SH -Q)4=1Oa_;R -sfjj:ROlNEhRQesRbHOlRbCk_#j0__3d_sm -S=kOb_0C#_dj__M3kdQ -Sj_=h4;dj -fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__3d_lm -S=kOb_0C#_dj__M3k4Q -Sjb=Ok#_C09rd -4SQ=4h_d -j;sjRf:ljRNROEq.h7RHbslbROk#_C0__jdM_3 -=SmO_bkC_#0j__d3jkM -jSQ=kOb_0C#_d.r9Q -S4b=Ok#_C0__jdk_3M -d;sjRf:ljRNROEmR).blsHRkOb_0C#_dj__ -3bShm=_ -4nS=QjO_bkC_#0j__d34kM -4SQ=kOb_0C#_dj__M3kjs; -R:fjjNRlOqERhR7.blsHRa)1_Y7p__C.H__jNjd_ -=SmhU_4jQ -Sj_=h4 -dnS=Q4hc_.gs; -R:fjjNRlOQERhbeRsRHl)_1a7_pYH9r. -=Sm)_1a7_pYH9r. -jSQ=a)1_Y7pr;.9 -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hjd_N_S4 -m_=h4 -U4S=Qjhd_4jQ -S41=)ap_7Yr_H. -9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH__ -NdShm=_d4U -jSQ=4h_dSn -Qh4=_g4d_ -H;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH___Ndjm -S=4h_USc -Qhj=_(.6 -4SQ=a)1_Y7p_4Hr9s; -R:fjjNRlOqERhR7.blsHR1) ma_z.a__jj___Ndjm -S=4h_USU -Qhj=_d.c -4SQ=.h_c -g;sjRf:ljRNROEq.h7RHbsl1R)ap_7Yj_C_jH__ -NdShm=_g4U -jSQ=4h_dSn -Q)4=17a_pjYr9s; -R:fjjNRlOqERhR7.blsHRa)1_Y7p__CjH__jNjd_ -=Smhg_4jQ -Sj_=h. -6(S=Q4)_1a7_pYH9rj;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__jjd_Nr -j9Shm=_6.j -jSQ=4h_dSj -QO4=bCk_#H0_r;j9 -fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__jj___Ndj9rj -=Smhj_.nQ -Sj_=h4_djHQ -S4b=Ok#_C09rj;R -sfjj:ROlNEhRQesRbHOlRbCk_#H0_r -j9SOm=bCk_#H0_r -j9S=QjO_bkCr#0j -9;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jjd_Nr -d9Shm=_d.4 -jSQ=kOb_0C#r -d9S=Q4O_bkC_#0H9rj;R -sfjj:ROlNEhRq7b.RsRHle_uq7 -_jShm=__66jQ -Sj1=)a -_OS=Q4e_uqO;_H -fsRjR:jlENOReQhRHbsl_Rq7m B7H _r94U -=Smq _7B m7_4HrUS9 -Qqj=_B7 m_7 OUr49s; -R:fjjNRlOQERhbeRsRHlq _7B m7_4HrgS9 -m_=q7m B7H _r94g -jSQ=7q_ 7Bm r_O4;g9 -fsRjR:jlENOReQhRHbsl_Rq7m B7H _r94n -=Smq _7B m7_4HrnS9 -Qqj=_B7 m_7 Onr49s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_HH_ -=Smhn_dn -_jS=Qjh4_.U -_HS=Q4b_F#O\D 3dkM__N#j_dj8Hj_;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __4#kJlGHN__Sj -m_=h._(UjQ -SjF=b#D_O k\3MNd_#d_jjj_8_SH -Qk4=M14_vv_qQ_tqjJ_#lNkG_Hd_;R -sfjj:ROlNEhRq7b.RsRHlk_M4Nj#_dHj___N.Hm -S=dh_nH(_ -jSQ=qAtBji_dQj_hHa_ -4SQ=XM uu_1q_B Hs; -R:fjjNRlOqERhR7.blsHR_q1j_dj7jj__NH_. -_HShm=_gdn_Sj -Qqj=1d_jj -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR4kMdH_OHHM__Sj -m_=h4_.(jQ -Sj =MX1u_u qB_SH -Qk4=M_4jOMHH_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MNd_#d_jjj_8_Fj_.__jFSd -mF=b#D_O k\3MNd_#d_jjj_8_SH -Qqj=1d_jjj_7_SH -QA4= _))Os; -R:fjjNRlOQERhbeRsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__HlH.___l.js_3 -=Smk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__HlH.___l.jk_3MSd -QAj=tiqB_jjd_aQh;R -sfjj:ROlNEhRq7b.RsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__HlH.___l.jl_3 -=Smk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__HlH.___l.jk_3MS4 -Qqj=1d_jjj_jjY_1hSB -QA4=tiqB_jjd_aQh;R -sfjj:ROlNEhRq7b.RsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__HlH.___l.jM_3 -=Smk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__HlH.___l.jk_3MSj -Qqj=vqQt_1Az_q hA_p 7_vq]]Qt -4SQ=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.H._l_3j_k;Md -fsRjR:jlENOR.m)RHbslMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lH_.__j3Sb -m_=hd -(jS=Qjk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__HlH.___l.jk_3MS4 -Qk4=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__Hlj.__M3kjs; -R:fjjNRlOQERhbeRsRHlh._4. -_HShm=_.4._SH -Qhj=_.4.;R -sfjj:ROlNEhRQesRbHwlRu1z_ h1_SH -mu=wz _1h_1 HQ -Sju=wz _1h_1 Os; -R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.jd_N_S4 -mM=k.L._C_ss4Q -Sjt=Aq_Bij_jjOQ -S4_=h4;.. -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgLjo_dSj -mF=b#D_O k\3MLg_od_jj -_jS=QjAjt_dOj__SH -Qb4=FO#_D3 \k_MnLjo_dHj_;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj -3sSqm=vqQt_1Az_q hA_p 7_vqp_mWjM3kdQ -Sj_=h.;c( -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj -3lSqm=vqQt_1Az_q hA_p 7_vqp_mWjM3k4Q -Sjv=qQ_tqA_z1 Ahqp7 _vpq_mSW -Qh4=_(.c;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_Mj3 -=SmqtvQqz_A1h_ q Ap_q7v_Wpm_kj3MSj -Qhj=_44j_SH -Qq4=vqQt_1Az_q hA_p 7_vqp_mWjM3kds; -R:fjjNRlOmER)b.RsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_bj3 -=Smhc_. -jSQ=QqvtAq_z 1_hpqA v_7qm_pW3_jk -M4S=Q4qtvQqz_A1h_ q Ap_q7v_Wpm_kj3M -j;sjRf:ljRNROEQRheblsHR1z7_jjj_aQh_sj3 -=Smz_71j_jjQ_hajM3kdQ -Sjv=1_Qqvtnqr9s; -R:fjjNRlOqERhR7.blsHR1z7_jjj_aQh_lj3 -=Smz_71j_jjQ_hajM3k4Q -Sj_=qO9rj -4SQ=_1vqtvQq9rn;R -sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_haj -3MSzm=7j1_jQj_hja_3jkM -jSQ=1z7_jjj_aQh -4SQ=1z7_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR1z7_jjj_aQh_bj3 -=Smhd_. -jSQ=1z7_jjj_aQh_kj3MS4 -Qz4=7j1_jQj_hja_3jkM;R -sfjj:ROlNEhRQesRbHqlRjv_7q3_jsm -S=_qj7_vqjM3kdQ -Sj_=h.;c( -fsRjR:jlENOR7qh.sRbHqlRjv_7q3_jlm -S=_qj7_vqjM3k4Q -Sjj=q_q7v -4SQ=.h_c -(;sjRf:ljRNROEq.h7RHbsljRq_q7v_Mj3 -=Smq7j_vjq_3jkM -jSQ=#bF_ OD\j3q_q7v_Sd -Qq4=jv_7q3_jk;Md -fsRjR:jlENOR.m)RHbsljRq_q7v_bj3 -=Smh._. -jSQ=_qj7_vqjM3k4Q -S4j=q_q7v_kj3M -j;sjRf:ljRNROEQRheblsHR_)Wj_jj7_vqj -3sS)m=Wj_jjv_7q3_jk -MdS=Qjhc_.(s; -R:fjjNRlOqERhR7.blsHR_)Wj_jj7_vqj -3lS)m=Wj_jjv_7q3_jk -M4S=Qj)jW_j7j_vSq -Qh4=_(.c;R -sfjj:ROlNEhRq7b.RsRHl)jW_j7j_vjq_3SM -mW=)_jjj_q7v_kj3MSj -Qhj=_..U -4SQ=_)Wj_jj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHl)jW_j7j_vjq_3Sb -m_=h4Sg -Q)j=Wj_jjv_7q3_jk -M4S=Q4)jW_j7j_vjq_3jkM;R -sfjj:ROlNEhRQesRbHplR7j1_jQj_hja_3Ss -m7=p1j_jjh_Qa3_jk -MdS=Qj1qv_vqQtr;n9 -fsRjR:jlENOR7qh.sRbHplR7j1_jQj_hja_3Sl -m7=p1j_jjh_Qa3_jk -M4S=Qjb_F#O\D 34kMjl_#_HNloHN_ -4SQ=_1vqtvQq9rn;R -sfjj:ROlNEhRq7b.RsRHlp_71j_jjQ_haj -3MSpm=7j1_jQj_hja_3jkM -jSQ=1p7_jjj_aQh -4SQ=1p7_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR1p7_jjj_aQh_bj3 -=Smh(_4 -jSQ=1p7_jjj_aQh_kj3MS4 -Qp4=7j1_jQj_hja_3jkM;R -sfjj:ROlNEhRQesRbHAlRtiqB_jjd_aQh_sj3 -=SmABtqid_jjh_Qa3_jk -MdS=Qjb_F#O\D 3nkM_NLoOj _j -j;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_haj -3lSAm=tiqB_jjd_aQh_kj3MS4 -QAj=tiqB_jjj_SO -Qb4=FO#_D3 \k_MnLOoN j_jjs; -R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3SM -mt=Aq_Bij_djQ_hajM3kjQ -Sjt=Aq_Bij_djQ -haS=Q4ABtqid_jjh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbsltRAq_Bij_djQ_haj -3bShm=_ -4jS=QjABtqid_jjh_Qa3_jk -M4S=Q4ABtqid_jjh_Qa3_jk;Mj -fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haHm -S=qAtBji_dQj_hHa_ -jSQ=qAtBji_dQj_h -a;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qm_pW -_HSqm=vqQt_1Az_q hA_p 7_vqp_mWHQ -Sjv=qQ_tqA_z1 Ahqp7 _vpq_m -W;sjRf:ljRNROEq.h7RHbslMRk4l_NH_oNL_k#CLMNDDC_FSI -mM=k4l_NH_oNL_k#CLMNDDC_FSI -Qqj=vqQt_1Az_q hA_p 7_vqp_mWHQ -S4t=Aq_Bij_djQ_haHs; -R:fjjNRlOQERhbeRsRHl7j1_j j_hpqA 3_jsm -S=_71j_jj Ahqpj _3dkM -jSQ=.h_( -U;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p j -3lS7m=1j_jjh_ q Ap_kj3MS4 -Qkj=M14_vv_qQ_tqjJ_#lNkG_Sd -Qh4=_U.(;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA 3_jMm -S=_71j_jj Ahqpj _3jkM -jSQ=_71j_jj AhqpS -Q74=1j_jjh_ q Ap_kj3M -d;sjRf:ljRNROEmR).blsHR_71j_jj Ahqpj _3Sb -m_=hnQ -Sj1=7_jjj_q hA_p jM3k4Q -S41=7_jjj_q hA_p jM3kjs; -R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBj -3sSqm=1d_jjj_jjY_1hjB_3dkM -jSQ=dh_n -n;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB3_jlm -S=_q1j_djj_jj1BYh_kj3MS4 -Qbj=FO#_D3 \k_MdNj#_d8j_jQ -S4_=hd;nn -fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1hjB_3SM -m1=q_jjd_jjj_h1YB3_jk -MjS=Qjqj1_djj_j1j_Y -hBS=Q4qj1_djj_j1j_Y_hBjM3kds; -R:fjjNRlOmER)b.RsRHlqj1_djj_j1j_Y_hBj -3bShm=_S( -Qqj=1d_jjj_jjY_1hjB_34kM -4SQ=_q1j_djj_jj1BYh_kj3M -j;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p 4m -S=6h_gQ -Sj_=hnQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHklRMz4_7j1_jQj_hSa -mM=k47_z1j_jjh_Qa -_jS=Qj7j1_j j_hpqA Q -S47=z1j_jjh_Qa;_H fsRjR:jlENOR7qh.sRbHklRMp4_7j1_jQj_hSa mM=k47_p1j_jjh_Qa _jS=Qj7j1_j j_hpqA Q S47=p1j_jjh_Qa;_H -fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1h4B_ -=Smh(_c_Sj -Qhj=_H(_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslFRb#D_O q\3jv_7q__djd_N -=Smb_F#O\D 3_qj7_vqdQ -Sjt=Aq_Bij_djQ_haHQ -S47=z1j_jj;_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_Wpm_Hd___N.jd_N -=Smhj_44Q -Sj_=qO9r4 -4SQ=qAtBji_dQj_hHa_;R -sfjj:ROlNEhRQesRbHqlR_4Hr9m -S=Hq_r -49S=Qjqr_O4 -9;sjRf:ljRNROEq.h7RHbslFRb#D_O q\3vqQt_1Az_q hA_p 7_vq]]Qt_Hd___N.jd_N -=Smhj_4.Q -Sj_=qH9r4 -4SQ=qAtBji_dQj_hHa_;R -sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_#d_JGlkN__HHd_N -=Smh4_.(Q -Sj_=h. -c(S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRqAtBji_dQj_h4a_ -=Smhc_c_Sj -Qhj=__4jHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHplR7j1_jQj_h4a_ -=Smhd_c_Sj -Qhj=__4(HQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbH)lRWj_jjv_7q -_.Shm=__c4jQ -Sj_=h4Hg_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsljRq_q7v_S4 -m_=hdjU_ -jSQ=.h_. -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR1z7_jjj_aQh_S4 -m_=hdj(_ -jSQ=.h_d -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA v_7qm_pW -_4Shm=__dnjQ -Sj_=h.Hc_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]4m -S=dh_6 -_jS=Qjh6_._SH -Q)4=1Oa_;R -sfjj:ROlNEhRQesRbHhlR_.4j_SH -m_=h4_j.HQ -Sj_=h4;j. -fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j -3sSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MSd -Qhj=_(.c;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]3_jlm -S=QqvtAq_z 1_hpqA v_7qQ_]tj]_34kM -jSQ=QqvtAq_z 1_hpqA v_7qQ_]tS] -Qh4=_(.c;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]3_jMm -S=QqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM -jSQ=4h_jH._ -4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3dkM;R -sfjj:ROlNE)Rm.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_bj3 -=Smh6_. -jSQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_34kM -4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM;R -sfjj:ROlNEhRQesRbHhlR_44j_SH -m_=h4_j4HQ -Sj_=h4;j4 -fsRjR:jlENOR7qh.sRbHklRMC6__Hj___Ndjm -S=.h_4Sn -Qhj=_U4d -4SQ=kOb_0C#_.Hr9s; -R:fjjNRlOqERhR7.blsHR6kM_jC__NH_dm -S=.h_4S6 -Qhj=_44n -4SQ=kOb_0C#r;.9 -fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj___Ndj9rd -=Smh4_.cQ -Sj_=h4_cdHQ -S4b=Ok#_C09r.;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__jj__jN.dr9m -S=.h_4S. -Qhj=_d4c -4SQ=kOb_0C#r;.9 -fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj__Nj_d9r4 -=Smh4_.jQ -Sjb=Ok#_C09r4 -4SQ=kOb_0C#_jHr9s; -R:fjjNRlOqERhR7.blsHR1) ma_z.a__jj__ -NdShm=_(4U -jSQ=1) ma_zSa -Q)4=1Oa_;R +fsRjR:jlENOR7qh.sRbHklRMz4_7j1_jQj_hSa +mM=k47_z1j_jjh_Qa +_jS=Qj7j1_j j_hpqA Q +S47=z1j_jjh_Qa;_H +fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa3_jsm +S=1z7_jjj_aQh_kj3MSd +Q1j=vv_qQrtqn +9;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa3_jlm +S=1z7_jjj_aQh_kj3MS4 +Qqj=_jOr9Q +S4v=1_Qqvtnqr9s; +R:fjjNRlOqERhR7.blsHR1z7_jjj_aQh_Mj3 +=Smz_71j_jjQ_hajM3kjQ +Sj7=z1j_jjh_QaQ +S47=z1j_jjh_Qa3_jk;Md +fsRjR:jlENOR.m)RHbsl7Rz1j_jjh_Qa3_jbm +S=.h_dQ +Sj7=z1j_jjh_Qa3_jk +M4S=Q4z_71j_jjQ_hajM3kjs; +R:fjjNRlOQERhbeRsRHlqj1_jQj_hja_3Ss +m1=q_jjj_aQh_kj3MSd +Qhj=_;Uj +fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa3_jlm +S=_q1j_jjQ_hajM3k4Q +Sj_=h4 +n(S=Q4hj_U;R +sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja_3SM +m1=q_jjj_aQh_kj3MSj +Qqj=1j_jjh_QaQ +S41=q_jjj_aQh_kj3M +d;sjRf:ljRNROEmR).blsHR_q1j_jjQ_haj +3bShm=_ +.4S=Qjqj1_jQj_hja_34kM +4SQ=_q1j_jjQ_hajM3kjs; +R:fjjNRlOQERhbeRsRHl7B1qiQ4_hja_3Ss +m1=7q4Bi_aQh_kj3MSd +Qhj=_n.6;R +sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja_3Sl +m1=7q4Bi_aQh_kj3MS4 +Qhj=_g4n +4SQ=.h_6 +n;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_Mj3 +=Sm7B1qiQ4_hja_3jkM +jSQ=q71B_i4Q +haS=Q47B1qiQ4_hja_3dkM;R +sfjj:ROlNE)Rm.sRbH7lR1iqB4h_Qa3_jbm +S=.h_jQ +Sj1=7q4Bi_aQh_kj3MS4 +Q74=1iqB4h_Qa3_jk;Mj +fsRjR:jlENOReQhRHbslbROk#_C0r_H4S9 +mb=Ok#_C0r_H4S9 +QOj=bCk_#40r9s; +R:fjjNRlOQERhbeRsRHle_vqQ_haj +3sSem=vQq_hja_3dkM +jSQ=#bF_ OD\M3kgD_O j_jjC_b;R +sfjj:ROlNEhRq7b.RsRHle_vqQ_haj +3lSem=vQq_hja_34kM +jSQ=kOb_0C#_4Hr9Q +S4F=b#D_O k\3MOg_Dj _jbj_Cs; +R:fjjNRlOqERhR7.blsHRqev_aQh_Mj3 +=Sme_vqQ_hajM3kjQ +Sjv=eqh_QaQ +S4v=eqh_Qa3_jk;Md +fsRjR:jlENOR.m)RHbslvReqh_Qa3_jbm +S=4h_gQ +Sjv=eqh_Qa3_jk +M4S=Q4e_vqQ_hajM3kjs; +R:fjjNRlOQERhbeRsRHlp_71j_jjQ_haj +3sSpm=7j1_jQj_hja_3dkM +jSQ=_1vqtvQq9rn;R +sfjj:ROlNEhRq7b.RsRHlp_71j_jjQ_haj +3lSpm=7j1_jQj_hja_34kM +jSQ=#bF_ OD\M3k4#j_ll_NH_oNHQ +S4v=1_Qqvtnqr9s; +R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_Mj3 +=Smp_71j_jjQ_hajM3kjQ +Sj7=p1j_jjh_QaQ +S47=p1j_jjh_Qa3_jk;Md +fsRjR:jlENOR.m)RHbsl7Rp1j_jjh_Qa3_jbm +S=4h_cQ +Sj7=p1j_jjh_Qa3_jk +M4S=Q4p_71j_jjQ_hajM3kjs; +R:fjjNRlOQERhbeRsRHlk_M4NolHNk_L#M_CNCLD_IDF_SH +mM=k4l_NH_oNL_k#CLMNDDC_FHI_ +jSQ=4kM_HNloLN_kC#_MDNLCF_DIs; +R:fjjNRlOQERhbeRsRHlk4M._kVb__O#Hm +S=.kM4b_Vk#_O_SH +Qkj=M_.4V_bkO +#;sjRf:ljRNROEQRheblsHRpQu_jjd_4j__ +3sSQm=ujp_djj__34_k +MdS=Qjb_F#O\D 3DHb;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__43Sl +mu=Qpd_jj__j4k_3MS4 +QQj=uOp_r +49S=Q4b_F#O\D 3DHb;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__43SM +mu=Qpd_jj__j4k_3MSj +QQj=ujp_dOj_r +49S=Q4Q_upj_djj__43dkM;R +sfjj:ROlNE)Rm.sRbHQlRujp_djj__34_bm +S=.h_UQ +Sju=Qpd_jj__j4k_3MS4 +QQ4=ujp_djj__34_k;Mj +fsRjR:jlENOReQhRHbsluRQpd_jj__jjs_3 +=SmQ_upj_djj__j3dkM +jSQ=#bF_ OD\b3HDs; +R:fjjNRlOqERhR7.blsHRpQu_jjd_jj__ +3lSQm=ujp_djj__3j_k +M4S=QjQ_upO9rj +4SQ=#bF_ OD\b3HDs; +R:fjjNRlOqERhR7.blsHRpQu_jjd_jj__ +3MSQm=ujp_djj__3j_k +MjS=QjQ_upj_djO9rj +4SQ=pQu_jjd_jj__M3kds; +R:fjjNRlOmER)b.RsRHlQ_upj_djj__j3Sb +m_=h.S( +QQj=ujp_djj__3j_k +M4S=Q4Q_upj_djj__j3jkM;R +sfjj:ROlNEhRQesRbHOlRbCk_#j0__3d_sm +S=kOb_0C#_dj__M3kdQ +Sj_=h4;dc +fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__3d_lm +S=kOb_0C#_dj__M3k4Q +Sjb=Ok#_C09rd +4SQ=4h_d +c;sjRf:ljRNROEq.h7RHbslbROk#_C0__jdM_3 +=SmO_bkC_#0j__d3jkM +jSQ=4h_gHn_ +4SQ=kOb_0C#_dj__M3kds; +R:fjjNRlOmER)b.RsRHlO_bkC_#0j__d3Sb +m_=h4Sd +QOj=bCk_#j0__3d_k +M4S=Q4O_bkC_#0j__d3jkM;R sfjj:ROlNEhRQesRbHOlRbCk_#j0__3._sm S=kOb_0C#_.j__M3kdQ -Sj_=h4;dj +Sj_=h4;dc fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__3._lm S=kOb_0C#_.j__M3k4Q Sjb=Ok#_C09r. 4SQ=4h_d -j;sjRf:ljRNROEq.h7RHbslbROk#_C0__j.M_3 +c;sjRf:ljRNROEq.h7RHbslbROk#_C0__j.M_3 =SmO_bkC_#0j__.3jkM jSQ=kOb_0C#_..r9Q S4b=Ok#_C0__j.k_3M d;sjRf:ljRNROEmR).blsHRkOb_0C#_.j__ 3bShm=_ -46S=QjO_bkC_#0j__.34kM +4.S=QjO_bkC_#0j__.34kM 4SQ=kOb_0C#_.j__M3kjs; R:fjjNRlOQERhbeRsRHlO_bkC_#0j__43Ss mb=Ok#_C0__j4k_3MSd -Qhj=_j4d;R +Qhj=_c4d;R sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__43Sl mb=Ok#_C0__j4k_3MS4 QOj=bCk_#40r9Q -S4_=h4;dj +S4_=h4;dc fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__34_Mm S=kOb_0C#_4j__M3kjQ Sjb=Ok#_C0r_.4S9 QO4=bCk_#j0__34_k;Md fsRjR:jlENOR.m)RHbslbROk#_C0__j4b_3 -=Smhc_4 +=Smh4_4 jSQ=kOb_0C#_4j__M3k4Q S4b=Ok#_C0__j4k_3M -j;sjRf:ljRNROEq.h7RHbslvReqh_Qa -_4Shm=__dgjQ -Sj_=h.H4_ -4SQ=a)1_ -O;sjRf:ljRNROEQRheblsHRkOb_0C#_4Hr9m -S=kOb_0C#_4Hr9Q -Sjb=Ok#_C09r4;R -sfjj:ROlNEhRQesRbHelRvQq_hja_3Ss -mv=eqh_Qa3_jk -MdS=Qjb_F#O\D 3gkM_ OD_jjj_;bC -fsRjR:jlENOR7qh.sRbHelRvQq_hja_3Sl -mv=eqh_Qa3_jk -M4S=QjO_bkC_#0H9r4 -4SQ=#bF_ OD\M3kgD_O j_jjC_b;R -sfjj:ROlNEhRq7b.RsRHle_vqQ_haj -3MSem=vQq_hja_3jkM -jSQ=qev_aQh -4SQ=qev_aQh_kj3M -d;sjRf:ljRNROEmR).blsHRqev_aQh_bj3 -=Smh4_. -jSQ=qev_aQh_kj3MS4 -Qe4=vQq_hja_3jkM;R -sfjj:ROlNEhRq7b.RsRHlkcM4_HNloLN_k8#_N_0N8_HsH__jjm -S=.h_Uj._ -jSQ=qAtBji_dQj_hHa_ -4SQ=_)Wj_jjHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kno_LN_O j_jjj -_jSbm=FO#_D3 \k_MnLOoN j_jj -_jS=QjABtqij_jj -_OS=Q4h6_.g;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MnLOoN j_jj__jj._N -=Smh6_.gQ -Sj1=q_jjj_SO -Qh4=_44d_ -H;sjRf:ljRNROEq.h7RHbslQR1Z7 _vdq__l#Jk_GNH._F_NH_.m -S=.h_cS( -QAj=tiqB_jjd_aQh -4SQ=qAtBji_dQj_h7a_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_jH__jj___F..9rj -=Smh6_4. -_HS=Qjhg_d. -_HS=Q4hg_dd;_H -fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj__Fj_.9r. -=Smhc_4d -_HS=QjO_bkCr#0jS9 -QO4=bCk_#40r9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_b_jj__ -F.Shm=_.4c_SH -QOj=bCk_#H0_r -j9S=Q4O_bkC_#0H9r.;R -sfjj:ROlNEhRQesRbHOlRbCk_#H0_r -d9SOm=bCk_#H0_r -d9S=QjO_bkCr#0d -9;sjRf:ljRNROEq.h7RHbslMRk6__Cj__HFS. -m_=h4_dUjQ -Sjb=Ok#_C09rd -4SQ=kOb_0C#_4Hr9s; -R:fjjNRlOqERhR7.blsHR6kM_jC__SH -m_=hcHj_ -jSQ=.h_4H6_ -4SQ=.h_4Hn_;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__jjr_j.S9 -mb=Ok#_C0__.j9r. -jSQ=.h_4H._ -4SQ=.h_6HU_;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__jjr_j4S9 -mb=Ok#_C0__.j9r4 -jSQ=.h_4Hj_ -4SQ=.h_4H4_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__bCj -_jSbm=FO#_D3 \k_MgO_D j_jjbjC_ -jSQ=.h_jHU_ -4SQ=.h_jHg_;R -sfjj:ROlNEhRq7b.RsRHl) 1az_ma__.j -_jShm=__6UjQ -Sj_=h4_U(HQ -S4_=h4_UUHs; -R:fjjNRlOqERhR7.blsHRkOb_0C#_j.__jj__rN..S9 -m_=h. -6US=Qjhc_4d -_HS=Q4O_bkC_#0H9r.;R -sfjj:ROlNEhRQesRbH7lRaiqB__7jHm -S=q7aB7i_j -_HS=Qj7Baqij_7;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_jH__jj___N.69rj -=Smhg_ddQ -Sja=7q_Bi7Hj_ -4SQ=qeu_ -7;sjRf:ljRNROEQRheblsHRqeu_H7_ -=Sme_uq7 -_HS=Qje_uq7s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0H9r. -=SmO_bkC_#0H9r. -jSQ=kOb_0C#r;.9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__jj_r -49Sbm=FO#_D3 \1 QZ_q7v_jn_r -49S=Qjh._.c +j;sjRf:ljRNROEq.h7RHbsluRQpd_jjr_4.S9 +m_=hdjd_ +jSQ=.h_g _HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\137_jjj_q7v_Vc_j__jjm -S=#bF_ OD\137_jjj_q7v_jc_ -jSQ=.h_.H6_ -4SQ=#bF_ OD\M3k.L4_o NO_jjd_0HM_jH__ -j;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_#4_JGlkN__Hjm -S=.h_(j6_ -jSQ=iBp_jjd_HO_ -4SQ=#bF_ OD\M3k.L4_o NO_jjd_0HM_jH__ -j;sjRf:ljRNROEQRheblsHR1) ma_zHa_ -=Sm) 1az_ma -_HS=Qj) 1az_mas; -R:fjjNRlOqERhR7.blsHR4kM__N#j_jjH._N_SH -m_=hd_nUHQ -Sjt=Aq_Bij_djQ -haS=Q4) 1az_mas; -R:fjjNRlOQERhbeRsRHlB_pij_jj7r_HjS9 -mp=Bij_jj__7H9rj -jSQ=iBp_jjj_j7r9s; -R:fjjNRlOqERhR7.blsHRdh_4Hj__Fj_.m -S=4h_dH4_ -jSQ=iBp_jjj_j7r9Q -S4p=Bij_jj__7H9r4;R -sfjj:ROlNEmRX)b.RsRHlb_F#O\D 3.kM4o_LN_O j_djH_M0H__jF..__ -G.Shm=_.4d_SH -QBj=Y Bp_q7vr -j9S=Q4BpYB v_7q9r4;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jj._Fr -j9Shm=_n46_SH -Qpj=7j1_jOj__SH -Qz4=7j1_jOj__ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_j6__jH__ -FdShm=__n(HQ -Sjt=Aq_Bij_djQ_haHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHBlRpji_d]j__H.__Fj_.m -S=4h_njc_ -jSQ=_q1j_jj7_vqHQ -S4p=Bid_jj__OHs; -R:fjjNRlOXERmR).blsHR#bF_ OD\Y3BB_p 7_vq6__4H._G -=Smhn_4U -_HS=QjBpYB v_7q9r4 -4SQ=4h_j -n;sjRf:ljRNROEQRheblsHR.Q_dS4 -m1=q_jjj_SH -Qqj=1j_jj;_O -fsRjR:jlENOReQhRHbsl RMX1u_u qB_SH -m =MX1u_u qB_SH -QMj= _Xu1Buq ;_O -fsRjR:jlENOR7qh.sRbHklRMC6__Hj___F.jm -S=4h_nj4_ -jSQ=kOb_0C#r -49S=Q4O_bkC_#0H9rd;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jjd_Nr -j9Shm=_d.. -jSQ=qAtBji_dQj_hHa_ -4SQ=4h_6 -n;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jj__jN4dr9m -S=.h_.Sc -QAj=tiqB_jjd_aQh_SH -Qh4=_n46_ -H;sjRf:ljRNROEQRheblsHR.Q_dS. -mW=)_jjj_SH -Q)j=Wj_jj;_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \7j1_j7j_vcq___Vjj__jNSd -m_=h. -.6S=Qjqj1_j7j_vSq -Q)4=Wj_jj;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)jW_jQj_h6a__jj__ -NdShm=_n.. -jSQ=4h_dH4_ -4SQ=_1vqtvQq9rj;R -sfjj:ROlNEhRq7b.RsRHl7j1_j7j_v.q__l#Jk_GNH__jNSd -m_=h. -.(S=QjB_pij_dj]Q -S4p=Bid_jj;_O -fsRjR:jlENOR7qh.sRbHklRMNd_#d_jj__HNj.__ -NdShm=_U4j -jSQ=4h_4Sj -QM4= _Xu1Buq ;_H -fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_SH -m1=q_jjj_q7v_SH -Qqj=1j_jjv_7qs; -R:fjjNRlOqERhR7.blsHRnkM__N#j_djjd_N -=Smk_MnNj#_dSj -Qqj=1j_jjv_7q -_HS=Q4qj1_jHj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM4o_LN_O j_djH_M0H__jF..__ -N.Shm=_(.d -jSQ=1p7_jjj_SO -Qz4=7j1_jOj_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0j#__jj__rN.4S9 -m_=hd -g4S=Qjhd_44 -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR4kM__sIH._N_Nj_.m -S=4h_4Sj -QAj=tiqB_jjd_aQh_SH -Q)4= a1 _amz;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0j#__jj_r -69S1m=vv_qQ_tqM_##j9r. -jSQ=4h_gHg_ -4SQ=.h_jHj_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)__jj_ -=SmqtvQqz_A1q_7a7q_QO)__Sj -Qhj=_4.j_SH -Qh4=_..j_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jjr_jjS9 -mF=b#D_O 1\3Q_Z 7_vqnr_jjS9 -Qhj=_d.._SH +R:fjjNRlOqERhR7.blsHRpQu_jjd_44r9m +S=dh_. +_jS=QjhU_._SH Q)4=1Oa_;R -sfjj:ROlNEmRX)b.RsRHlB_pim_zau_) .j6_ -=Smhj_d -jSQ=iBp_amz_ u)_ -.6S=Q4B_pim_zau_) 6 -j;sjRf:ljRNROEX.m)RHbsl_Rt4 -4gShm=_c.c -jSQ=pQu_r7jjS9 -QQ4=uOp_r;j9 -fsRjR:jlENOR)Xm.sRbHtlR_j4. -=Smhc_.6Q +sfjj:ROlNEhRq7b.RsRHlQ_upj_dj49rj +=Smh4_d_Sj +Qhj=__.(HQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHQlRu7p_jr_j.S9 +m_=h6jc_ +jSQ=pQu_HO_r +.9S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRpQu__7jj9r4 +=Smhd_6_Sj +QQj=uOp__4Hr9Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbHQlRu7p_jr_jjS9 +m_=h6j._ +jSQ=pQu_HO_r +j9S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_S4 +m_=hcjn_ +jSQ=4h_c +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRqev_aQh_S4 +m_=hcj4_ +jSQ=4h_g +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRq71B_i4Q_ha4m +S=ch_j +_jS=Qjhj_._SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlqj1_jQj_h4a_ +=Smhg_d_Sj +Qhj=__.4HQ +S41=)a;_O +fsRjR:jlENOReQhRHbslbROk#_C0r_H.S9 +mb=Ok#_C0r_H.S9 +QOj=bCk_#.0r9s; +R:fjjNRlOqERhR7.blsHRkOb_0C#_H.__jj__rNddS9 +m_=h. +..S=Qjh6_4cQ +S4b=Ok#_C0r_H. +9;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jjd_Nr +.9Shm=_4.. +jSQ=4h_6Sd +QO4=bCk_#.0r9s; +R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rj +=SmO_bkC_#0H9rj +jSQ=kOb_0C#r;j9 +fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj__Nj_d9r4 +=Smh4_.gQ +Sjb=Ok#_C09r4 +4SQ=kOb_0C#_jHr9s; +R:fjjNRlOQERhbeRsRHl)_1a7_pYH9r4 +=Sm)_1a7_pYH9r4 +jSQ=a)1_Y7pr;49 +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_4__Hjd_N_Sj +m_=h. +jcS=Qjhn_4n +_HS=Q4)_1a7_pYH9r4;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH4__Nj_dm +S=.h_jSd +Qhj=_.4c +4SQ=4h_6Hn_;R +sfjj:ROlNEhRQesRbH)lR17a_pHY_r +.9S)m=17a_pHY_r +.9S=Qj)_1a7rpY. +9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH___Nd4m +S=.h_jS4 +Qhj=_c4d +4SQ=a)1_Y7p_.Hr9s; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C.H__jNjd_ +=Smhj_.jQ +Sj_=h4 +c.S=Q4hc_dds; +R:fjjNRlOXERmR).blsHR4t_jSg +m_=h. +4.S=QjQ_up7.jr9Q +S4u=Qpr_O. +9;sjRf:ljRNROEX.m)RHbsl_Rt4 +jUShm=_4.4 +jSQ=pQu_r7j4S9 +QQ4=uOp_r;49 +fsRjR:jlENOR)Xm.sRbHtlR_(4j +=Smh4_.jQ Sju=Qpj_7r -49S=Q4Q_upO9r4;R -sfjj:ROlNEmRX)b.RsRHlt._44m -S=.h_cSn -QQj=u7p_j9r. -4SQ=pQu_.Or9s; -R:fjjNRlOQERhbeRsRHl1 QZ_q7v_4Hr9m -S=Z1Q v_7qr_H4S9 -Q1j=Q_Z 7rvq4 -9;sjRf:ljRNROEq.h7RHbslMRkcH_#xSC -mM=kcH_#xSC -Q1j=Q_Z 7rvqjS9 -Q14=Q_Z 7_vqH9r4;R -sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqH9rj -=Sm1 QZ_q7v_jHr9Q -SjQ=1Z7 _vjqr9s; -R:fjjNRlOqERhR7.blsHRdkM_x#HCm -S=dkM_x#HCQ -SjQ=1Z7 _v4qr9Q -S4Q=1Z7 _vHq_r;j9 -fsRjR:jlENOReQhRHbsl1Rq_jjd__7jHm -S=_q1j_dj7Hj_ -jSQ=_q1j_dj7 -j;sjRf:ljRNROEQRheblsHRBBYp7 _vHq_r -j9SBm=Y Bp_q7v_jHr9Q -SjY=BB_p 7rvqj -9;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_j6__jH__ -NdShm=_n4U -jSQ=BBYp7 _vHq_r -j9S=Q4hd_44s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#j__jjd_N_6jr9m -S=.h_jSj -Qhj=_4dg -4SQ=_1vqtvQq9rn;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)__jj__ -NdShm=_4.j -jSQ=qAtBji_dQj_hSa -Q)4=Wj_jj;_H -fsRjR:jlENOReQhRHbslpRBid_jj__]Hm -S=iBp_jjd_H]_ -jSQ=iBp_jjd_ -];sjRf:ljRNROEq.h7RHbslpRBid_jj__].__Hjd_N -=Smh._..Q -Sjp=Bid_jj__]HQ -S4_=h4;nc -fsRjR:jlENOReQhRHbslpRBiz_ma)_u j_6_SH -mp=Biz_ma)_u j_6_SH -QBj=pmi_zua_)6 _js; -R:fjjNRlOqERhR7.blsHR4t_4Sc -m_=h4 -jnS=QjBpYB v_7q9rj -4SQ=4h_dH4_;R -sfjj:ROlNEhRQesRbHhlR_c.c_SH -m_=h._ccHQ -Sj_=h.;cc -fsRjR:jlENOReQhRHbsl_Rh._c6Hm -S=.h_cH6_ -jSQ=.h_c -6;sjRf:ljRNROEQRheblsHR.h_cHn_ -=Smhc_.n -_HS=Qjhc_.ns; -R:fjjNRlOQERhbeRsRHlQd_.gm -S=Qq]tH]_r9.c -jSQ=Qq]tO]_r9.c;R -sfjj:ROlNEhRQesRbHQlR_j.c -=Smqt]Q]r_H. -69S=Qjqt]Q]r_O.;69 -fsRjR:jlENOReQhRHbsl_RQ. -d(Sqm=]]Qt_.HrnS9 -Qqj=]]Qt_.Orn -9;sjRf:ljRNROEQRheblsHR.Q_dSU -m]=qQ_t]H(r.9Q -Sj]=qQ_t]O(r.9s; -R:fjjNRlOQERhbeRsRHlQd_.6m -S=Qq]tH]_r9.U -jSQ=Qq]tO]_r9.U;R -sfjj:ROlNEhRQesRbHQlR_n.d -=Smqt]Q]r_H. -g9S=Qjqt]Q]r_O.;g9 -fsRjR:jlENOReQhRHbsl_RQ. -ddSqm=]]Qt_dHrjS9 -Qqj=]]Qt_dOrj -9;sjRf:ljRNROEQRheblsHR.Q_dSc -m]=qQ_t]H4rd9Q -Sj]=qQ_t]O4rd9s; -R:fjjNRlOqERhR7.blsHRpQu_jjd_j4r9m -S=dh_4 -_jS=Qjh(_._SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_dj49r4 -=Smh._d_Sj -Qhj=__.UHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHQlRujp_d4j_r -.9Shm=__ddjQ -Sj_=h.Hg_ -4SQ=a)1_ -O;sjRf:ljRNROEQRheblsHRZ1Q v_7q__jjs_3 -=Sm1 QZ_q7v_jj__M3kdQ -Sj_=h.;4( -fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__j3Sl -mQ=1Z7 _vjq__3j_k -M4S=Qj1 QZ_q7vr -j9S=Q4h4_.(s; -R:fjjNRlOqERhR7.blsHRZ1Q v_7q__jjM_3 -=Sm1 QZ_q7v_jj__M3kjQ -SjF=b#D_O 1\3Q_Z 7_vqn9rj -4SQ=Z1Q v_7q__jjk_3M -d;sjRf:ljRNROEmR).blsHRZ1Q v_7q__jjb_3 -=Smh4_4 -jSQ=Z1Q v_7q__jjk_3MS4 -Q14=Q_Z 7_vqj__j3jkM;R -sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqj__43Ss -mQ=1Z7 _vjq__34_k -MdS=Qjh4_.(s; -R:fjjNRlOqERhR7.blsHRZ1Q v_7q__j4l_3 -=Sm1 QZ_q7v_4j__M3k4Q -SjQ=1Z7 _v4qr9Q -S4_=h.;4( -fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__43SM -mQ=1Z7 _vjq__34_k -MjS=Qjb_F#O\D 3Z1Q v_7qr_n4S9 -Q14=Q_Z 7_vqj__43dkM;R -sfjj:ROlNE)Rm.sRbH1lRQ_Z 7_vqj__43Sb -m_=h4S. -Q1j=Q_Z 7_vqj__434kM -4SQ=Z1Q v_7q__j4k_3M -j;sjRf:ljRNROEQRheblsHRpQu_jjd_jj__ -3sSQm=ujp_djj__3j_k -MdS=Qjb_F#O\D 3DHb;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__j3Sl -mu=Qpd_jj__jjk_3MS4 -QQj=uOp_r -j9S=Q4b_F#O\D 3DHb;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__j3SM -mu=Qpd_jj__jjk_3MSj -QQj=ujp_dOj_r -j9S=Q4Q_upj_djj__j3dkM;R -sfjj:ROlNE)Rm.sRbHQlRujp_djj__3j_bm -S=.h_(Q -Sju=Qpd_jj__jjk_3MS4 -QQ4=ujp_djj__3j_k;Mj -fsRjR:jlENOReQhRHbsluRQpd_jj__j4s_3 -=SmQ_upj_djj__43dkM -jSQ=#bF_ OD\b3HDs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_4j__ -3lSQm=ujp_djj__34_k -M4S=QjQ_upO9r4 -4SQ=#bF_ OD\b3HDs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_4j__ -3MSQm=ujp_djj__34_k -MjS=QjQ_upj_djO9r4 -4SQ=pQu_jjd_4j__M3kds; -R:fjjNRlOmER)b.RsRHlQ_upj_djj__43Sb -m_=h.SU -QQj=ujp_djj__34_k -M4S=Q4Q_upj_djj__43jkM;R +j9S=Q4Q_upO9rj;R sfjj:ROlNEhRQesRbHQlRujp_djj__3._sm S=pQu_jjd_.j__M3kdQ SjF=b#D_O H\3b @@ -3334,76 +2462,842 @@ d;sjRf:ljRNROEmR).blsHRpQu_jjd_.j__ 3bShm=_ .gS=QjQ_upj_djj__.34kM 4SQ=pQu_jjd_.j__M3kjs; -R:fjjNRlOQERhbeRsRHlk_MnNj#_dHj_ -=Smk_MnNj#_dHj_ -jSQ=nkM__N#j;dj -fsRjR:jlENOReQhRHbslMRkc#_N_jjj_SH -mM=kc#_N_jjj_SH -Qkj=MNc_#j_jjs; -R:fjjNRlOQERhbeRsRHl7j1_j7j_vHq_ -=Sm7j1_j7j_vHq_ -jSQ=_71j_jj7;vq -fsRjR:jlENOR7qh.sRbHklRM8n_#d_jjm -S=nkM__8#j -djS=Qjqj1_jHj_ -4SQ=_71j_jj7_vqHs; -R:fjjNRlOQERhbeRsRHlk_Mn8j#_dHj_ -=Smk_Mn8j#_dHj_ -jSQ=nkM__8#j;dj -fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_sj3 -=Sm7j1_j7j_vjq_3dkM -jSQ=.h_( -n;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_lj3 -=Sm7j1_j7j_vjq_34kM -jSQ=#bF_ OD\137_jjj_q7v_Sc -Qh4=_n.(;R -sfjj:ROlNEhRq7b.RsRHl7j1_j7j_vjq_3SM -m1=7_jjj_q7v_kj3MSj -Q7j=1j_jjv_7qQ -S41=7_jjj_q7v_kj3M -d;sjRf:ljRNROEmR).blsHR_71j_jj7_vqj -3bShm=_Sd -Q7j=1j_jjv_7q3_jk -M4S=Q47j1_j7j_vjq_3jkM;R -sfjj:ROlNEhRQesRbHqlR1j_jjv_7q3_jsm -S=_q1j_jj7_vqjM3kdQ -Sj_=h.;(6 -fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q3_jlm -S=_q1j_jj7_vqjM3k4Q -SjF=b#D_O k\3M_.4LOoN d_jjM_H0__HjQ -S4_=h.;(6 -fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q3_jMm -S=_q1j_jj7_vqjM3kjQ -Sj1=q_jjj_q7v -4SQ=_q1j_jj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHlqj1_j7j_vjq_3Sb -m_=hUQ -Sj1=q_jjj_q7v_kj3MS4 -Qq4=1j_jjv_7q3_jk;Mj -fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q -_4Shm=__cnjQ -Sj_=hU +R:fjjNRlOqERhR7.blsHRa)1_Y7p__CjH__jNjd_ +=Smhc_.UQ +Sj_=h4_nnHQ +S41=)ap_7Yr_Hj +9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Yj_C_jH__ +NdShm=_(.c +jSQ=4h_cS. +Q)4=17a_pjYr9s; +R:fjjNRlOqERhR7.blsHR_71j_jj Ahqp4 __l#Jk_GNH__jNSd +mM=k41_7_jjj_q hA_p jJ_#lNkG +jSQ=4h_dHU_ +4SQ=4h_n +.;sjRf:ljRNROEq.h7RHbslbROk#_C0__jj__jNjd_r +j9Shm=_d.d +jSQ=4h_dHc_ +4SQ=kOb_0C#r;j9 +fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__jj__rNdjS9 +m_=h. +d.S=Qjhd_4cQ +S4b=Ok#_C0r_Hj +9;sjRf:ljRNROEq.h7RHbslMRk6__Cj__HNjd_ +=Smhd_.4Q +Sj_=h4 +(US=Q4O_bkCr#0. +9;sjRf:ljRNROEq.h7RHbslMRk6__Cj__HNSd +m_=h. +.gS=QjhU_4cQ +S4b=Ok#_C0r_H. +9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H6S9 +mv=1_QqvtHq_r +69S=Qj1qv_vqQtr;69 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jN6dr9m +S=.h_.Sn +Qhj=_(4n +4SQ=_1vqtvQqr_H6 +9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HcS9 +mv=1_QqvtHq_r +c9S=Qj1qv_vqQtr;c9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jNcdr9m +S=.h_.S6 +Qhj=_64U +4SQ=_1vqtvQqr_Hc +9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HdS9 +mv=1_QqvtHq_r +d9S=Qj1qv_vqQtr;d9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jNddr9m +S=.h_.Sc +Qhj=_j4U +4SQ=_1vqtvQqr_Hd +9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HjS9 +mv=1_QqvtHq_r +j9S=Qj1qv_vqQtr;j9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jNjdr9m +S=.h_.Sd +Qhj=_g4n +4SQ=_1vqtvQqr_Hj +9;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jj9r. +=SmO_bkC_#0.r_j.S9 +Qhj=_4.._SH +Qh4=_Udc_ +H;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jj9r4 +=SmO_bkC_#0.r_j4S9 +Qhj=_g.4_SH +Qh4=_j.._ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MOg_Dj _jbj_C__jjm +S=#bF_ OD\M3kgD_O j_jjC_b_Sj +Qhj=_cd4_SH +Qh4=_Ud4_ +H;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_#4_JGlkN__Hjm +S=.h_6jn_ +jSQ=_q1j_dj7Hj_ +4SQ=4h_n +g;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jj._Nr +.9Shm=_Udc +jSQ=4h_6Hd_ +4SQ=kOb_0C#_.Hr9s; +R:fjjNRlOqERhR7.blsHR1) ma_z4a__l#Jk_GNH__j4_4(4._N +=Smhc_ddQ +Sj_=h4_6nHQ +S41=)ap_7Y9r.;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj___N.j9rd +=Smhc_d.Q +Sj_=h4 +n6S=Q4e_uq7s; +R:fjjNRlOQERhbeRsRHle_uq7 +_HSem=u7q__SH +Qej=u7q_;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __4#kJlGHN__Nj_.m +S=.h_gSg +Q)j=W +_OS=Q41qv_vqQtr;n9 +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hj._N +=Smhg_.UQ +Sj_=h4_dcHQ +S4_=h._4cjs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjd_Nr +.9Shm=_cdd +jSQ=4h_(Sg +Q14=vv_qQ_tqH9r.;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r. +=Sm1qv_vqQt_.Hr9Q +Sjv=1_Qqvt.qr9s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_4Hr9m +S=_1vqtvQqr_H4S9 +Q1j=vv_qQrtq4 +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Nj_dr_j4S9 +m_=h. +(gS=Qj1qv_vqQt_4Hr9Q +S4v=1_QqvtHq_r;.9 +fsRjR:jlENOReQhRHbsl1R)ap_7Yr_HjS9 +m1=)ap_7Yr_HjS9 +Q)j=17a_pjYr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_b_jj__ +F.Shm=_6dj_SH +QOj=bCk_#H0_r +49S=Q4O_bkC_#0H9r.;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__Fj_. +_jShm=_n46_SH +Q)j=17a_pjYr9Q +S41=)ap_7Y9r4;R +sfjj:ROlNEhRQesRbHOlRbCk_#H0_r +d9SOm=bCk_#H0_r +d9S=QjO_bkCr#0d +9;sjRf:ljRNROEq.h7RHbslbROk#_C0__.H__jj._Fr +d9Shm=_c46_Sj +QOj=bCk_#d0r9Q +S4b=Ok#_C0r_Hj +9;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jj._Fr +.9Shm=_d46_SH +QOj=bCk_#j0r9Q +S4b=Ok#_C09r4;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__Fj_.m +S=4h_cj._ +jSQ=.h_gHU_ +4SQ=a)1_ +O;sjRf:ljRNROEQRheblsHRiBp_jjj_H7_r +49SBm=pji_j7j__4Hr9Q +Sjp=Bij_jjr_74 +9;sjRf:ljRNROEq.h7RHbslpRBij_jj _h_Fj_d__HNj.___F.H._F +=Smhd_4c +_HS=QjB_pij_jj79r4 +4SQ=iBp_jjj_H7_r;j9 +fsRjR:jlENOR7qh.sRbH)lR17a_p8YDC__HNH._ +=Smhn_4n +_HS=Qjhd_4cQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbH)lR a1 _amz_#4_JGlkN__Hj4_4( +_4Shm=_c.4_Sj +Qhj=_ddc_SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlqj1_jQj_h4a__l#Jk_GNH +_jShm=__UjjQ +Sj1=q_jjd__7jHQ +S4_=h4;n( +fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__jj_r +j9Shm=_j.d_SH +Qhj=_..d_SH +Qh4=_d.d_ +H;sjRf:ljRNROEq.h7RHbslMRk6__Cj +_HShm=_.dj_SH +Qhj=_g.._SH +Qh4=_4.d_ +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__6jr9m +S=4h_cHg_ +jSQ=4h_nHn_ +4SQ=.h_.Hn_;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__Hjr_jdS9 +m_=h4_gnHQ +Sj_=h._.4HQ +S4_=h._..Hs; +R:fjjNRlOQERhbeRsRHlB_pij_jj7r_HjS9 +mp=Bij_jj__7H9rj +jSQ=iBp_jjj_j7r9s; +R:fjjNRlOqERhR7.blsHR.h_6HU__Fj_.m +S=4h_dHU_ +jSQ=iBp_jjj_j7r9Q +S4p=Bij_jj__7H9r4;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9rn +=Sm1qv_vqQt_nHr9Q +Sjv=1_Qqvtnqr9s; +R:fjjNRlOqERhR7.blsHR4kM__1vqtvQq__j#kJlG4N__Fj_d__HNj.___F.H._F +=Smhn_4( +_HS=Qjhd_4U +_HS=Q41qv_vqQtr;n9 +fsRjR:jlENOR)Xm.sRbHblRFO#_D3 \BpYB v_7q__64__Hj._G +=Smhg_4. +_HS=QjBpYB v_7q9r4 +4SQ=.h_j +U;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Fj_.9rc +=SmhU_46 +_jS=Qjhd_4c +_HS=Q41qv_vqQtr;69 +fsRjR:jlENOR7qh.sRbHklRMC6__Hj___F.jm +S=4h_Ujc_ +jSQ=kOb_0C#r +d9S=Q4O_bkC_#0H9r4;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj___F.j9rd +=SmhU_4j +_jS=Qjhd_4U +_HS=Q41qv_vqQtr;c9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jF..r9m +S=4h_(jg_ +jSQ=4h_(H._ +4SQ=_1vqtvQq9rd;R +sfjj:ROlNEhRq7b.RsRHlk_M6C__jH._F +=Smh(_4U +_jS=QjO_bkCr#04S9 +QO4=bCk_#H0_r;d9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jFd.r9m +S=4h_(H._ +jSQ=4h_dHc_ +4SQ=4h_( +4;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Fj_.r_4dS9 +m_=h4_(4HQ +Sj_=hd_c4HQ +S4_=hd_c.Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jFH.__rF.jS9 +m_=h4_ngHQ +Sj_=h4_dcHQ +S4v=1_Qqvt4qr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._F_d.r9m +S=4h_nj6_ +jSQ=)A ) +_OS=Q47Baqij_7;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __4#kJlGHN__Fj_.m +S=4h_nj._ +jSQ=.h_gHg_ +4SQ=_1vqtvQqr_Hc +9;sjRf:ljRNROEq.h7RHbslaR7q_Bi7jj_ +=Smhn_6_Sj +Q7j=aiqB_HO_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsl_Rt4 +j.Shm=_U.j +jSQ=BBYp7 _vjqr9Q +S4_=h4_dUHs; +R:fjjNRlOQERhbeRsRHlBpYB v_7qr_HjS9 +mY=BB_p 7_vqH9rj +jSQ=BBYp7 _vjqr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__jH__jNSd +m_=h. +j(S=QjBpYB v_7qr_HjS9 +Qh4=_U4d;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj___Ndj9rj +=Smh._.UQ +Sj_=h4_dUHQ +S4v=1_Qqvtjqr9s; +R:fjjNRlOQERhbeRsRHlMu X_q1uBH _ +=SmMu X_q1uBH _ +jSQ=XM uu_1q_B Os; +R:fjjNRlOqERhR7.blsHRdkM__N#j_djH._N_Nj_dm +S=.h_USd +Qhj=_c.g +4SQ=XM uu_1q_B Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjd_Nr +49Shm=_U.( +jSQ=4h_dSU +Q14=vv_qQrtq. +9;sjRf:ljRNROEQRheblsHR.Q_.Sj +m1=q_jjj_SH +Qqj=1j_jj;_O +fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_SH +m1=q_jjj_q7v_SH +Qqj=1j_jjv_7qs; +R:fjjNRlOqERhR7.blsHRnkM__N#j_djj._N_Nj_dm +S=nkM__N#j +djS=Qjqj1_j7j_vHq_ +4SQ=_q1j_jjHs; +R:fjjNRlOQERhbeRsRHlABtqid_jjh_Qa +_HSAm=tiqB_jjd_aQh_SH +QAj=tiqB_jjd_aQh;R +sfjj:ROlNEhRq7b.RsRHlk_M4sHI___N.j._N +=Smhg_.cQ +Sjt=Aq_Bij_djQ_haHQ +S4 =)1_ am;za +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MnLOoN j_jj__jj._N +=Smhc_dgQ +Sj1=q_jjj_SO +Qh4=_U4d_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLn_o NO_jjj_jj_ +=Smb_F#O\D 3nkM_NLoOj _jjj_ +jSQ=qAtBji_jOj_ +4SQ=dh_cHg_;R +sfjj:ROlNEhRQesRbHhlR_j4d_SH +m_=h4_djHQ +Sj_=h4;dj +fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3Ss +mv=qQ_tqA_z1 Ahqp7 _vpq_mjW_3dkM +jSQ=4h_n +j;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3Sl +mv=qQ_tqA_z1 Ahqp7 _vpq_mjW_34kM +jSQ=4h_dHj_ +4SQ=4h_n +j;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3SM +mv=qQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM +jSQ=QqvtAq_z 1_hpqA v_7qm_pWQ +S4v=qQ_tqA_z1 Ahqp7 _vpq_mjW_3dkM;R +sfjj:ROlNE)Rm.sRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj +3bShm=_ +.cS=QjqtvQqz_A1h_ q Ap_q7v_Wpm_kj3MS4 +Qq4=vqQt_1Az_q hA_p 7_vqp_mWjM3kjs; +R:fjjNRlOQERhbeRsRHl)jW_j7j_vjq_3Ss +mW=)_jjj_q7v_kj3MSd +Qhj=_j4n;R +sfjj:ROlNEhRq7b.RsRHl)jW_j7j_vjq_3Sl +mW=)_jjj_q7v_kj3MS4 +Qhj=_d.c +4SQ=4h_n +j;sjRf:ljRNROEq.h7RHbslWR)_jjj_q7v_Mj3 +=Sm)jW_j7j_vjq_3jkM +jSQ=_)Wj_jj7 +vqS=Q4)jW_j7j_vjq_3dkM;R +sfjj:ROlNE)Rm.sRbH)lRWj_jjv_7q3_jbm +S=4h_(Q +SjW=)_jjj_q7v_kj3MS4 +Q)4=Wj_jjv_7q3_jk;Mj +fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_sj3 +=Smqj1_j7j_vjq_3dkM +jSQ=.h_d +n;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_lj3 +=Smqj1_j7j_vjq_34kM +jSQ=#bF_ OD\M3k.L4_o NO_jjd_0HM_jH_ +4SQ=.h_d +n;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_Mj3 +=Smqj1_j7j_vjq_3jkM +jSQ=_q1j_jj7 +vqS=Q4qj1_j7j_vjq_3dkM;R +sfjj:ROlNE)Rm.sRbHqlR1j_jjv_7q3_jbm +S=ch_ +jSQ=_q1j_jj7_vqjM3k4Q +S41=q_jjj_q7v_kj3M +j;sjRf:ljRNROEQRheblsHR_71j_jj7_vqj +3sS7m=1j_jjv_7q3_jk +MdS=Qjhd_.(s; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vqj +3lS7m=1j_jjv_7q3_jk +M4S=Qjb_F#O\D 3_71j_jj7_vqcQ +S4_=h.;d( +fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q3_jMm +S=_71j_jj7_vqjM3kjQ +Sj1=7_jjj_q7v +4SQ=_71j_jj7_vqjM3kds; +R:fjjNRlOmER)b.RsRHl7j1_j7j_vjq_3Sb +m_=hdQ +Sj1=7_jjj_q7v_kj3MS4 +Q74=1j_jjv_7q3_jk;Mj +fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _vpq_mHW_ +=SmqtvQqz_A1h_ q Ap_q7v_Wpm_SH +Qqj=vqQt_1Az_q hA_p 7_vqp;mW +fsRjR:jlENOR7qh.sRbHklRMN4_lNHo_#Lk_NCML_DCD +FISkm=MN4_lNHo_#Lk_NCML_DCD +FIS=QjqtvQqz_A1h_ q Ap_q7v_Wpm_SH +QA4=tiqB_jjd_aQh_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLg_od_jjm +S=#bF_ OD\M3kgo_L_jjd_Sj +QAj=td_jj__OHQ +S4F=b#D_O k\3MLn_od_jj;_H +fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_SH +m1=7_jjj_q7v_SH +Q7j=1j_jjv_7qs; +R:fjjNRlOqERhR7.blsHRnkM__8#j +djSkm=M8n_#d_jjQ +Sj1=q_jjj_SH +Q74=1j_jjv_7q;_H +fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haj +3sSAm=tiqB_jjd_aQh_kj3MSd +Qbj=FO#_D3 \k_MnLOoN j_jjs; +R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3Sl +mt=Aq_Bij_djQ_hajM3k4Q +Sjt=Aq_Bij_jjOQ +S4F=b#D_O k\3MLn_o NO_jjj;R +sfjj:ROlNEhRq7b.RsRHlABtqid_jjh_Qa3_jMm +S=qAtBji_dQj_hja_3jkM +jSQ=qAtBji_dQj_hSa +QA4=tiqB_jjd_aQh_kj3M +d;sjRf:ljRNROEmR).blsHRqAtBji_dQj_hja_3Sb +m_=hnQ +Sjt=Aq_Bij_djQ_hajM3k4Q +S4t=Aq_Bij_djQ_hajM3kjs; +R:fjjNRlOQERhbeRsRHlAjt_jjj_3Ss +mt=A_jjj_kj3MSd +Qbj=FO#_D3 \k_MgLjo_d +j;sjRf:ljRNROEq.h7RHbsltRA_jjj_lj3 +=SmAjt_jjj_34kM +jSQ=_Atj_djOQ +S4F=b#D_O k\3MLg_od_jjs; +R:fjjNRlOqERhR7.blsHR_Atj_jjj +3MSAm=tj_jj3_jk +MjS=QjAjt_jOj_ +4SQ=_Atj_jjjM3kds; +R:fjjNRlOmER)b.RsRHlAjt_jjj_3Sb +m_=h.Sn +QAj=tj_jj3_jk +M4S=Q4Ajt_jjj_3jkM;R +sfjj:ROlNEhRq7b.RsRHlAjt_j4j_ +=Smhc_d_Sj +Qhj=__.nHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHAlRtiqB_jjd_aQh_S4 +m_=hcj(_ +jSQ=nh__SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHle_uq7 +_jShm=__66jQ +Sj1=)a +_OS=Q4e_uqO;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_t]Q]__dH._N_Nj_dm +S=4h_dS4 +Qqj=_4Hr9Q +S4t=Aq_Bij_djQ_haHs; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vq.J_#lNkG_jH__ +NdShm=_.dd +jSQ=iBp_jjd_S] +QB4=pji_dOj_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3_71j_jj7_vqcj_V_jj__ +NdShm=_U.n +jSQ=_q1j_jj7 +vqS=Q4)jW_jHj_;R +sfjj:ROlNEhRQesRbHQlR_4.. +=Sm)jW_jHj_ +jSQ=_)Wj_jjOs; +R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)j__jjd_N +=Smhc_.gQ +Sjt=Aq_Bij_djQ +haS=Q4)jW_jHj_;R +sfjj:ROlNEhRQesRbHBlRpji_d]j__SH +mp=Bid_jj__]HQ +Sjp=Bid_jj;_] +fsRjR:jlENOR7qh.sRbHBlRpji_d]j__H.__Nj_dm +S=.h_cSn +QBj=pji_d]j__SH +Qh4=_n4U;R +sfjj:ROlNEhRq7b.RsRHl) 1az_ma__.H__HNSd +m_=h. +46S=Qj) 1az_maQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q +_4Shm=__6jjQ +Sj_=hd _HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vq4m -S=6h_j -_jS=Qjh__dHQ +R:fjjNRlOqERhR7.blsHR_q1j_jj7_vq4m +S=ch_g +_jS=Qjh__cHQ S41=)a;_O -fsRjR:jlENOR7qh.sRbH7lRaiqB__7jjm -S=6h_n -_jS=Qj7Baqi__OHQ +fsRjR:jlENOR7qh.sRbH)lRWj_jjv_7q +_.Shm=__cdjQ +Sj_=h4H(_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_m4W_ +=Smhn_d_Sj +Qhj=__.cHQ S41=)a;_O -fsRjR:jlENOR7qh.sRbHQlRu7p_jr_jjS9 -m_=h6j._ -jSQ=pQu_HO_r -j9S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRpQu__7jj9r4 -=Smhd_6_Sj -QQj=uOp__4Hr9Q +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_S4 +m_=hdj6_ +jSQ=.h_6 +_HS=Q4)_1aOs; +R:fjjNRlOQERhbeRsRHlhd_44 +_HShm=_44d_SH +Qhj=_44d;R +sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_sj3 +=SmqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk +MdS=Qjhn_4js; +R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA v_7qQ_]tj]_3Sl +mv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3k4Q +Sj_=h4_d4HQ +S4_=h4;nj +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_Mj3 +=SmqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk +MjS=QjqtvQqz_A1h_ q Ap_q7v_t]Q]Q +S4v=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kds; +R:fjjNRlOmER)b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]3_jbm +S=.h_6Q +Sjv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3k4Q +S4v=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kjs; +R:fjjNRlOqERhR7.blsHR.kM4C_Lsjs___N.jd_N_S4 +mM=k.L4_C_ss4Q +Sj1=q_jjd_SH +QA4=tiqB_jjj_ +O;sjRf:ljRNROEq.h7RHbslpRBid_jj__].__Hj._F +=SmhU_4n +_jS=Qjqj1_j7j_vHq_ +4SQ=iBp_jjd_HO_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__Hj__Fj_dm +S=4h_jHj_ +jSQ=qAtBji_dQj_hHa_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslQR1Z7 _vdq__l#Jk_GNH._F_FH_.m +S=4h_nHj_ +jSQ=qAtBji_dQj_hSa +QA4=tiqB_jjd_aQh_ +7;sjRf:ljRNROEX.m)RHbslFRb#D_O k\3M_.4LOoN d_jjM_H0__Hj._F_G._.m +S=4h_dH(_ +jSQ=BBYp7 _vjqr9Q +S4Y=BB_p 7rvq4 +9;sjRf:ljRNROEQRheblsHR1) ma_zHa_ +=Sm) 1az_ma +_HS=Qj) 1az_mas; +R:fjjNRlOqERhR7.blsHR4kM__N#j_jjjm +S=4kM__N#j_jjHQ +Sjt=Aq_Bij_djQ +haS=Q4) 1az_mas; +R:fjjNRlOqERhR7.blsHR_q1j_jj7_vq4J_#lNkG_jH_ +=Smhd_.n +_jS=QjB_pij_djO +_HS=Q4b_F#O\D 3.kM4o_LN_O j_djH_M0H__jHs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\137_jjj_q7v_Vc_j__jjm +S=#bF_ OD\137_jjj_q7v_jc_ +jSQ=.h_nHU_ +4SQ=#bF_ OD\M3k.L4_o NO_jjd_0HM_jH__ +H;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_jj__Sj +mv=qQ_tqA_z17qqa_)7Q_jO_ +jSQ=.h_cHg_ +4SQ=.h_nHn_;R +sfjj:ROlNEhRq7b.RsRHlkcM4_HNloLN_k8#_N_0N8_HsH__jjm +S=.h_cjd_ +jSQ=qAtBji_dQj_hHa_ +4SQ=_)Wj_jjHs; +R:fjjNRlOqERhR7.blsHR1) ma_z.a__HH_ +=Smhj_d4 +_jS=Qjh4_.6 +_HS=Q4h4_.n;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k4M._NLoOj _dHj_MH0__Fj_.__.NS. +m_=h. +g(S=Qjp_71j_jjOQ +S47=z1j_jj;_O +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_Wpm_Hd___N.jd_N +=Smhd_4jQ +Sj_=qO9r4 +4SQ=qAtBji_dQj_hHa_;R +sfjj:ROlNEhRQesRbHqlR_4Hr9m +S=Hq_r +49S=Qjqr_O4 +9;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p 4J_#lNkG_jH_ +=Smhc_.. +_jS=Qjqj1_d7j_j +_HS=Q4k_M47j1_j j_hpqA __j#kJlGHN_;R +sfjj:ROlNEhRq7b.RsRHlkdM4_HOHM__Hj +_jShm=_4.c_Sj +QMj= _Xu1Buq +_HS=Q4kjM4_HOHM;_H +fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tqjJ_#lNkG_j4__S4 +mM=k4v_1_Qqvtjq__l#Jk_GN4 +_jS=Qjhn_4(Q +S4_=h4_(njs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\W3)_jjj_aQh_H6___N.Hm +S=4h_jjn_ +jSQ=4h_(jn_ +4SQ=_)WO;_H +fsRjR:jlENOR7qh.sRbHqlR1d_jjj_7_Hj___N.Hm +S=4h_4jj_ +jSQ=_q1j_djHQ S41=)a;_O -fsRjR:jlENOR7qh.sRbHQlRu7p_jr_j.S9 -m_=h6jc_ -jSQ=pQu_HO_r -.9S=Q4)_1aO +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k6Md__N#j_dj8jj__FH_. +_jShm=_(46_SH +Q1j=vv_qQ_tqHr_H(S9 +QM4= _Xu1Buq ;_O +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__jj__rF.jS9 +m_=h4_(dHQ +Sj7=p1j_jj__OHQ +S47=z1j_jj__OHs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_HH_r +(9S1m=vv_qQ_tqHr_H(S9 +Q1j=vv_qQ_tqH9r(;R +sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_#j_JGlkN__4j__4FSd +m_=h4_(njQ +Sj_=h._.UHQ +S4v=1_QqvtHq_r;(9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k6Md__N#j_dj8jj__FH_.m +S=4h_Uj4_ +jSQ=4h_6H(_ +4SQ=4h_nHj_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj__rF.jS9 +m_=h4_U.jQ +Sj_=hd_jcHQ +S4 =MX1u_u qB_ +O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Fj_.9rn +=SmhU_4d +_jS=Qjh6_4( +_HS=Q4hj_dc;_H +fsRjR:jlENOReQhRHbslMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lH_.__j3Ss +mM=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lH_.__j3dkM +jSQ=qAtBji_dQj_h +a;sjRf:ljRNROEq.h7RHbslMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lH_.__j3Sl +mM=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lH_.__j34kM +jSQ=_q1j_djj_jj1BYh +4SQ=qAtBji_dQj_h +a;sjRf:ljRNROEq.h7RHbslMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lH_.__j3SM +mM=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lH_.__j3jkM +jSQ=QqvtAq_z 1_hpqA v_7qQ_]tS] +Qk4=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__Hlj.__M3kds; +R:fjjNRlOmER)b.RsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__HlH.___l.jb_3 +=Smhg_44Q +SjM=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_lH_.__j34kM +4SQ=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.H._l_3j_k;Mj +fsRjR:jlENOReQhRHbsluRwz _1h_1 Hm +S=zwu_h1 1H _ +jSQ=zwu_h1 1O _;R +sfjj:ROlNEhRQesRbHQlR_... +=Smqj1_dHj_ +jSQ=_q1j_djOs; +R:fjjNRlOqERhR7.blsHRdkM_x#HCm +S=dkM_x#HCQ +SjQ=1Z7 _v4qr9Q +S4Q=1Z7 _vHq_r;j9 +fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqdJ_#lNkG_HH__ +NdShm=_g.j +jSQ=4h_nHj_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d6Nj#_d8j_j__jHd_N +=Smh4_dgQ +Sj1=q_jjd__7jHQ +S4_=h4;U4 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k6Md__N#j_dj8jj__NH_d +_jShm=_d.4 +jSQ=_q1j_dj7Hj_ +4SQ=dh_6 +(;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jj__jNjdr9m +S=.h_4S( +QAj=tiqB_jjd_aQh_SH +Qh4=_d4(;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jjd_Nr +49Shm=_U.4 +jSQ=qAtBji_dQj_hHa_ +4SQ=4h_(Hd_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj__rNdjS9 +m_=h. +.(S=QjhU_4.Q +S4v=1_QqvtHq__(Hr9s; +R:fjjNRlOQERhbeRsRHlqj1_d7j_j +_HSqm=1d_jjj_7_SH +Qqj=1d_jjj_7;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__rNdnS9 +m_=h. +UcS=QjhU_4dQ +S4v=1_QqvtHq_r;n9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \q7j_vdq__Nj_.__jNSd +mF=b#D_O q\3jv_7q +_dS=QjABtqid_jjh_Qa +_HS=Q4z_71j_jjOs; +R:fjjNRlOQERhbeRsRHlq _7B m7_4HrnS9 +m_=q7m B7H _r94n +jSQ=7q_ 7Bm r_O4;n9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k6Md__N#j_dj8jj__SH +m_=hd_jjjQ +Sj_=h._4dHQ +S4_=hd_4gHs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj__jjr9m +S=#bF_ OD\Q31Z7 _vnq__jjr9Q +Sj_=h._4(HQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__jj_r +49Sbm=FO#_D3 \1 QZ_q7v_jn_r +49S=Qjh4_.U +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR4kM__N#j_djH._N_SH +m_=hcHU_ +jSQ=qAtBji_dQj_hHa_ +4SQ=XM uu_1q_B Hs; +R:fjjNRlOQERhbeRsRHlh4_.. +_HShm=_..4_SH +Qhj=_..4;R +sfjj:ROlNEhRQesRbHQlR_g.. +=Smqt]Q]r_H. +c9S=Qjqt]Q]r_O.;c9 +fsRjR:jlENOReQhRHbsl_RQ. +djSqm=]]Qt_.Hr6S9 +Qqj=]]Qt_.Or6 +9;sjRf:ljRNROEQRheblsHR.Q_.S( +m]=qQ_t]Hnr.9Q +Sj]=qQ_t]Onr.9s; +R:fjjNRlOQERhbeRsRHlQ._.Um +S=Qq]tH]_r9.( +jSQ=Qq]tO]_r9.(;R +sfjj:ROlNEhRQesRbHQlR_6.. +=Smqt]Q]r_H. +U9S=Qjqt]Q]r_O.;U9 +fsRjR:jlENOReQhRHbsl_RQ. +.nSqm=]]Qt_.HrgS9 +Qqj=]]Qt_.Org +9;sjRf:ljRNROEQRheblsHR.Q_.Sd +m]=qQ_t]Hjrd9Q +Sj]=qQ_t]Ojrd9s; +R:fjjNRlOQERhbeRsRHlQ._.cm +S=Qq]tH]_r9d4 +jSQ=Qq]tO]_r9d4;R +sfjj:ROlNEhRQesRbHqlR_B7 m_7 HUr49m +S=7q_ 7Bm r_H4 +U9S=Qjq _7B m7_4OrU +9;sjRf:ljRNROEQRheblsHR7q_ 7Bm r_H4 +g9Sqm=_B7 m_7 Hgr49Q +Sj_=q7m B7O _r94g;R +sfjj:ROlNEmRX)b.RsRHlB_pim_zau_) .j6_ +=Smhj_d +jSQ=iBp_amz_ u)_ +.6S=Q4B_pim_zau_) 6 +j;sjRf:ljRNROEQRheblsHRZ1Q v_7qr_H4S9 +mQ=1Z7 _vHq_r +49S=Qj1 QZ_q7vr;49 +fsRjR:jlENOR7qh.sRbHklRM#c_H +xCSkm=M#c_H +xCS=Qj1 QZ_q7vr +j9S=Q41 QZ_q7v_4Hr9s; +R:fjjNRlOQERhbeRsRHl1 QZ_q7v_jHr9m +S=Z1Q v_7qr_HjS9 +Q1j=Q_Z 7rvqj +9;sjRf:ljRNROEQRheblsHRiBp_amz_ u)__6jHm +S=iBp_amz_ u)__6jHQ +Sjp=Biz_ma)_u j_6;R +sfjj:ROlNEhRQesRbHhlR_j.4_SH +m_=h._4jHQ +Sj_=h.;4j +fsRjR:jlENOReQhRHbsl_Rh._44Hm +S=.h_4H4_ +jSQ=.h_4 +4;sjRf:ljRNROEQRheblsHRZ1Q v_7q__jjs_3 +=Sm1 QZ_q7v_jj__M3kdQ +Sj_=h.;jg +fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__j3Sl +mQ=1Z7 _vjq__3j_k +M4S=Qj1 QZ_q7vr +j9S=Q4hj_.gs; +R:fjjNRlOqERhR7.blsHRZ1Q v_7q__jjM_3 +=Sm1 QZ_q7v_jj__M3kjQ +SjF=b#D_O 1\3Q_Z 7_vqn9rj +4SQ=Z1Q v_7q__jjk_3M +d;sjRf:ljRNROEmR).blsHRZ1Q v_7q__jjb_3 +=Smh +_(S=Qj1 QZ_q7v_jj__M3k4Q +S4Q=1Z7 _vjq__3j_k;Mj +fsRjR:jlENOReQhRHbslQR1Z7 _vjq__34_sm +S=Z1Q v_7q__j4k_3MSd +Qhj=_g.j;R +sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_4j__ +3lS1m=Q_Z 7_vqj__434kM +jSQ=Z1Q v_7q9r4 +4SQ=.h_j +g;sjRf:ljRNROEq.h7RHbslQR1Z7 _vjq__34_Mm +S=Z1Q v_7q__j4k_3MSj +Qbj=FO#_D3 \1 QZ_q7v_4nr9Q +S4Q=1Z7 _vjq__34_k;Md +fsRjR:jlENOR.m)RHbslQR1Z7 _vjq__34_bm +S=Uh_ +jSQ=Z1Q v_7q__j4k_3MS4 +Q14=Q_Z 7_vqj__43jkM;R +sfjj:ROlNEhRQesRbHklRMNn_#d_jj +_HSkm=MNn_#d_jj +_HS=Qjk_MnNj#_d +j;sjRf:ljRNROEQRheblsHR_q1j_jjQ_haHm +S=_q1j_jjQ_haHQ +Sj1=q_jjj_aQh;R +sfjj:ROlNEhRq7b.RsRHlk_McNj#_jSj +mM=kc#_N_jjj +jSQ=_q1j_jjQ_haHQ +S41=q_jjd_ +H;sjRf:ljRNROEQRheblsHRckM__N#j_jjHm +S=ckM__N#j_jjHQ +SjM=kc#_N_jjj;R +sfjj:ROlNEhRQesRbHklRM8n_#d_jj +_HSkm=M8n_#d_jj +_HS=Qjk_Mn8j#_d +j;sjRf:ljRNROEQRheblsHR_71j_jj Ahqpj _3Ss +m1=7_jjj_q hA_p jM3kdQ +Sj_=h.;c. +fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_lj3 +=Sm7j1_j j_hpqA 3_jk +M4S=Qjk_M47j1_j j_hpqA __j#kJlGSN +Qh4=_..c;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA 3_jMm +S=_71j_jj Ahqpj _3jkM +jSQ=_71j_jj AhqpS +Q74=1j_jjh_ q Ap_kj3M +d;sjRf:ljRNROEmR).blsHR_71j_jj Ahqpj _3Sb +m_=hgQ +Sj1=7_jjj_q hA_p jM3k4Q +S41=7_jjj_q hA_p jM3kjs; +R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBj +3sSqm=1d_jjj_jjY_1hjB_3dkM +jSQ=dh_j +j;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB3_jlm +S=_q1j_djj_jj1BYh_kj3MS4 +Qqj=1d_jjj_jjY_1hSB +Qh4=_jdj;R +sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hBj +3MSqm=1d_jjj_jjY_1hjB_3jkM +jSQ=_q1j_dj7Sj +Qq4=1d_jjj_jjY_1hjB_3dkM;R +sfjj:ROlNE)Rm.sRbHqlR1d_jjj_jjY_1hjB_3Sb +m_=h4S6 +Qqj=1d_jjj_jjY_1hjB_34kM +4SQ=_q1j_djj_jj1BYh_kj3M +j;sjRf:ljRNROEQRheblsHR_)Wj_jjQ_haj +3sS)m=Wj_jjh_Qa3_jk +MdS=Qjk_M41qv_vqQt_#j_JGlkN;_4 +fsRjR:jlENOR7qh.sRbH)lRWj_jjh_Qa3_jlm +S=_)Wj_jjQ_hajM3k4Q +Sj_=h4 +jnS=Q4k_M41qv_vqQt_#j_JGlkN;_4 +fsRjR:jlENOR7qh.sRbH)lRWj_jjh_Qa3_jMm +S=_)Wj_jjQ_hajM3kjQ +SjW=)_jjj_aQh +4SQ=_)Wj_jjQ_hajM3kds; +R:fjjNRlOmER)b.RsRHl)jW_jQj_hja_3Sb +m_=h4Sn +Q)j=Wj_jjh_Qa3_jk +M4S=Q4)jW_jQj_hja_3jkM;R +sfjj:ROlNEhRQesRbHqlRjv_7q3_jsm +S=_qj7_vqjM3kdQ +Sj_=h4;nj +fsRjR:jlENOR7qh.sRbHqlRjv_7q3_jlm +S=_qj7_vqjM3k4Q +SjF=b#D_O q\3jv_7q +_dS=Q4hn_4js; +R:fjjNRlOqERhR7.blsHR_qj7_vqj +3MSqm=jv_7q3_jk +MjS=Qjq7j_vSq +Qq4=jv_7q3_jk;Md +fsRjR:jlENOR.m)RHbsljRq_q7v_bj3 +=Smh._. +jSQ=_qj7_vqjM3k4Q +S4j=q_q7v_kj3M +j;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p 4m +S=6h_gQ +Sj_=hgQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHqlRjv_7q +_4Shm=__dUjQ +Sj_=h.H._ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_S4 +m_=hcjc_ +jSQ=4h_n +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_S4 +m_=hcj6_ +jSQ=4h_6 +_HS=Q4)_1aO ; diff --git a/Logic/BUS68030.srr b/Logic/BUS68030.srr index 7c5c7b7..322d342 100644 --- a/Logic/BUS68030.srr +++ b/Logic/BUS68030.srr @@ -6,29 +6,29 @@ #Implementation: logic $ Start of Compile -#Thu Aug 25 22:27:46 2016 +#Wed Sep 14 23:54:20 2016 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014 @N|Running in 64-bit mode Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. @N: CD720 :"E:\ispLEVER_Classic2_0\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns -@N:"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Top entity is set to BUS68030. -File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling +@N:"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":13:7:13:14|Top entity is set to BUS68030. +File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd changed - recompiling VHDL syntax check successful! -File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling -@N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral -@N: CD233 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":70:10:70:11|Using sequential encoding for type sm_e -@N: CD233 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":87:14:87:15|Using sequential encoding for type sm_68000 -@W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":128:7:128:17|Signal clk_out_pre is undriven +File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd changed - recompiling +@N: CD630 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral +@N: CD233 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":70:10:70:11|Using sequential encoding for type sm_e +@N: CD233 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":87:14:87:15|Using sequential encoding for type sm_68000 +@W: CD638 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":128:7:128:17|Signal clk_out_pre is undriven Post processing for work.bus68030.behavioral -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:38:131:40|Pruning register DS_030_D0_3 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:38:131:40|Pruning register nEXP_SPACE_D0_3 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:38:131:40|Pruning register BGACK_030_INT_PRE_2 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":130:34:130:36|Pruning register CLK_OUT_EXP_INT_2 -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":154:2:154:3|Pruning register CLK_030_D0_2 -@W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:38:131:40|Pruning bits 12 to 2 of CLK_000_D_3(12 downto 0) -- not in use ... -@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:38:131:40|Trying to extract state machine for register SM_AMIGA +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning register DS_030_D0_3 +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning register nEXP_SPACE_D0_3 +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning register BGACK_030_INT_PRE_2 +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":130:34:130:36|Pruning register CLK_OUT_EXP_INT_2 +@W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":154:2:154:3|Pruning register CLK_030_D0_2 +@W: CL271 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning bits 12 to 3 of CLK_000_D_3(12 downto 0) -- not in use ... +@N: CL201 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Trying to extract state machine for register SM_AMIGA Extracted state machine for register SM_AMIGA State machine has 8 reachable states with original encodings of: 000 @@ -39,24 +39,24 @@ State machine has 8 reachable states with original encodings of: 101 110 111 -@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:38:131:40|Trying to extract state machine for register cpu_est -@W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":24:1:24:8|Input port bits 15 to 2 of a_decode(23 downto 2) are unused +@N: CL201 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Trying to extract state machine for register cpu_est +@W: CL246 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":24:1:24:8|Input port bits 15 to 2 of a_decode(23 downto 2) are unused @END At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 71MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Aug 25 22:27:46 2016 +# Wed Sep 14 23:54:21 2016 ###########################################################] Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014 @N|Running in 64-bit mode -File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs changed - recompiling +File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\synwork\BUS68030_comp.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Aug 25 22:27:48 2016 +# Wed Sep 14 23:54:22 2016 ###########################################################] Map & Optimize Report @@ -65,7 +65,7 @@ Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May 6 2014 Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use or distribution of the software is strictly prohibited. Product Version I-2014.03LC @N: MF248 |Running in 64-bit mode. -@N:"c:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":131:38:131:40|Found counter in view:work.BUS68030(behavioral) inst RST_DLY[2:0] +@N:"c:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Found counter in view:work.BUS68030(behavioral) inst RST_DLY[2:0] Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral)) original code -> new code 000 -> 00000000 @@ -80,13 +80,13 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFF 53 uses +DFF 54 uses BI_DIR 18 uses BUFTH 4 uses IBUF 38 uses OBUF 15 uses -AND2 286 uses -INV 258 uses +AND2 269 uses +INV 239 uses OR2 25 uses XOR2 6 uses @@ -98,6 +98,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Aug 25 22:27:48 2016 +# Wed Sep 14 23:54:22 2016 ###########################################################] diff --git a/Logic/BUS68030.srs b/Logic/BUS68030.srs index 2ab909e..44e539a 100644 Binary files a/Logic/BUS68030.srs and b/Logic/BUS68030.srs differ diff --git a/Logic/Programming.xcf b/Logic/Programming.xcf index 1aed60e..7a1f330 100644 --- a/Logic/Programming.xcf +++ b/Logic/Programming.xcf @@ -18,9 +18,9 @@ 1 0 - C:\Users\Matze\Documents\GitHub\68030tk\Logic\68030_tk.jed - 08/19/16 00:39:40 - 0x4245 + C:\Users\Matze\Documents\GitHub\68030tk\Logic\68030_tk-50Mhz-New.jed + 08/30/16 22:39:22 + 0xE8C5 Erase,Program,Verify