diff --git a/Logic/68030-68000-bus.vhd b/Logic/68030-68000-bus.vhd index f1fa6e8..5e43d0c 100644 --- a/Logic/68030-68000-bus.vhd +++ b/Logic/68030-68000-bus.vhd @@ -126,7 +126,7 @@ begin neg_clk: process(RST, CLK_OSZI) begin if(RST = '0' ) then - CLK_CNT_N <= "00"; + CLK_CNT_N <= "10"; elsif(falling_edge(CLK_OSZI)) then --clk generation : up to now just half the clock if(CLK_CNT_N = "10") then @@ -250,11 +250,8 @@ begin BG_000 <= '1'; elsif( BG_030= '0' AND (SM_AMIGA = IDLE_P) and nEXP_SPACE = '1' and AS_030='1' - and CLK_OUT_INT ='1' - and CLK_000_D0='1' AND CLK_000_D1='0') then --bus granted no local access and no AS_030 running! + and CLK_000='1' ) then --bus granted no local access and no AS_030 running! BG_000 <= '0'; - else - BG_000 <= '1'; end if; @@ -365,7 +362,7 @@ begin SM_AMIGA<=DATA_FETCH_P; end if; when DATA_FETCH_P => --68000:S6: READ: here comes the data on the bus! - if( CLK_000_D4 ='1' AND CLK_000_D5 = '0' ) then --go to s7 next 030-clock is high: dsack is sampled at the falling edge + if( CLK_000_D5 ='1' AND CLK_000_D6 = '0' ) then --go to s7 next 030-clock is high: dsack is sampled at the falling edge DSACK_INT<="01"; AS_030_000_SYNC <= '1'; --cycle end elsif( CLK_000_D0 ='0') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge @@ -397,7 +394,7 @@ begin AVEC_EXP <= 'Z' when FPU_CS_INT ='1' else '0'; --dtack for dma - DTACK <= 'Z' when BGACK_030_INT ='1' else + DTACK <= 'Z' when BGACK_030_INT ='1' OR nEXP_SPACE = '1' else DTACK_DMA; --fpu diff --git a/Logic/68030_TK.tcl b/Logic/68030_TK.tcl index a804b20..b960bf9 100644 --- a/Logic/68030_TK.tcl +++ b/Logic/68030_TK.tcl @@ -149074,3 +149074,1268 @@ if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 6 ########## Tcl recorder end at 05/24/14 16:11:44 ########### + +########## Tcl recorder starts at 05/24/14 16:28:20 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 16:28:20 ########### + + +########## Tcl recorder starts at 05/24/14 16:28:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 16:28:20 ########### + + +########## Tcl recorder starts at 05/24/14 16:29:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 16:29:20 ########### + + +########## Tcl recorder starts at 05/24/14 16:29:58 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 16:29:58 ########### + + +########## Tcl recorder starts at 05/24/14 16:29:58 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 16:29:58 ########### + + +########## Tcl recorder starts at 05/24/14 18:04:30 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 18:04:30 ########### + + +########## Tcl recorder starts at 05/24/14 18:04:30 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 18:04:30 ########### + + +########## Tcl recorder starts at 05/24/14 18:33:49 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 18:33:49 ########### + + +########## Tcl recorder starts at 05/24/14 18:33:49 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 18:33:49 ########### + + +########## Tcl recorder starts at 05/24/14 18:36:46 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 18:36:46 ########### + + +########## Tcl recorder starts at 05/24/14 18:36:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 18:36:46 ########### + + +########## Tcl recorder starts at 05/24/14 19:56:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 19:56:13 ########### + + +########## Tcl recorder starts at 05/24/14 19:56:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 05/24/14 19:56:13 ########### + diff --git a/Logic/68030_tk.bl2 b/Logic/68030_tk.bl2 index 5a41d31..8e6dda1 100644 --- a/Logic/68030_tk.bl2 +++ b/Logic/68030_tk.bl2 @@ -1,75 +1,74 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sat May 24 16:11:50 2014 +#$ DATE Sat May 24 19:56:20 2014 #$ MODULE 68030_tk -#$ PINS 74 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ SIZE_0_ A_30_ DSACK_1_ A_29_ A_28_ FC_1_ \ -# A_27_ AS_030 A_26_ AS_000 A_25_ DS_030 A_24_ UDS_000 A_23_ LDS_000 A_22_ nEXP_SPACE A_21_ \ -# BERR A_20_ BG_030 A_19_ BG_000 A_18_ BGACK_030 A_17_ BGACK_000 A_16_ CLK_030 A_15_ CLK_000 \ -# A_14_ CLK_OSZI A_13_ CLK_DIV_OUT A_12_ CLK_EXP A_11_ FPU_CS A_10_ DTACK A_9_ AVEC A_8_ \ -# AVEC_EXP A_7_ E A_6_ VPA A_5_ VMA A_4_ RST A_3_ RESET A_2_ RW A_1_ AMIGA_BUS_ENABLE A_0_ \ -# AMIGA_BUS_DATA_DIR IPL_030_1_ AMIGA_BUS_ENABLE_LOW IPL_030_0_ CIIN IPL_1_ IPL_0_ \ +#$ PINS 74 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ DSACK_1_ FC_1_ AS_030 AS_000 SIZE_0_ DS_030 \ +# A_30_ UDS_000 A_29_ LDS_000 A_28_ nEXP_SPACE A_27_ BERR A_26_ BG_030 A_25_ BG_000 A_24_ \ +# BGACK_030 A_23_ BGACK_000 A_22_ CLK_030 A_21_ CLK_000 A_20_ CLK_OSZI A_19_ CLK_DIV_OUT \ +# A_18_ CLK_EXP A_17_ FPU_CS A_16_ DTACK A_15_ AVEC A_14_ AVEC_EXP A_13_ E A_12_ VPA A_11_ VMA \ +# A_10_ RST A_9_ RESET A_8_ RW A_7_ AMIGA_BUS_ENABLE A_6_ AMIGA_BUS_DATA_DIR A_5_ \ +# AMIGA_BUS_ENABLE_LOW A_4_ CIIN A_3_ A_2_ A_1_ A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ \ # DSACK_0_ FC_0_ -#$ NODES 358 CLK_OSZI_c CLK_OUT_INTreg IPL_030DFFSH_0_reg inst_BGACK_030_INTreg \ -# inst_FPU_CS_INTreg IPL_030DFFSH_1_reg inst_VMA_INTreg inst_AS_000_INTreg \ -# IPL_030DFFSH_2_reg inst_AS_030_000_SYNC inst_DTACK_SYNC ipl_c_0__n inst_VPA_D \ -# inst_VPA_SYNC ipl_c_1__n inst_CLK_000_D0 inst_CLK_000_D1 ipl_c_2__n inst_CLK_000_D2 \ -# inst_CLK_000_D5 SM_AMIGA_5_ dsack_c_1__n SM_AMIGA_6_ vcc_n_n DTACK_c gnd_n_n \ -# inst_UDS_000_INTreg inst_LDS_000_INTreg DSACK_INT_1_ AS_000_INT_1_sqmuxa \ -# state_machine_un8_clk_000_d2_n inst_CLK_000_D3 SM_AMIGA_4_ RST_c \ -# state_machine_un23_clk_000_d0_n state_machine_un6_clk_000_d4_n RESETDFFRHreg \ -# inst_CLK_000_D4 inst_DTACK_DMA RW_c state_machine_un12_bg_030_n SM_AMIGA_7_ \ -# fc_c_0__n SM_AMIGA_3_ state_machine_un6_bgack_000_n fc_c_1__n SM_AMIGA_1_ G_102 \ -# AMIGA_BUS_ENABLEDFFreg CLK_CNT_N_0_ CLK_CNT_N_1_ G_108 CLK_CNT_P_0_ CLK_CNT_P_1_ \ -# cpu_est_ns_0_1__n SM_AMIGA_2_ N_126_i SM_AMIGA_0_ N_128_i \ -# state_machine_un7_as_000_int_n N_216_i state_machine_un15_clk_000_d0_n N_217_i \ -# state_machine_lds_000_int_5_n N_61_0 state_machine_uds_000_int_5_n N_60_0 \ -# un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_129_i inst_CLK_OUT_PRE \ -# un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i N_58_i N_57_i N_55_i N_54_i N_53_i \ -# N_50_i CLK_000_D1_i N_49_i N_48_i N_122_i N_123_i cpu_est_ns_e_0_0__n N_79_i N_226_i \ -# N_227_i sm_amiga_ns_0_0__n cpu_est_0_ N_222_i cpu_est_1_ N_223_i cpu_est_2_ N_225_i \ -# cpu_est_3_reg cpu_est_ns_0_2__n N_221_i N_41_i N_127_i N_220_i cpu_est_ns_1__n \ -# N_157_i cpu_est_ns_2__n N_214_i N_203 N_215_i N_204 sm_amiga_ns_0_7__n N_205 N_93_i \ -# N_23 N_94_i N_27 N_47 N_85_i N_48 N_86_i N_49 sm_amiga_ns_0_5__n N_50 N_84_i N_53 N_130_i \ -# N_54 N_55 N_82_i N_57 N_58 N_81_i N_60 N_61 N_77_i N_68 N_69 N_75_i N_70 \ -# state_machine_lds_000_int_5_0_n N_71 state_machine_uds_000_int_5_0_n N_72 N_73_i \ -# N_73 N_27_0 N_75 N_23_0 N_77 N_71_i N_79 N_205_0 N_81 N_204_0 N_82 N_68_i N_84 N_69_i N_85 \ -# state_machine_un15_clk_000_d0_0_n N_86 N_203_0 N_93 \ -# state_machine_un6_bgack_000_0_n N_94 N_214 state_machine_un23_clk_000_d0_0_n N_215 \ -# N_238_1 N_216 N_238_2 N_217 N_238_3 N_220 N_238_4 N_221 N_238_5 N_222 N_238_6 N_223 \ -# N_241_1 N_225 N_241_2 N_226 state_machine_un8_clk_000_d2_1_n N_227 N_53_i_1 N_122 \ -# N_53_i_2 N_123 N_53_i_3 N_126 N_53_i_4 N_127 N_53_i_5 N_128 cpu_est_ns_0_1_1__n N_129 \ -# cpu_est_ns_0_2_1__n N_130 state_machine_un12_bg_030_1_n N_238 \ -# state_machine_un12_bg_030_2_n N_241 state_machine_un12_bg_030_3_n RW_i \ -# state_machine_un12_bg_030_4_n VMA_INT_i N_73_1 VPA_D_i N_73_2 DTACK_i N_72_1 BG_030_i \ -# N_72_2 CLK_000_D0_i N_70_1 sm_amiga_i_4__n N_70_2 cpu_est_i_3__n N_70_3 \ -# sm_amiga_i_1__n sm_amiga_ns_0_1_0__n state_machine_un6_clk_000_d4_i_n \ -# cpu_est_ns_0_1_2__n sm_amiga_i_6__n N_221_1 nEXP_SPACE_i N_215_1 AS_000_INT_i N_75_1 \ -# cpu_est_i_1__n N_69_1 cpu_est_i_0__n N_68_1 AMIGA_BUS_ENABLE_i \ +#$ NODES 365 CLK_000_c CLK_OSZI_c CLK_OUT_INTreg inst_BGACK_030_INTreg \ +# inst_FPU_CS_INTreg IPL_030DFFSH_0_reg inst_VMA_INTreg inst_AS_000_INTreg \ +# IPL_030DFFSH_1_reg inst_AS_030_000_SYNC inst_DTACK_SYNC IPL_030DFFSH_2_reg \ +# inst_VPA_D inst_VPA_SYNC ipl_c_0__n inst_CLK_000_D0 inst_CLK_000_D1 ipl_c_1__n \ +# inst_CLK_000_D2 inst_CLK_000_D6 ipl_c_2__n SM_AMIGA_5_ SM_AMIGA_6_ vcc_n_n \ +# dsack_c_1__n gnd_n_n inst_UDS_000_INTreg DTACK_c inst_LDS_000_INTreg DSACK_INT_1_ \ +# AS_000_INT_1_sqmuxa state_machine_un8_clk_000_d2_n inst_CLK_000_D3 SM_AMIGA_4_ \ +# state_machine_un23_clk_000_d0_n state_machine_un6_clk_000_d5_n RST_c \ +# inst_CLK_000_D5 SM_AMIGA_7_ RESETDFFRHreg SM_AMIGA_3_ \ +# state_machine_un6_bgack_000_n RW_c SM_AMIGA_1_ inst_DTACK_DMA fc_c_0__n G_102 \ +# CLK_CNT_N_0_ fc_c_1__n CLK_CNT_N_1_ G_108 AMIGA_BUS_ENABLEDFFreg CLK_CNT_P_0_ \ +# CLK_CNT_P_1_ SM_AMIGA_2_ SM_AMIGA_0_ state_machine_un10_bg_030_n cpu_est_ns_0_1__n \ +# state_machine_un7_as_000_int_n N_129_i inst_CLK_000_D4 N_131_i \ +# state_machine_un15_clk_000_d0_n N_221_i state_machine_lds_000_int_5_n N_222_i \ +# state_machine_uds_000_int_5_n N_63_0 un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_62_0 \ +# inst_CLK_OUT_PRE N_132_i un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i N_60_i N_59_i \ +# N_57_i N_56_i N_55_i CLK_000_D1_i N_54_i N_51_i N_50_i N_125_i N_126_i \ +# cpu_est_ns_e_0_0__n N_85_i N_123_i N_124_i sm_amiga_ns_0_0__n cpu_est_0_ N_122_i \ +# cpu_est_1_ N_227_i cpu_est_2_ N_228_i cpu_est_3_reg cpu_est_ns_0_2__n N_226_i N_44_i \ +# N_130_i N_225_i cpu_est_ns_1__n N_158_i cpu_est_ns_2__n N_219_i N_204 N_220_i N_205 \ +# sm_amiga_ns_0_7__n N_206 N_215_i N_26 N_216_i N_30 N_49 N_95_i N_50 N_214_i N_51 \ +# sm_amiga_ns_0_5__n N_54 N_94_i N_55 N_133_i N_56 N_57 N_87_i N_59 N_60 N_86_i N_62 N_63 \ +# N_83_i N_68 N_70 N_82_i N_72 state_machine_lds_000_int_5_0_n N_73 \ +# state_machine_uds_000_int_5_0_n N_74 N_80_i N_76 N_30_0 N_78 N_26_0 N_80 N_76_i N_82 \ +# N_206_0 N_83 N_205_0 N_85 N_72_i N_86 N_73_i N_87 state_machine_un15_clk_000_d0_0_n \ +# N_94 N_204_0 N_95 BG_030_c_i N_214 N_70_i N_215 state_machine_un10_bg_030_0_n N_216 \ +# state_machine_un6_bgack_000_0_n N_219 N_220 state_machine_un23_clk_000_d0_0_n \ +# N_221 N_236_1 N_222 N_236_2 N_225 N_236_3 N_226 N_236_4 N_227 N_236_5 N_228 N_236_6 N_122 \ +# N_239_1 N_123 N_239_2 N_124 state_machine_un8_clk_000_d2_1_n N_125 N_55_i_1 N_126 \ +# N_55_i_2 N_129 N_55_i_3 N_130 N_55_i_4 N_131 N_55_i_5 N_132 cpu_est_ns_0_1_1__n N_133 \ +# cpu_est_ns_0_2_1__n N_236 N_80_1 N_239 N_80_2 RW_i N_78_1 VMA_INT_i N_78_2 VPA_D_i \ +# N_74_1 DTACK_i N_74_2 CLK_000_D0_i N_74_3 sm_amiga_i_4__n N_70_1 cpu_est_i_3__n N_70_2 \ +# sm_amiga_i_1__n sm_amiga_ns_0_1_0__n state_machine_un6_clk_000_d5_i_n \ +# cpu_est_ns_0_1_2__n sm_amiga_i_6__n N_226_1 nEXP_SPACE_i N_220_1 AS_000_INT_i N_82_1 \ +# cpu_est_i_1__n N_73_1 cpu_est_i_0__n N_72_1 AMIGA_BUS_ENABLE_i \ # state_machine_uds_000_int_5_0_m2_un3_n AS_030_i \ # state_machine_uds_000_int_5_0_m2_un1_n cpu_est_i_2__n \ -# state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n lds_000_int_0_un3_n \ -# sm_amiga_i_3__n lds_000_int_0_un1_n sm_amiga_i_5__n lds_000_int_0_un0_n \ -# state_machine_un8_clk_000_d2_i_n vpa_sync_0_un3_n sm_amiga_i_7__n \ -# vpa_sync_0_un1_n a_i_0__n vpa_sync_0_un0_n size_i_1__n vma_int_0_un3_n dsack_i_1__n \ -# vma_int_0_un1_n CLK_000_D2_i vma_int_0_un0_n AS_030_000_SYNC_i \ -# bgack_030_int_0_un3_n a_i_30__n bgack_030_int_0_un1_n a_i_31__n \ -# bgack_030_int_0_un0_n a_i_28__n as_000_int_0_un3_n a_i_29__n as_000_int_0_un1_n \ -# a_i_26__n as_000_int_0_un0_n a_i_27__n ipl_030_0_0__un3_n a_i_24__n \ -# ipl_030_0_0__un1_n a_i_25__n ipl_030_0_0__un0_n a_i_19__n ipl_030_0_1__un3_n \ -# a_i_16__n ipl_030_0_1__un1_n a_i_18__n ipl_030_0_1__un0_n RST_i ipl_030_0_2__un3_n \ -# ipl_030_0_2__un1_n ipl_030_0_2__un0_n cpu_estse_0_un3_n CLK_OSZI_i \ -# cpu_estse_0_un1_n cpu_estse_0_un0_n N_70_i cpu_estse_1_un3_n N_72_i \ -# cpu_estse_1_un1_n FPU_CS_INT_i cpu_estse_1_un0_n BGACK_030_INT_i cpu_estse_2_un3_n \ -# CLK_000_D5_i cpu_estse_2_un1_n AS_030_c cpu_estse_2_un0_n as_030_000_sync_0_un3_n \ -# as_030_000_sync_0_un1_n DS_030_c as_030_000_sync_0_un0_n dtack_sync_0_un3_n \ -# dtack_sync_0_un1_n dtack_sync_0_un0_n size_c_0__n fpu_cs_int_0_un3_n \ -# fpu_cs_int_0_un1_n size_c_1__n fpu_cs_int_0_un0_n dsack_int_0_1__un3_n a_c_0__n \ -# dsack_int_0_1__un1_n dsack_int_0_1__un0_n amiga_bus_enable_0_un3_n \ -# amiga_bus_enable_0_un1_n amiga_bus_enable_0_un0_n uds_000_int_0_un3_n \ -# uds_000_int_0_un1_n uds_000_int_0_un0_n a_15__n a_14__n a_13__n a_12__n a_11__n \ -# a_c_16__n a_10__n a_c_17__n a_9__n a_c_18__n a_8__n a_c_19__n a_7__n a_c_20__n a_6__n \ -# a_c_21__n a_5__n a_c_22__n a_4__n a_c_23__n a_3__n a_c_24__n a_2__n a_c_25__n a_1__n \ -# a_c_26__n a_c_27__n a_c_28__n a_c_29__n a_c_30__n a_c_31__n nEXP_SPACE_c BG_030_c \ -# BG_000DFFSHreg BGACK_000_c CLK_030_c +# state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n vpa_sync_0_un3_n \ +# sm_amiga_i_3__n vpa_sync_0_un1_n sm_amiga_i_5__n vpa_sync_0_un0_n \ +# state_machine_un8_clk_000_d2_i_n vma_int_0_un3_n sm_amiga_i_7__n vma_int_0_un1_n \ +# a_i_0__n vma_int_0_un0_n size_i_1__n bg_000_0_un3_n dsack_i_1__n bg_000_0_un1_n \ +# BGACK_030_INT_i bg_000_0_un0_n CLK_000_D2_i bgack_030_int_0_un3_n \ +# AS_030_000_SYNC_i bgack_030_int_0_un1_n a_i_19__n bgack_030_int_0_un0_n a_i_16__n \ +# as_000_int_0_un3_n a_i_18__n as_000_int_0_un1_n a_i_30__n as_000_int_0_un0_n \ +# a_i_31__n ipl_030_0_0__un3_n a_i_28__n ipl_030_0_0__un1_n a_i_29__n \ +# ipl_030_0_0__un0_n a_i_26__n ipl_030_0_1__un3_n a_i_27__n ipl_030_0_1__un1_n \ +# a_i_24__n ipl_030_0_1__un0_n a_i_25__n ipl_030_0_2__un3_n RST_i ipl_030_0_2__un1_n \ +# ipl_030_0_2__un0_n cpu_estse_0_un3_n CLK_OSZI_i cpu_estse_0_un1_n \ +# cpu_estse_0_un0_n N_74_i cpu_estse_1_un3_n N_78_i cpu_estse_1_un1_n FPU_CS_INT_i \ +# cpu_estse_1_un0_n CLK_000_D6_i cpu_estse_2_un3_n AS_030_c cpu_estse_2_un1_n \ +# cpu_estse_2_un0_n as_030_000_sync_0_un3_n DS_030_c as_030_000_sync_0_un1_n \ +# as_030_000_sync_0_un0_n dtack_sync_0_un3_n dtack_sync_0_un1_n size_c_0__n \ +# dtack_sync_0_un0_n fpu_cs_int_0_un3_n size_c_1__n fpu_cs_int_0_un1_n \ +# fpu_cs_int_0_un0_n a_c_0__n dsack_int_0_1__un3_n dsack_int_0_1__un1_n \ +# dsack_int_0_1__un0_n amiga_bus_enable_0_un3_n amiga_bus_enable_0_un1_n \ +# amiga_bus_enable_0_un0_n uds_000_int_0_un3_n uds_000_int_0_un1_n \ +# uds_000_int_0_un0_n lds_000_int_0_un3_n lds_000_int_0_un1_n lds_000_int_0_un0_n \ +# a_15__n a_14__n a_13__n a_c_16__n a_12__n a_c_17__n a_11__n a_c_18__n a_10__n a_c_19__n \ +# a_9__n a_c_20__n a_8__n a_c_21__n a_7__n a_c_22__n a_6__n a_c_23__n a_5__n a_c_24__n \ +# a_4__n a_c_25__n a_3__n a_c_26__n a_2__n a_c_27__n a_1__n a_c_28__n a_c_29__n a_c_30__n \ +# a_c_31__n nEXP_SPACE_c BG_030_c BG_000DFFSHreg BGACK_000_c CLK_030_c .model bus68030 .inputs SIZE_1_.BLIF A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF AS_030.BLIF DS_030.BLIF \ nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ @@ -79,194 +78,197 @@ A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF \ A_14_.BLIF A_13_.BLIF A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF \ A_7_.BLIF A_6_.BLIF A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF A_1_.BLIF \ A_0_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF DSACK_1_.BLIF DTACK.BLIF \ -DSACK_0_.BLIF CLK_OSZI_c.BLIF CLK_OUT_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF \ -inst_BGACK_030_INTreg.BLIF inst_FPU_CS_INTreg.BLIF IPL_030DFFSH_1_reg.BLIF \ -inst_VMA_INTreg.BLIF inst_AS_000_INTreg.BLIF IPL_030DFFSH_2_reg.BLIF \ -inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF ipl_c_0__n.BLIF inst_VPA_D.BLIF \ -inst_VPA_SYNC.BLIF ipl_c_1__n.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \ -ipl_c_2__n.BLIF inst_CLK_000_D2.BLIF inst_CLK_000_D5.BLIF SM_AMIGA_5_.BLIF \ -dsack_c_1__n.BLIF SM_AMIGA_6_.BLIF vcc_n_n.BLIF DTACK_c.BLIF gnd_n_n.BLIF \ -inst_UDS_000_INTreg.BLIF inst_LDS_000_INTreg.BLIF DSACK_INT_1_.BLIF \ -AS_000_INT_1_sqmuxa.BLIF state_machine_un8_clk_000_d2_n.BLIF \ -inst_CLK_000_D3.BLIF SM_AMIGA_4_.BLIF RST_c.BLIF \ -state_machine_un23_clk_000_d0_n.BLIF state_machine_un6_clk_000_d4_n.BLIF \ -RESETDFFRHreg.BLIF inst_CLK_000_D4.BLIF inst_DTACK_DMA.BLIF RW_c.BLIF \ -state_machine_un12_bg_030_n.BLIF SM_AMIGA_7_.BLIF fc_c_0__n.BLIF \ -SM_AMIGA_3_.BLIF state_machine_un6_bgack_000_n.BLIF fc_c_1__n.BLIF \ -SM_AMIGA_1_.BLIF G_102.BLIF AMIGA_BUS_ENABLEDFFreg.BLIF CLK_CNT_N_0_.BLIF \ -CLK_CNT_N_1_.BLIF G_108.BLIF CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.BLIF \ -cpu_est_ns_0_1__n.BLIF SM_AMIGA_2_.BLIF N_126_i.BLIF SM_AMIGA_0_.BLIF \ -N_128_i.BLIF state_machine_un7_as_000_int_n.BLIF N_216_i.BLIF \ -state_machine_un15_clk_000_d0_n.BLIF N_217_i.BLIF \ -state_machine_lds_000_int_5_n.BLIF N_61_0.BLIF \ -state_machine_uds_000_int_5_n.BLIF N_60_0.BLIF \ -un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_129_i.BLIF inst_CLK_OUT_PRE.BLIF \ -un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF DS_030_c_i.BLIF N_58_i.BLIF N_57_i.BLIF \ -N_55_i.BLIF N_54_i.BLIF N_53_i.BLIF N_50_i.BLIF CLK_000_D1_i.BLIF N_49_i.BLIF \ -N_48_i.BLIF N_122_i.BLIF N_123_i.BLIF cpu_est_ns_e_0_0__n.BLIF N_79_i.BLIF \ -N_226_i.BLIF N_227_i.BLIF sm_amiga_ns_0_0__n.BLIF cpu_est_0_.BLIF N_222_i.BLIF \ -cpu_est_1_.BLIF N_223_i.BLIF cpu_est_2_.BLIF N_225_i.BLIF cpu_est_3_reg.BLIF \ -cpu_est_ns_0_2__n.BLIF N_221_i.BLIF N_41_i.BLIF N_127_i.BLIF N_220_i.BLIF \ -cpu_est_ns_1__n.BLIF N_157_i.BLIF cpu_est_ns_2__n.BLIF N_214_i.BLIF N_203.BLIF \ -N_215_i.BLIF N_204.BLIF sm_amiga_ns_0_7__n.BLIF N_205.BLIF N_93_i.BLIF \ -N_23.BLIF N_94_i.BLIF N_27.BLIF N_47.BLIF N_85_i.BLIF N_48.BLIF N_86_i.BLIF \ -N_49.BLIF sm_amiga_ns_0_5__n.BLIF N_50.BLIF N_84_i.BLIF N_53.BLIF N_130_i.BLIF \ -N_54.BLIF N_55.BLIF N_82_i.BLIF N_57.BLIF N_58.BLIF N_81_i.BLIF N_60.BLIF \ -N_61.BLIF N_77_i.BLIF N_68.BLIF N_69.BLIF N_75_i.BLIF N_70.BLIF \ -state_machine_lds_000_int_5_0_n.BLIF N_71.BLIF \ -state_machine_uds_000_int_5_0_n.BLIF N_72.BLIF N_73_i.BLIF N_73.BLIF \ -N_27_0.BLIF N_75.BLIF N_23_0.BLIF N_77.BLIF N_71_i.BLIF N_79.BLIF N_205_0.BLIF \ -N_81.BLIF N_204_0.BLIF N_82.BLIF N_68_i.BLIF N_84.BLIF N_69_i.BLIF N_85.BLIF \ -state_machine_un15_clk_000_d0_0_n.BLIF N_86.BLIF N_203_0.BLIF N_93.BLIF \ -state_machine_un6_bgack_000_0_n.BLIF N_94.BLIF N_214.BLIF \ -state_machine_un23_clk_000_d0_0_n.BLIF N_215.BLIF N_238_1.BLIF N_216.BLIF \ -N_238_2.BLIF N_217.BLIF N_238_3.BLIF N_220.BLIF N_238_4.BLIF N_221.BLIF \ -N_238_5.BLIF N_222.BLIF N_238_6.BLIF N_223.BLIF N_241_1.BLIF N_225.BLIF \ -N_241_2.BLIF N_226.BLIF state_machine_un8_clk_000_d2_1_n.BLIF N_227.BLIF \ -N_53_i_1.BLIF N_122.BLIF N_53_i_2.BLIF N_123.BLIF N_53_i_3.BLIF N_126.BLIF \ -N_53_i_4.BLIF N_127.BLIF N_53_i_5.BLIF N_128.BLIF cpu_est_ns_0_1_1__n.BLIF \ -N_129.BLIF cpu_est_ns_0_2_1__n.BLIF N_130.BLIF \ -state_machine_un12_bg_030_1_n.BLIF N_238.BLIF \ -state_machine_un12_bg_030_2_n.BLIF N_241.BLIF \ -state_machine_un12_bg_030_3_n.BLIF RW_i.BLIF \ -state_machine_un12_bg_030_4_n.BLIF VMA_INT_i.BLIF N_73_1.BLIF VPA_D_i.BLIF \ -N_73_2.BLIF DTACK_i.BLIF N_72_1.BLIF BG_030_i.BLIF N_72_2.BLIF \ -CLK_000_D0_i.BLIF N_70_1.BLIF sm_amiga_i_4__n.BLIF N_70_2.BLIF \ -cpu_est_i_3__n.BLIF N_70_3.BLIF sm_amiga_i_1__n.BLIF sm_amiga_ns_0_1_0__n.BLIF \ -state_machine_un6_clk_000_d4_i_n.BLIF cpu_est_ns_0_1_2__n.BLIF \ -sm_amiga_i_6__n.BLIF N_221_1.BLIF nEXP_SPACE_i.BLIF N_215_1.BLIF \ -AS_000_INT_i.BLIF N_75_1.BLIF cpu_est_i_1__n.BLIF N_69_1.BLIF \ -cpu_est_i_0__n.BLIF N_68_1.BLIF AMIGA_BUS_ENABLE_i.BLIF \ +DSACK_0_.BLIF CLK_000_c.BLIF CLK_OSZI_c.BLIF CLK_OUT_INTreg.BLIF \ +inst_BGACK_030_INTreg.BLIF inst_FPU_CS_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF \ +inst_VMA_INTreg.BLIF inst_AS_000_INTreg.BLIF IPL_030DFFSH_1_reg.BLIF \ +inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF IPL_030DFFSH_2_reg.BLIF \ +inst_VPA_D.BLIF inst_VPA_SYNC.BLIF ipl_c_0__n.BLIF inst_CLK_000_D0.BLIF \ +inst_CLK_000_D1.BLIF ipl_c_1__n.BLIF inst_CLK_000_D2.BLIF inst_CLK_000_D6.BLIF \ +ipl_c_2__n.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_6_.BLIF vcc_n_n.BLIF \ +dsack_c_1__n.BLIF gnd_n_n.BLIF inst_UDS_000_INTreg.BLIF DTACK_c.BLIF \ +inst_LDS_000_INTreg.BLIF DSACK_INT_1_.BLIF AS_000_INT_1_sqmuxa.BLIF \ +state_machine_un8_clk_000_d2_n.BLIF inst_CLK_000_D3.BLIF SM_AMIGA_4_.BLIF \ +state_machine_un23_clk_000_d0_n.BLIF state_machine_un6_clk_000_d5_n.BLIF \ +RST_c.BLIF inst_CLK_000_D5.BLIF SM_AMIGA_7_.BLIF RESETDFFRHreg.BLIF \ +SM_AMIGA_3_.BLIF state_machine_un6_bgack_000_n.BLIF RW_c.BLIF SM_AMIGA_1_.BLIF \ +inst_DTACK_DMA.BLIF fc_c_0__n.BLIF G_102.BLIF CLK_CNT_N_0_.BLIF fc_c_1__n.BLIF \ +CLK_CNT_N_1_.BLIF G_108.BLIF AMIGA_BUS_ENABLEDFFreg.BLIF CLK_CNT_P_0_.BLIF \ +CLK_CNT_P_1_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_0_.BLIF \ +state_machine_un10_bg_030_n.BLIF cpu_est_ns_0_1__n.BLIF \ +state_machine_un7_as_000_int_n.BLIF N_129_i.BLIF inst_CLK_000_D4.BLIF \ +N_131_i.BLIF state_machine_un15_clk_000_d0_n.BLIF N_221_i.BLIF \ +state_machine_lds_000_int_5_n.BLIF N_222_i.BLIF \ +state_machine_uds_000_int_5_n.BLIF N_63_0.BLIF \ +un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_62_0.BLIF inst_CLK_OUT_PRE.BLIF \ +N_132_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF DS_030_c_i.BLIF \ +N_60_i.BLIF N_59_i.BLIF N_57_i.BLIF N_56_i.BLIF N_55_i.BLIF CLK_000_D1_i.BLIF \ +N_54_i.BLIF N_51_i.BLIF N_50_i.BLIF N_125_i.BLIF N_126_i.BLIF \ +cpu_est_ns_e_0_0__n.BLIF N_85_i.BLIF N_123_i.BLIF N_124_i.BLIF \ +sm_amiga_ns_0_0__n.BLIF cpu_est_0_.BLIF N_122_i.BLIF cpu_est_1_.BLIF \ +N_227_i.BLIF cpu_est_2_.BLIF N_228_i.BLIF cpu_est_3_reg.BLIF \ +cpu_est_ns_0_2__n.BLIF N_226_i.BLIF N_44_i.BLIF N_130_i.BLIF N_225_i.BLIF \ +cpu_est_ns_1__n.BLIF N_158_i.BLIF cpu_est_ns_2__n.BLIF N_219_i.BLIF N_204.BLIF \ +N_220_i.BLIF N_205.BLIF sm_amiga_ns_0_7__n.BLIF N_206.BLIF N_215_i.BLIF \ +N_26.BLIF N_216_i.BLIF N_30.BLIF N_49.BLIF N_95_i.BLIF N_50.BLIF N_214_i.BLIF \ +N_51.BLIF sm_amiga_ns_0_5__n.BLIF N_54.BLIF N_94_i.BLIF N_55.BLIF N_133_i.BLIF \ +N_56.BLIF N_57.BLIF N_87_i.BLIF N_59.BLIF N_60.BLIF N_86_i.BLIF N_62.BLIF \ +N_63.BLIF N_83_i.BLIF N_68.BLIF N_70.BLIF N_82_i.BLIF N_72.BLIF \ +state_machine_lds_000_int_5_0_n.BLIF N_73.BLIF \ +state_machine_uds_000_int_5_0_n.BLIF N_74.BLIF N_80_i.BLIF N_76.BLIF \ +N_30_0.BLIF N_78.BLIF N_26_0.BLIF N_80.BLIF N_76_i.BLIF N_82.BLIF N_206_0.BLIF \ +N_83.BLIF N_205_0.BLIF N_85.BLIF N_72_i.BLIF N_86.BLIF N_73_i.BLIF N_87.BLIF \ +state_machine_un15_clk_000_d0_0_n.BLIF N_94.BLIF N_204_0.BLIF N_95.BLIF \ +BG_030_c_i.BLIF N_214.BLIF N_70_i.BLIF N_215.BLIF \ +state_machine_un10_bg_030_0_n.BLIF N_216.BLIF \ +state_machine_un6_bgack_000_0_n.BLIF N_219.BLIF N_220.BLIF \ +state_machine_un23_clk_000_d0_0_n.BLIF N_221.BLIF N_236_1.BLIF N_222.BLIF \ +N_236_2.BLIF N_225.BLIF N_236_3.BLIF N_226.BLIF N_236_4.BLIF N_227.BLIF \ +N_236_5.BLIF N_228.BLIF N_236_6.BLIF N_122.BLIF N_239_1.BLIF N_123.BLIF \ +N_239_2.BLIF N_124.BLIF state_machine_un8_clk_000_d2_1_n.BLIF N_125.BLIF \ +N_55_i_1.BLIF N_126.BLIF N_55_i_2.BLIF N_129.BLIF N_55_i_3.BLIF N_130.BLIF \ +N_55_i_4.BLIF N_131.BLIF N_55_i_5.BLIF N_132.BLIF cpu_est_ns_0_1_1__n.BLIF \ +N_133.BLIF cpu_est_ns_0_2_1__n.BLIF N_236.BLIF N_80_1.BLIF N_239.BLIF \ +N_80_2.BLIF RW_i.BLIF N_78_1.BLIF VMA_INT_i.BLIF N_78_2.BLIF VPA_D_i.BLIF \ +N_74_1.BLIF DTACK_i.BLIF N_74_2.BLIF CLK_000_D0_i.BLIF N_74_3.BLIF \ +sm_amiga_i_4__n.BLIF N_70_1.BLIF cpu_est_i_3__n.BLIF N_70_2.BLIF \ +sm_amiga_i_1__n.BLIF sm_amiga_ns_0_1_0__n.BLIF \ +state_machine_un6_clk_000_d5_i_n.BLIF cpu_est_ns_0_1_2__n.BLIF \ +sm_amiga_i_6__n.BLIF N_226_1.BLIF nEXP_SPACE_i.BLIF N_220_1.BLIF \ +AS_000_INT_i.BLIF N_82_1.BLIF cpu_est_i_1__n.BLIF N_73_1.BLIF \ +cpu_est_i_0__n.BLIF N_72_1.BLIF AMIGA_BUS_ENABLE_i.BLIF \ state_machine_uds_000_int_5_0_m2_un3_n.BLIF AS_030_i.BLIF \ state_machine_uds_000_int_5_0_m2_un1_n.BLIF cpu_est_i_2__n.BLIF \ state_machine_uds_000_int_5_0_m2_un0_n.BLIF sm_amiga_i_2__n.BLIF \ -lds_000_int_0_un3_n.BLIF sm_amiga_i_3__n.BLIF lds_000_int_0_un1_n.BLIF \ -sm_amiga_i_5__n.BLIF lds_000_int_0_un0_n.BLIF \ -state_machine_un8_clk_000_d2_i_n.BLIF vpa_sync_0_un3_n.BLIF \ -sm_amiga_i_7__n.BLIF vpa_sync_0_un1_n.BLIF a_i_0__n.BLIF vpa_sync_0_un0_n.BLIF \ -size_i_1__n.BLIF vma_int_0_un3_n.BLIF dsack_i_1__n.BLIF vma_int_0_un1_n.BLIF \ -CLK_000_D2_i.BLIF vma_int_0_un0_n.BLIF AS_030_000_SYNC_i.BLIF \ -bgack_030_int_0_un3_n.BLIF a_i_30__n.BLIF bgack_030_int_0_un1_n.BLIF \ -a_i_31__n.BLIF bgack_030_int_0_un0_n.BLIF a_i_28__n.BLIF \ -as_000_int_0_un3_n.BLIF a_i_29__n.BLIF as_000_int_0_un1_n.BLIF a_i_26__n.BLIF \ -as_000_int_0_un0_n.BLIF a_i_27__n.BLIF ipl_030_0_0__un3_n.BLIF a_i_24__n.BLIF \ -ipl_030_0_0__un1_n.BLIF a_i_25__n.BLIF ipl_030_0_0__un0_n.BLIF a_i_19__n.BLIF \ -ipl_030_0_1__un3_n.BLIF a_i_16__n.BLIF ipl_030_0_1__un1_n.BLIF a_i_18__n.BLIF \ -ipl_030_0_1__un0_n.BLIF RST_i.BLIF ipl_030_0_2__un3_n.BLIF \ +vpa_sync_0_un3_n.BLIF sm_amiga_i_3__n.BLIF vpa_sync_0_un1_n.BLIF \ +sm_amiga_i_5__n.BLIF vpa_sync_0_un0_n.BLIF \ +state_machine_un8_clk_000_d2_i_n.BLIF vma_int_0_un3_n.BLIF \ +sm_amiga_i_7__n.BLIF vma_int_0_un1_n.BLIF a_i_0__n.BLIF vma_int_0_un0_n.BLIF \ +size_i_1__n.BLIF bg_000_0_un3_n.BLIF dsack_i_1__n.BLIF bg_000_0_un1_n.BLIF \ +BGACK_030_INT_i.BLIF bg_000_0_un0_n.BLIF CLK_000_D2_i.BLIF \ +bgack_030_int_0_un3_n.BLIF AS_030_000_SYNC_i.BLIF bgack_030_int_0_un1_n.BLIF \ +a_i_19__n.BLIF bgack_030_int_0_un0_n.BLIF a_i_16__n.BLIF \ +as_000_int_0_un3_n.BLIF a_i_18__n.BLIF as_000_int_0_un1_n.BLIF a_i_30__n.BLIF \ +as_000_int_0_un0_n.BLIF a_i_31__n.BLIF ipl_030_0_0__un3_n.BLIF a_i_28__n.BLIF \ +ipl_030_0_0__un1_n.BLIF a_i_29__n.BLIF ipl_030_0_0__un0_n.BLIF a_i_26__n.BLIF \ +ipl_030_0_1__un3_n.BLIF a_i_27__n.BLIF ipl_030_0_1__un1_n.BLIF a_i_24__n.BLIF \ +ipl_030_0_1__un0_n.BLIF a_i_25__n.BLIF ipl_030_0_2__un3_n.BLIF RST_i.BLIF \ ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF cpu_estse_0_un3_n.BLIF \ -CLK_OSZI_i.BLIF cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF N_70_i.BLIF \ -cpu_estse_1_un3_n.BLIF N_72_i.BLIF cpu_estse_1_un1_n.BLIF FPU_CS_INT_i.BLIF \ -cpu_estse_1_un0_n.BLIF BGACK_030_INT_i.BLIF cpu_estse_2_un3_n.BLIF \ -CLK_000_D5_i.BLIF cpu_estse_2_un1_n.BLIF AS_030_c.BLIF cpu_estse_2_un0_n.BLIF \ -as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un1_n.BLIF DS_030_c.BLIF \ -as_030_000_sync_0_un0_n.BLIF dtack_sync_0_un3_n.BLIF dtack_sync_0_un1_n.BLIF \ -dtack_sync_0_un0_n.BLIF size_c_0__n.BLIF fpu_cs_int_0_un3_n.BLIF \ -fpu_cs_int_0_un1_n.BLIF size_c_1__n.BLIF fpu_cs_int_0_un0_n.BLIF \ -dsack_int_0_1__un3_n.BLIF a_c_0__n.BLIF dsack_int_0_1__un1_n.BLIF \ -dsack_int_0_1__un0_n.BLIF amiga_bus_enable_0_un3_n.BLIF \ -amiga_bus_enable_0_un1_n.BLIF amiga_bus_enable_0_un0_n.BLIF \ -uds_000_int_0_un3_n.BLIF uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF \ -a_15__n.BLIF a_14__n.BLIF a_13__n.BLIF a_12__n.BLIF a_11__n.BLIF \ -a_c_16__n.BLIF a_10__n.BLIF a_c_17__n.BLIF a_9__n.BLIF a_c_18__n.BLIF \ -a_8__n.BLIF a_c_19__n.BLIF a_7__n.BLIF a_c_20__n.BLIF a_6__n.BLIF \ -a_c_21__n.BLIF a_5__n.BLIF a_c_22__n.BLIF a_4__n.BLIF a_c_23__n.BLIF \ -a_3__n.BLIF a_c_24__n.BLIF a_2__n.BLIF a_c_25__n.BLIF a_1__n.BLIF \ -a_c_26__n.BLIF a_c_27__n.BLIF a_c_28__n.BLIF a_c_29__n.BLIF a_c_30__n.BLIF \ -a_c_31__n.BLIF nEXP_SPACE_c.BLIF BG_030_c.BLIF BG_000DFFSHreg.BLIF \ -BGACK_000_c.BLIF CLK_030_c.BLIF DSACK_1_.PIN.BLIF DTACK.PIN.BLIF +CLK_OSZI_i.BLIF cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF N_74_i.BLIF \ +cpu_estse_1_un3_n.BLIF N_78_i.BLIF cpu_estse_1_un1_n.BLIF FPU_CS_INT_i.BLIF \ +cpu_estse_1_un0_n.BLIF CLK_000_D6_i.BLIF cpu_estse_2_un3_n.BLIF AS_030_c.BLIF \ +cpu_estse_2_un1_n.BLIF cpu_estse_2_un0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ +DS_030_c.BLIF as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF \ +dtack_sync_0_un3_n.BLIF dtack_sync_0_un1_n.BLIF size_c_0__n.BLIF \ +dtack_sync_0_un0_n.BLIF fpu_cs_int_0_un3_n.BLIF size_c_1__n.BLIF \ +fpu_cs_int_0_un1_n.BLIF fpu_cs_int_0_un0_n.BLIF a_c_0__n.BLIF \ +dsack_int_0_1__un3_n.BLIF dsack_int_0_1__un1_n.BLIF dsack_int_0_1__un0_n.BLIF \ +amiga_bus_enable_0_un3_n.BLIF amiga_bus_enable_0_un1_n.BLIF \ +amiga_bus_enable_0_un0_n.BLIF uds_000_int_0_un3_n.BLIF \ +uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF lds_000_int_0_un3_n.BLIF \ +lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF a_15__n.BLIF a_14__n.BLIF \ +a_13__n.BLIF a_c_16__n.BLIF a_12__n.BLIF a_c_17__n.BLIF a_11__n.BLIF \ +a_c_18__n.BLIF a_10__n.BLIF a_c_19__n.BLIF a_9__n.BLIF a_c_20__n.BLIF \ +a_8__n.BLIF a_c_21__n.BLIF a_7__n.BLIF a_c_22__n.BLIF a_6__n.BLIF \ +a_c_23__n.BLIF a_5__n.BLIF a_c_24__n.BLIF a_4__n.BLIF a_c_25__n.BLIF \ +a_3__n.BLIF a_c_26__n.BLIF a_2__n.BLIF a_c_27__n.BLIF a_1__n.BLIF \ +a_c_28__n.BLIF a_c_29__n.BLIF a_c_30__n.BLIF a_c_31__n.BLIF nEXP_SPACE_c.BLIF \ +BG_030_c.BLIF BG_000DFFSHreg.BLIF BGACK_000_c.BLIF CLK_030_c.BLIF \ +DSACK_1_.PIN.BLIF DTACK.PIN.BLIF .outputs IPL_030_2_ AS_000 UDS_000 LDS_000 BERR BG_000 BGACK_030 CLK_DIV_OUT \ CLK_EXP FPU_CS AVEC AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ -AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ cpu_est_2_.D cpu_est_2_.C \ -cpu_est_2_.AR cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR cpu_est_0_.D \ -cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C cpu_est_1_.AR \ -SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C \ -SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D \ -SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR \ -CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AR CLK_CNT_P_0_.D CLK_CNT_P_0_.C \ -CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR \ +AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ cpu_est_1_.D cpu_est_1_.C \ +cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR cpu_est_3_reg.D \ +cpu_est_3_reg.C cpu_est_3_reg.AR cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR \ +SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C \ +SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D \ +SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR \ +SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C \ +CLK_CNT_N_0_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D \ +CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR \ IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP \ IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP \ IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D \ SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR \ -SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C \ -SM_AMIGA_4_.AR inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C \ -inst_UDS_000_INTreg.AP inst_LDS_000_INTreg.D inst_LDS_000_INTreg.C \ -inst_LDS_000_INTreg.AP inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP \ -inst_VMA_INTreg.D inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D \ -inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP inst_AS_000_INTreg.D \ -inst_AS_000_INTreg.C inst_AS_000_INTreg.AP inst_CLK_OUT_PRE.D \ -inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR CLK_OUT_INTreg.D CLK_OUT_INTreg.C \ -CLK_OUT_INTreg.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ -inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.D inst_DTACK_SYNC.C inst_DTACK_SYNC.AP \ -inst_FPU_CS_INTreg.D inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP DSACK_INT_1_.D \ -DSACK_INT_1_.C DSACK_INT_1_.AP AMIGA_BUS_ENABLEDFFreg.D \ -AMIGA_BUS_ENABLEDFFreg.C inst_DTACK_DMA.D inst_DTACK_DMA.C inst_DTACK_DMA.AP \ -inst_CLK_000_D5.D inst_CLK_000_D5.C inst_CLK_000_D5.AP BG_000DFFSHreg.D \ -BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_CLK_000_D4.D inst_CLK_000_D4.C \ -inst_CLK_000_D4.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP \ -inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_VPA_D.D \ -inst_VPA_D.C inst_VPA_D.AP inst_CLK_000_D0.D inst_CLK_000_D0.C \ -inst_CLK_000_D0.AP RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR \ -inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP DSACK_1_ DTACK DSACK_0_ \ -CLK_OSZI_c ipl_c_0__n ipl_c_1__n ipl_c_2__n dsack_c_1__n vcc_n_n DTACK_c \ -gnd_n_n AS_000_INT_1_sqmuxa state_machine_un8_clk_000_d2_n RST_c \ -state_machine_un23_clk_000_d0_n state_machine_un6_clk_000_d4_n RW_c \ -state_machine_un12_bg_030_n fc_c_0__n state_machine_un6_bgack_000_n fc_c_1__n \ -cpu_est_ns_0_1__n N_126_i N_128_i state_machine_un7_as_000_int_n N_216_i \ -state_machine_un15_clk_000_d0_n N_217_i state_machine_lds_000_int_5_n N_61_0 \ -state_machine_uds_000_int_5_n N_60_0 un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_129_i \ -un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i N_58_i N_57_i N_55_i N_54_i \ -N_53_i N_50_i CLK_000_D1_i N_49_i N_48_i N_122_i N_123_i cpu_est_ns_e_0_0__n \ -N_79_i N_226_i N_227_i sm_amiga_ns_0_0__n N_222_i N_223_i N_225_i \ -cpu_est_ns_0_2__n N_221_i N_41_i N_127_i N_220_i cpu_est_ns_1__n N_157_i \ -cpu_est_ns_2__n N_214_i N_203 N_215_i N_204 sm_amiga_ns_0_7__n N_205 N_93_i \ -N_23 N_94_i N_27 N_47 N_85_i N_48 N_86_i N_49 sm_amiga_ns_0_5__n N_50 N_84_i \ -N_53 N_130_i N_54 N_55 N_82_i N_57 N_58 N_81_i N_60 N_61 N_77_i N_68 N_69 \ -N_75_i N_70 state_machine_lds_000_int_5_0_n N_71 \ -state_machine_uds_000_int_5_0_n N_72 N_73_i N_73 N_27_0 N_75 N_23_0 N_77 \ -N_71_i N_79 N_205_0 N_81 N_204_0 N_82 N_68_i N_84 N_69_i N_85 \ -state_machine_un15_clk_000_d0_0_n N_86 N_203_0 N_93 \ -state_machine_un6_bgack_000_0_n N_94 N_214 state_machine_un23_clk_000_d0_0_n \ -N_215 N_238_1 N_216 N_238_2 N_217 N_238_3 N_220 N_238_4 N_221 N_238_5 N_222 \ -N_238_6 N_223 N_241_1 N_225 N_241_2 N_226 state_machine_un8_clk_000_d2_1_n \ -N_227 N_53_i_1 N_122 N_53_i_2 N_123 N_53_i_3 N_126 N_53_i_4 N_127 N_53_i_5 \ -N_128 cpu_est_ns_0_1_1__n N_129 cpu_est_ns_0_2_1__n N_130 \ -state_machine_un12_bg_030_1_n N_238 state_machine_un12_bg_030_2_n N_241 \ -state_machine_un12_bg_030_3_n RW_i state_machine_un12_bg_030_4_n VMA_INT_i \ -N_73_1 VPA_D_i N_73_2 DTACK_i N_72_1 BG_030_i N_72_2 CLK_000_D0_i N_70_1 \ -sm_amiga_i_4__n N_70_2 cpu_est_i_3__n N_70_3 sm_amiga_i_1__n \ -sm_amiga_ns_0_1_0__n state_machine_un6_clk_000_d4_i_n cpu_est_ns_0_1_2__n \ -sm_amiga_i_6__n N_221_1 nEXP_SPACE_i N_215_1 AS_000_INT_i N_75_1 \ -cpu_est_i_1__n N_69_1 cpu_est_i_0__n N_68_1 AMIGA_BUS_ENABLE_i \ -state_machine_uds_000_int_5_0_m2_un3_n AS_030_i \ +inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C inst_UDS_000_INTreg.AP \ +inst_LDS_000_INTreg.D inst_LDS_000_INTreg.C inst_LDS_000_INTreg.AP \ +inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_VMA_INTreg.D \ +inst_VMA_INTreg.C inst_VMA_INTreg.AP BG_000DFFSHreg.D BG_000DFFSHreg.C \ +BG_000DFFSHreg.AP inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ +inst_BGACK_030_INTreg.AP inst_AS_000_INTreg.D inst_AS_000_INTreg.C \ +inst_AS_000_INTreg.AP inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C \ +inst_CLK_OUT_PRE.AR CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR \ +inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP \ +inst_DTACK_SYNC.D inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_FPU_CS_INTreg.D \ +inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP DSACK_INT_1_.D DSACK_INT_1_.C \ +DSACK_INT_1_.AP AMIGA_BUS_ENABLEDFFreg.D AMIGA_BUS_ENABLEDFFreg.C \ +inst_DTACK_DMA.D inst_DTACK_DMA.C inst_DTACK_DMA.AP inst_CLK_000_D5.D \ +inst_CLK_000_D5.C inst_CLK_000_D5.AP inst_CLK_000_D6.D inst_CLK_000_D6.C \ +inst_CLK_000_D6.AP inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP \ +inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.D \ +inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP \ +inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP RESETDFFRHreg.D \ +RESETDFFRHreg.C RESETDFFRHreg.AR inst_CLK_000_D1.D inst_CLK_000_D1.C \ +inst_CLK_000_D1.AP DSACK_1_ DTACK DSACK_0_ CLK_000_c CLK_OSZI_c ipl_c_0__n \ +ipl_c_1__n ipl_c_2__n vcc_n_n dsack_c_1__n gnd_n_n DTACK_c AS_000_INT_1_sqmuxa \ +state_machine_un8_clk_000_d2_n state_machine_un23_clk_000_d0_n \ +state_machine_un6_clk_000_d5_n RST_c state_machine_un6_bgack_000_n RW_c \ +fc_c_0__n fc_c_1__n state_machine_un10_bg_030_n cpu_est_ns_0_1__n \ +state_machine_un7_as_000_int_n N_129_i N_131_i state_machine_un15_clk_000_d0_n \ +N_221_i state_machine_lds_000_int_5_n N_222_i state_machine_uds_000_int_5_n \ +N_63_0 un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_62_0 N_132_i \ +un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i N_60_i N_59_i N_57_i N_56_i \ +N_55_i CLK_000_D1_i N_54_i N_51_i N_50_i N_125_i N_126_i cpu_est_ns_e_0_0__n \ +N_85_i N_123_i N_124_i sm_amiga_ns_0_0__n N_122_i N_227_i N_228_i \ +cpu_est_ns_0_2__n N_226_i N_44_i N_130_i N_225_i cpu_est_ns_1__n N_158_i \ +cpu_est_ns_2__n N_219_i N_204 N_220_i N_205 sm_amiga_ns_0_7__n N_206 N_215_i \ +N_26 N_216_i N_30 N_49 N_95_i N_50 N_214_i N_51 sm_amiga_ns_0_5__n N_54 N_94_i \ +N_55 N_133_i N_56 N_57 N_87_i N_59 N_60 N_86_i N_62 N_63 N_83_i N_68 N_70 \ +N_82_i N_72 state_machine_lds_000_int_5_0_n N_73 \ +state_machine_uds_000_int_5_0_n N_74 N_80_i N_76 N_30_0 N_78 N_26_0 N_80 \ +N_76_i N_82 N_206_0 N_83 N_205_0 N_85 N_72_i N_86 N_73_i N_87 \ +state_machine_un15_clk_000_d0_0_n N_94 N_204_0 N_95 BG_030_c_i N_214 N_70_i \ +N_215 state_machine_un10_bg_030_0_n N_216 state_machine_un6_bgack_000_0_n \ +N_219 N_220 state_machine_un23_clk_000_d0_0_n N_221 N_236_1 N_222 N_236_2 \ +N_225 N_236_3 N_226 N_236_4 N_227 N_236_5 N_228 N_236_6 N_122 N_239_1 N_123 \ +N_239_2 N_124 state_machine_un8_clk_000_d2_1_n N_125 N_55_i_1 N_126 N_55_i_2 \ +N_129 N_55_i_3 N_130 N_55_i_4 N_131 N_55_i_5 N_132 cpu_est_ns_0_1_1__n N_133 \ +cpu_est_ns_0_2_1__n N_236 N_80_1 N_239 N_80_2 RW_i N_78_1 VMA_INT_i N_78_2 \ +VPA_D_i N_74_1 DTACK_i N_74_2 CLK_000_D0_i N_74_3 sm_amiga_i_4__n N_70_1 \ +cpu_est_i_3__n N_70_2 sm_amiga_i_1__n sm_amiga_ns_0_1_0__n \ +state_machine_un6_clk_000_d5_i_n cpu_est_ns_0_1_2__n sm_amiga_i_6__n N_226_1 \ +nEXP_SPACE_i N_220_1 AS_000_INT_i N_82_1 cpu_est_i_1__n N_73_1 cpu_est_i_0__n \ +N_72_1 AMIGA_BUS_ENABLE_i state_machine_uds_000_int_5_0_m2_un3_n AS_030_i \ state_machine_uds_000_int_5_0_m2_un1_n cpu_est_i_2__n \ -state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n lds_000_int_0_un3_n \ -sm_amiga_i_3__n lds_000_int_0_un1_n sm_amiga_i_5__n lds_000_int_0_un0_n \ -state_machine_un8_clk_000_d2_i_n vpa_sync_0_un3_n sm_amiga_i_7__n \ -vpa_sync_0_un1_n a_i_0__n vpa_sync_0_un0_n size_i_1__n vma_int_0_un3_n \ -dsack_i_1__n vma_int_0_un1_n CLK_000_D2_i vma_int_0_un0_n AS_030_000_SYNC_i \ -bgack_030_int_0_un3_n a_i_30__n bgack_030_int_0_un1_n a_i_31__n \ -bgack_030_int_0_un0_n a_i_28__n as_000_int_0_un3_n a_i_29__n \ -as_000_int_0_un1_n a_i_26__n as_000_int_0_un0_n a_i_27__n ipl_030_0_0__un3_n \ -a_i_24__n ipl_030_0_0__un1_n a_i_25__n ipl_030_0_0__un0_n a_i_19__n \ -ipl_030_0_1__un3_n a_i_16__n ipl_030_0_1__un1_n a_i_18__n ipl_030_0_1__un0_n \ -RST_i ipl_030_0_2__un3_n ipl_030_0_2__un1_n ipl_030_0_2__un0_n \ -cpu_estse_0_un3_n CLK_OSZI_i cpu_estse_0_un1_n cpu_estse_0_un0_n N_70_i \ -cpu_estse_1_un3_n N_72_i cpu_estse_1_un1_n FPU_CS_INT_i cpu_estse_1_un0_n \ -BGACK_030_INT_i cpu_estse_2_un3_n CLK_000_D5_i cpu_estse_2_un1_n AS_030_c \ -cpu_estse_2_un0_n as_030_000_sync_0_un3_n as_030_000_sync_0_un1_n DS_030_c \ -as_030_000_sync_0_un0_n dtack_sync_0_un3_n dtack_sync_0_un1_n \ -dtack_sync_0_un0_n size_c_0__n fpu_cs_int_0_un3_n fpu_cs_int_0_un1_n \ -size_c_1__n fpu_cs_int_0_un0_n dsack_int_0_1__un3_n a_c_0__n \ -dsack_int_0_1__un1_n dsack_int_0_1__un0_n amiga_bus_enable_0_un3_n \ -amiga_bus_enable_0_un1_n amiga_bus_enable_0_un0_n uds_000_int_0_un3_n \ -uds_000_int_0_un1_n uds_000_int_0_un0_n a_15__n a_14__n a_13__n a_12__n \ -a_11__n a_c_16__n a_10__n a_c_17__n a_9__n a_c_18__n a_8__n a_c_19__n a_7__n \ -a_c_20__n a_6__n a_c_21__n a_5__n a_c_22__n a_4__n a_c_23__n a_3__n a_c_24__n \ -a_2__n a_c_25__n a_1__n a_c_26__n a_c_27__n a_c_28__n a_c_29__n a_c_30__n \ -a_c_31__n nEXP_SPACE_c BG_030_c BGACK_000_c CLK_030_c DSACK_1_.OE DTACK.OE \ -AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE G_102 \ -G_108 +state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n vpa_sync_0_un3_n \ +sm_amiga_i_3__n vpa_sync_0_un1_n sm_amiga_i_5__n vpa_sync_0_un0_n \ +state_machine_un8_clk_000_d2_i_n vma_int_0_un3_n sm_amiga_i_7__n \ +vma_int_0_un1_n a_i_0__n vma_int_0_un0_n size_i_1__n bg_000_0_un3_n \ +dsack_i_1__n bg_000_0_un1_n BGACK_030_INT_i bg_000_0_un0_n CLK_000_D2_i \ +bgack_030_int_0_un3_n AS_030_000_SYNC_i bgack_030_int_0_un1_n a_i_19__n \ +bgack_030_int_0_un0_n a_i_16__n as_000_int_0_un3_n a_i_18__n \ +as_000_int_0_un1_n a_i_30__n as_000_int_0_un0_n a_i_31__n ipl_030_0_0__un3_n \ +a_i_28__n ipl_030_0_0__un1_n a_i_29__n ipl_030_0_0__un0_n a_i_26__n \ +ipl_030_0_1__un3_n a_i_27__n ipl_030_0_1__un1_n a_i_24__n ipl_030_0_1__un0_n \ +a_i_25__n ipl_030_0_2__un3_n RST_i ipl_030_0_2__un1_n ipl_030_0_2__un0_n \ +cpu_estse_0_un3_n CLK_OSZI_i cpu_estse_0_un1_n cpu_estse_0_un0_n N_74_i \ +cpu_estse_1_un3_n N_78_i cpu_estse_1_un1_n FPU_CS_INT_i cpu_estse_1_un0_n \ +CLK_000_D6_i cpu_estse_2_un3_n AS_030_c cpu_estse_2_un1_n cpu_estse_2_un0_n \ +as_030_000_sync_0_un3_n DS_030_c as_030_000_sync_0_un1_n \ +as_030_000_sync_0_un0_n dtack_sync_0_un3_n dtack_sync_0_un1_n size_c_0__n \ +dtack_sync_0_un0_n fpu_cs_int_0_un3_n size_c_1__n fpu_cs_int_0_un1_n \ +fpu_cs_int_0_un0_n a_c_0__n dsack_int_0_1__un3_n dsack_int_0_1__un1_n \ +dsack_int_0_1__un0_n amiga_bus_enable_0_un3_n amiga_bus_enable_0_un1_n \ +amiga_bus_enable_0_un0_n uds_000_int_0_un3_n uds_000_int_0_un1_n \ +uds_000_int_0_un0_n lds_000_int_0_un3_n lds_000_int_0_un1_n \ +lds_000_int_0_un0_n a_15__n a_14__n a_13__n a_c_16__n a_12__n a_c_17__n \ +a_11__n a_c_18__n a_10__n a_c_19__n a_9__n a_c_20__n a_8__n a_c_21__n a_7__n \ +a_c_22__n a_6__n a_c_23__n a_5__n a_c_24__n a_4__n a_c_25__n a_3__n a_c_26__n \ +a_2__n a_c_27__n a_1__n a_c_28__n a_c_29__n a_c_30__n a_c_31__n nEXP_SPACE_c \ +BG_030_c BGACK_000_c CLK_030_c DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE \ +LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE G_102 G_108 +.names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D +1- 1 +-1 1 .names cpu_estse_1_un1_n.BLIF cpu_estse_1_un0_n.BLIF cpu_est_2_.D 1- 1 -1 1 @@ -275,14 +277,15 @@ G_108 -1 1 .names cpu_est_ns_e_0_0__n.BLIF cpu_est_0_.D 0 1 -.names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names N_84_i.BLIF N_130_i.BLIF SM_AMIGA_3_.D +.names inst_CLK_000_D0.BLIF N_86_i.BLIF SM_AMIGA_5_.D +11 1 +.names CLK_000_D0_i.BLIF N_87_i.BLIF SM_AMIGA_4_.D +11 1 +.names N_94_i.BLIF N_133_i.BLIF SM_AMIGA_3_.D 11 1 .names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D 0 1 -.names N_93_i.BLIF N_94_i.BLIF SM_AMIGA_1_.D +.names N_215_i.BLIF N_216_i.BLIF SM_AMIGA_1_.D 11 1 .names sm_amiga_ns_0_7__n.BLIF SM_AMIGA_0_.D 0 1 @@ -301,11 +304,7 @@ G_108 -1 1 .names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D 0 1 -.names N_77_i.BLIF N_79_i.BLIF SM_AMIGA_6_.D -11 1 -.names inst_CLK_000_D0.BLIF N_81_i.BLIF SM_AMIGA_5_.D -11 1 -.names CLK_000_D0_i.BLIF N_82_i.BLIF SM_AMIGA_4_.D +.names N_83_i.BLIF N_85_i.BLIF SM_AMIGA_6_.D 11 1 .names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INTreg.D 1- 1 @@ -319,6 +318,9 @@ G_108 .names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D 1- 1 -1 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF BG_000DFFSHreg.D +1- 1 +-1 1 .names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF \ inst_BGACK_030_INTreg.D 1- 1 @@ -347,8 +349,6 @@ AMIGA_BUS_ENABLEDFFreg.D -1 1 .names state_machine_un7_as_000_int_n.BLIF inst_DTACK_DMA.D 0 1 -.names state_machine_un12_bg_030_n.BLIF BG_000DFFSHreg.D -0 1 .names vcc_n_n 1 .names gnd_n_n @@ -359,359 +359,361 @@ state_machine_un8_clk_000_d2_n 11 1 .names state_machine_un23_clk_000_d0_0_n.BLIF state_machine_un23_clk_000_d0_n 0 1 -.names inst_CLK_000_D4.BLIF CLK_000_D5_i.BLIF state_machine_un6_clk_000_d4_n -11 1 -.names state_machine_un12_bg_030_4_n.BLIF state_machine_un12_bg_030_3_n.BLIF \ -state_machine_un12_bg_030_n +.names inst_CLK_000_D5.BLIF CLK_000_D6_i.BLIF state_machine_un6_clk_000_d5_n 11 1 .names state_machine_un6_bgack_000_0_n.BLIF state_machine_un6_bgack_000_n 0 1 +.names state_machine_un10_bg_030_0_n.BLIF state_machine_un10_bg_030_n +0 1 .names cpu_est_ns_0_1_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_ns_0_1__n 11 1 -.names N_126.BLIF N_126_i -0 1 -.names N_128.BLIF N_128_i -0 1 .names AS_000_INT_i.BLIF dsack_i_1__n.BLIF state_machine_un7_as_000_int_n 11 1 -.names N_216.BLIF N_216_i +.names N_129.BLIF N_129_i +0 1 +.names N_131.BLIF N_131_i 0 1 .names state_machine_un15_clk_000_d0_0_n.BLIF state_machine_un15_clk_000_d0_n 0 1 -.names N_217.BLIF N_217_i +.names N_221.BLIF N_221_i 0 1 .names state_machine_lds_000_int_5_0_n.BLIF state_machine_lds_000_int_5_n 0 1 -.names inst_CLK_000_D0.BLIF SM_AMIGA_4_.BLIF N_61_0 -11 1 +.names N_222.BLIF N_222_i +0 1 .names state_machine_uds_000_int_5_0_n.BLIF state_machine_uds_000_int_5_n 0 1 -.names CLK_000_D0_i.BLIF N_54_i.BLIF N_60_0 +.names inst_CLK_000_D0.BLIF SM_AMIGA_4_.BLIF N_63_0 11 1 .names un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 0 1 -.names N_129.BLIF N_129_i +.names CLK_000_D0_i.BLIF N_56_i.BLIF N_62_0 +11 1 +.names N_132.BLIF N_132_i 0 1 -.names N_50_i.BLIF N_129_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 +.names N_51_i.BLIF N_132_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 11 1 .names DS_030_c.BLIF DS_030_c_i 0 1 -.names DS_030_c_i.BLIF N_47.BLIF N_58_i +.names DS_030_c_i.BLIF N_49.BLIF N_60_i 11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF N_57_i +.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF N_59_i 11 1 -.names SM_AMIGA_1_.BLIF state_machine_un6_clk_000_d4_n.BLIF N_55_i +.names SM_AMIGA_1_.BLIF state_machine_un6_clk_000_d5_n.BLIF N_57_i 11 1 -.names SM_AMIGA_6_.BLIF nEXP_SPACE_c.BLIF N_54_i +.names SM_AMIGA_6_.BLIF nEXP_SPACE_c.BLIF N_56_i 11 1 -.names N_53_i_4.BLIF N_53_i_5.BLIF N_53_i -11 1 -.names AS_030_i.BLIF N_55.BLIF N_50_i +.names N_55_i_4.BLIF N_55_i_5.BLIF N_55_i 11 1 .names inst_CLK_000_D1.BLIF CLK_000_D1_i 0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF N_49_i +.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF N_54_i 11 1 -.names inst_AS_000_INTreg.BLIF inst_CLK_000_D0.BLIF N_48_i +.names AS_030_i.BLIF N_57.BLIF N_51_i 11 1 -.names N_122.BLIF N_122_i +.names inst_AS_000_INTreg.BLIF inst_CLK_000_D0.BLIF N_50_i +11 1 +.names N_125.BLIF N_125_i +0 1 +.names N_126.BLIF N_126_i +0 1 +.names N_125_i.BLIF N_126_i.BLIF cpu_est_ns_e_0_0__n +11 1 +.names N_85.BLIF N_85_i 0 1 .names N_123.BLIF N_123_i 0 1 -.names N_122_i.BLIF N_123_i.BLIF cpu_est_ns_e_0_0__n -11 1 -.names N_79.BLIF N_79_i +.names N_124.BLIF N_124_i 0 1 -.names N_226.BLIF N_226_i +.names sm_amiga_ns_0_1_0__n.BLIF N_123_i.BLIF sm_amiga_ns_0_0__n +11 1 +.names N_122.BLIF N_122_i 0 1 .names N_227.BLIF N_227_i 0 1 -.names sm_amiga_ns_0_1_0__n.BLIF N_226_i.BLIF sm_amiga_ns_0_0__n -11 1 -.names N_222.BLIF N_222_i +.names N_228.BLIF N_228_i 0 1 -.names N_223.BLIF N_223_i +.names cpu_est_ns_0_1_2__n.BLIF N_227_i.BLIF cpu_est_ns_0_2__n +11 1 +.names N_226.BLIF N_226_i +0 1 +.names N_56.BLIF N_226_i.BLIF N_44_i +11 1 +.names N_130.BLIF N_130_i 0 1 .names N_225.BLIF N_225_i 0 1 -.names cpu_est_ns_0_1_2__n.BLIF N_223_i.BLIF cpu_est_ns_0_2__n -11 1 -.names N_221.BLIF N_221_i -0 1 -.names N_54.BLIF N_221_i.BLIF N_41_i -11 1 -.names N_127.BLIF N_127_i -0 1 -.names N_220.BLIF N_220_i -0 1 .names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n 0 1 -.names N_127_i.BLIF N_220_i.BLIF N_157_i +.names N_130_i.BLIF N_225_i.BLIF N_158_i 11 1 .names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n 0 1 -.names N_214.BLIF N_214_i -0 1 -.names N_203_0.BLIF N_203 -0 1 -.names N_215.BLIF N_215_i +.names N_219.BLIF N_219_i 0 1 .names N_204_0.BLIF N_204 0 1 -.names N_214_i.BLIF N_215_i.BLIF sm_amiga_ns_0_7__n -11 1 +.names N_220.BLIF N_220_i +0 1 .names N_205_0.BLIF N_205 0 1 -.names N_93.BLIF N_93_i +.names N_219_i.BLIF N_220_i.BLIF sm_amiga_ns_0_7__n +11 1 +.names N_206_0.BLIF N_206 0 1 -.names N_23_0.BLIF N_23 +.names N_215.BLIF N_215_i +0 1 +.names N_26_0.BLIF N_26 +0 1 +.names N_216.BLIF N_216_i +0 1 +.names N_30_0.BLIF N_30 +0 1 +.names state_machine_uds_000_int_5_0_m2_un1_n.BLIF \ +state_machine_uds_000_int_5_0_m2_un0_n.BLIF N_49 +1- 1 +-1 1 +.names N_95.BLIF N_95_i +0 1 +.names N_50_i.BLIF N_50 +0 1 +.names N_214.BLIF N_214_i +0 1 +.names N_51_i.BLIF N_51 +0 1 +.names N_95_i.BLIF N_214_i.BLIF sm_amiga_ns_0_5__n +11 1 +.names N_54_i.BLIF N_54 0 1 .names N_94.BLIF N_94_i 0 1 -.names N_27_0.BLIF N_27 -0 1 -.names state_machine_uds_000_int_5_0_m2_un1_n.BLIF \ -state_machine_uds_000_int_5_0_m2_un0_n.BLIF N_47 -1- 1 --1 1 -.names N_85.BLIF N_85_i -0 1 -.names N_48_i.BLIF N_48 -0 1 -.names N_86.BLIF N_86_i -0 1 -.names N_49_i.BLIF N_49 -0 1 -.names N_85_i.BLIF N_86_i.BLIF sm_amiga_ns_0_5__n -11 1 -.names N_50_i.BLIF N_50 -0 1 -.names N_84.BLIF N_84_i -0 1 -.names N_53_i.BLIF N_53 -0 1 -.names N_130.BLIF N_130_i -0 1 -.names N_54_i.BLIF N_54 -0 1 .names N_55_i.BLIF N_55 0 1 -.names N_82.BLIF N_82_i +.names N_133.BLIF N_133_i +0 1 +.names N_56_i.BLIF N_56 0 1 .names N_57_i.BLIF N_57 0 1 -.names N_58_i.BLIF N_58 +.names N_87.BLIF N_87_i 0 1 -.names N_81.BLIF N_81_i +.names N_59_i.BLIF N_59 0 1 -.names N_60_0.BLIF N_60 +.names N_60_i.BLIF N_60 0 1 -.names N_61_0.BLIF N_61 +.names N_86.BLIF N_86_i 0 1 -.names N_77.BLIF N_77_i +.names N_62_0.BLIF N_62 0 1 -.names N_68_1.BLIF VPA_D_i.BLIF N_68 -11 1 -.names N_69_1.BLIF cpu_est_2_.BLIF N_69 -11 1 -.names N_75.BLIF N_75_i +.names N_63_0.BLIF N_63 0 1 -.names N_70_3.BLIF VPA_D_i.BLIF N_70 +.names N_83.BLIF N_83_i +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_68 11 1 -.names N_58_i.BLIF N_75_i.BLIF state_machine_lds_000_int_5_0_n +.names N_70_1.BLIF N_70_2.BLIF N_70 11 1 -.names CLK_030_c.BLIF N_53_i.BLIF N_71 +.names N_82.BLIF N_82_i +0 1 +.names N_72_1.BLIF VPA_D_i.BLIF N_72 11 1 -.names a_i_0__n.BLIF N_58_i.BLIF state_machine_uds_000_int_5_0_n +.names N_60_i.BLIF N_82_i.BLIF state_machine_lds_000_int_5_0_n 11 1 -.names N_72_1.BLIF N_72_2.BLIF N_72 +.names N_73_1.BLIF cpu_est_2_.BLIF N_73 +11 1 +.names a_i_0__n.BLIF N_60_i.BLIF state_machine_uds_000_int_5_0_n +11 1 +.names N_74_3.BLIF VPA_D_i.BLIF N_74 +11 1 +.names N_80.BLIF N_80_i +0 1 +.names CLK_030_c.BLIF N_55_i.BLIF N_76 +11 1 +.names N_80_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF N_30_0 +11 1 +.names N_78_1.BLIF N_78_2.BLIF N_78 +11 1 +.names AS_030_i.BLIF N_78_i.BLIF N_26_0 +11 1 +.names N_80_1.BLIF N_80_2.BLIF N_80 +11 1 +.names N_76.BLIF N_76_i +0 1 +.names N_82_1.BLIF size_i_1__n.BLIF N_82 +11 1 +.names AS_030_i.BLIF N_76_i.BLIF N_206_0 +11 1 +.names N_62.BLIF sm_amiga_i_7__n.BLIF N_83 +11 1 +.names AS_030_i.BLIF N_74_i.BLIF N_205_0 +11 1 +.names SM_AMIGA_7_.BLIF state_machine_un8_clk_000_d2_i_n.BLIF N_85 +11 1 +.names N_72.BLIF N_72_i +0 1 +.names sm_amiga_i_5__n.BLIF sm_amiga_i_6__n.BLIF N_86 11 1 .names N_73.BLIF N_73_i 0 1 -.names N_73_1.BLIF N_73_2.BLIF N_73 +.names sm_amiga_i_4__n.BLIF sm_amiga_i_5__n.BLIF N_87 11 1 -.names N_73_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF N_27_0 +.names N_72_i.BLIF N_73_i.BLIF state_machine_un15_clk_000_d0_0_n 11 1 -.names N_75_1.BLIF size_i_1__n.BLIF N_75 +.names N_63.BLIF sm_amiga_i_3__n.BLIF N_94 11 1 -.names AS_030_i.BLIF N_72_i.BLIF N_23_0 +.names AS_030_i.BLIF N_60.BLIF N_204_0 11 1 -.names N_60.BLIF sm_amiga_i_7__n.BLIF N_77 +.names CLK_000_D0_i.BLIF SM_AMIGA_2_.BLIF N_95 11 1 -.names N_71.BLIF N_71_i +.names BG_030_c.BLIF BG_030_c_i 0 1 -.names SM_AMIGA_7_.BLIF state_machine_un8_clk_000_d2_i_n.BLIF N_79 +.names N_133.BLIF SM_AMIGA_3_.BLIF N_214 11 1 -.names AS_030_i.BLIF N_71_i.BLIF N_205_0 -11 1 -.names sm_amiga_i_5__n.BLIF sm_amiga_i_6__n.BLIF N_81 -11 1 -.names AS_030_i.BLIF N_70_i.BLIF N_204_0 -11 1 -.names sm_amiga_i_4__n.BLIF sm_amiga_i_5__n.BLIF N_82 -11 1 -.names N_68.BLIF N_68_i +.names N_70.BLIF N_70_i 0 1 -.names N_61.BLIF sm_amiga_i_3__n.BLIF N_84 +.names CLK_000_D0_i.BLIF N_57.BLIF N_215 11 1 -.names N_69.BLIF N_69_i -0 1 -.names CLK_000_D0_i.BLIF SM_AMIGA_2_.BLIF N_85 +.names BG_030_c_i.BLIF N_70_i.BLIF state_machine_un10_bg_030_0_n 11 1 -.names N_68_i.BLIF N_69_i.BLIF state_machine_un15_clk_000_d0_0_n +.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_216 11 1 -.names N_130.BLIF SM_AMIGA_3_.BLIF N_86 +.names BGACK_000_c.BLIF N_54.BLIF state_machine_un6_bgack_000_0_n 11 1 -.names AS_030_i.BLIF N_58.BLIF N_203_0 +.names N_50.BLIF SM_AMIGA_0_.BLIF N_219 11 1 -.names CLK_000_D0_i.BLIF N_55.BLIF N_93 -11 1 -.names BGACK_000_c.BLIF N_49.BLIF state_machine_un6_bgack_000_0_n -11 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_94 -11 1 -.names N_48.BLIF SM_AMIGA_0_.BLIF N_214 +.names N_220_1.BLIF state_machine_un6_clk_000_d5_i_n.BLIF N_220 11 1 .names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF \ state_machine_un23_clk_000_d0_0_n 11 1 -.names N_215_1.BLIF state_machine_un6_clk_000_d4_i_n.BLIF N_215 +.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_221 11 1 -.names a_i_24__n.BLIF a_i_25__n.BLIF N_238_1 +.names a_i_24__n.BLIF a_i_25__n.BLIF N_236_1 11 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_216 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_222 11 1 -.names a_i_26__n.BLIF a_i_27__n.BLIF N_238_2 +.names a_i_26__n.BLIF a_i_27__n.BLIF N_236_2 11 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_217 +.names N_59.BLIF cpu_est_2_.BLIF N_225 11 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF N_238_3 +.names a_i_28__n.BLIF a_i_29__n.BLIF N_236_3 11 1 -.names N_57.BLIF cpu_est_2_.BLIF N_220 +.names N_226_1.BLIF sm_amiga_i_6__n.BLIF N_226 11 1 -.names a_i_30__n.BLIF a_i_31__n.BLIF N_238_4 +.names a_i_30__n.BLIF a_i_31__n.BLIF N_236_4 11 1 -.names N_221_1.BLIF sm_amiga_i_6__n.BLIF N_221 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_227 11 1 -.names N_238_1.BLIF N_238_2.BLIF N_238_5 +.names N_236_1.BLIF N_236_2.BLIF N_236_5 11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_222 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_228 11 1 -.names N_238_3.BLIF N_238_4.BLIF N_238_6 +.names N_236_3.BLIF N_236_4.BLIF N_236_6 11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_223 +.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_122 11 1 -.names a_c_20__n.BLIF a_c_21__n.BLIF N_241_1 +.names a_c_20__n.BLIF a_c_21__n.BLIF N_239_1 11 1 -.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_225 +.names CLK_000_D0_i.BLIF N_132.BLIF N_123 11 1 -.names a_c_22__n.BLIF a_c_23__n.BLIF N_241_2 +.names a_c_22__n.BLIF a_c_23__n.BLIF N_239_2 11 1 -.names CLK_000_D0_i.BLIF N_129.BLIF N_226 +.names N_50_i.BLIF SM_AMIGA_0_.BLIF N_124 11 1 .names inst_CLK_000_D3.BLIF AS_030_000_SYNC_i.BLIF \ state_machine_un8_clk_000_d2_1_n 11 1 -.names N_48_i.BLIF SM_AMIGA_0_.BLIF N_227 +.names N_54.BLIF cpu_est_0_.BLIF N_125 11 1 -.names a_c_17__n.BLIF BGACK_000_c.BLIF N_53_i_1 +.names a_c_17__n.BLIF BGACK_000_c.BLIF N_55_i_1 11 1 -.names N_49.BLIF cpu_est_0_.BLIF N_122 +.names N_54_i.BLIF cpu_est_i_0__n.BLIF N_126 11 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_53_i_2 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_55_i_2 11 1 -.names N_49_i.BLIF cpu_est_i_0__n.BLIF N_123 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_129 11 1 -.names a_i_19__n.BLIF a_i_16__n.BLIF N_53_i_3 +.names a_i_19__n.BLIF a_i_16__n.BLIF N_55_i_3 11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_126 +.names N_129.BLIF cpu_est_i_3__n.BLIF N_130 11 1 -.names N_53_i_1.BLIF N_53_i_2.BLIF N_53_i_4 +.names N_55_i_1.BLIF N_55_i_2.BLIF N_55_i_4 11 1 -.names N_126.BLIF cpu_est_i_3__n.BLIF N_127 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_131 11 1 -.names N_53_i_3.BLIF a_i_18__n.BLIF N_53_i_5 +.names N_55_i_3.BLIF a_i_18__n.BLIF N_55_i_5 11 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_128 +.names SM_AMIGA_6_.BLIF nEXP_SPACE_i.BLIF N_132 11 1 -.names N_126_i.BLIF N_128_i.BLIF cpu_est_ns_0_1_1__n +.names N_129_i.BLIF N_131_i.BLIF cpu_est_ns_0_1_1__n 11 1 -.names SM_AMIGA_6_.BLIF nEXP_SPACE_i.BLIF N_129 +.names CLK_000_D0_i.BLIF state_machine_un23_clk_000_d0_n.BLIF N_133 11 1 -.names N_216_i.BLIF N_217_i.BLIF cpu_est_ns_0_2_1__n +.names N_221_i.BLIF N_222_i.BLIF cpu_est_ns_0_2_1__n 11 1 -.names CLK_000_D0_i.BLIF state_machine_un23_clk_000_d0_n.BLIF N_130 +.names N_236_5.BLIF N_236_6.BLIF N_236 11 1 -.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF state_machine_un12_bg_030_1_n +.names CLK_030_c.BLIF N_55.BLIF N_80_1 11 1 -.names N_238_5.BLIF N_238_6.BLIF N_238 +.names N_239_1.BLIF N_239_2.BLIF N_239 11 1 -.names AS_030_c.BLIF BG_030_i.BLIF state_machine_un12_bg_030_2_n -11 1 -.names N_241_1.BLIF N_241_2.BLIF N_241 -11 1 -.names CLK_OUT_INTreg.BLIF N_49_i.BLIF state_machine_un12_bg_030_3_n +.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF N_80_2 11 1 .names RW_c.BLIF RW_i 0 1 -.names state_machine_un12_bg_030_1_n.BLIF state_machine_un12_bg_030_2_n.BLIF \ -state_machine_un12_bg_030_4_n +.names inst_CLK_000_D0.BLIF DTACK_i.BLIF N_78_1 11 1 .names inst_VMA_INTreg.BLIF VMA_INT_i 0 1 -.names CLK_030_c.BLIF N_53.BLIF N_73_1 +.names SM_AMIGA_3_.BLIF inst_VPA_D.BLIF N_78_2 11 1 .names inst_VPA_D.BLIF VPA_D_i 0 1 -.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF N_73_2 +.names inst_CLK_000_D0.BLIF N_59_i.BLIF N_74_1 11 1 .names DTACK_c.BLIF DTACK_i 0 1 -.names inst_CLK_000_D0.BLIF DTACK_i.BLIF N_72_1 -11 1 -.names BG_030_c.BLIF BG_030_i -0 1 -.names SM_AMIGA_3_.BLIF inst_VPA_D.BLIF N_72_2 +.names SM_AMIGA_3_.BLIF VMA_INT_i.BLIF N_74_2 11 1 .names inst_CLK_000_D0.BLIF CLK_000_D0_i 0 1 -.names inst_CLK_000_D0.BLIF N_57_i.BLIF N_70_1 +.names N_74_1.BLIF N_74_2.BLIF N_74_3 11 1 .names SM_AMIGA_4_.BLIF sm_amiga_i_4__n 0 1 -.names SM_AMIGA_3_.BLIF VMA_INT_i.BLIF N_70_2 +.names AS_030_c.BLIF CLK_000_c.BLIF N_70_1 11 1 .names cpu_est_3_reg.BLIF cpu_est_i_3__n 0 1 -.names N_70_1.BLIF N_70_2.BLIF N_70_3 +.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF N_70_2 11 1 .names SM_AMIGA_1_.BLIF sm_amiga_i_1__n 0 1 -.names N_227_i.BLIF N_79_i.BLIF sm_amiga_ns_0_1_0__n +.names N_124_i.BLIF N_85_i.BLIF sm_amiga_ns_0_1_0__n 11 1 -.names state_machine_un6_clk_000_d4_n.BLIF state_machine_un6_clk_000_d4_i_n +.names state_machine_un6_clk_000_d5_n.BLIF state_machine_un6_clk_000_d5_i_n 0 1 -.names N_225_i.BLIF N_222_i.BLIF cpu_est_ns_0_1_2__n +.names N_228_i.BLIF N_122_i.BLIF cpu_est_ns_0_1_2__n 11 1 .names SM_AMIGA_6_.BLIF sm_amiga_i_6__n 0 1 -.names AMIGA_BUS_ENABLE_i.BLIF AS_030_i.BLIF N_221_1 +.names AMIGA_BUS_ENABLE_i.BLIF AS_030_i.BLIF N_226_1 11 1 .names nEXP_SPACE_c.BLIF nEXP_SPACE_i 0 1 -.names CLK_000_D0_i.BLIF SM_AMIGA_1_.BLIF N_215_1 +.names CLK_000_D0_i.BLIF SM_AMIGA_1_.BLIF N_220_1 11 1 .names inst_AS_000_INTreg.BLIF AS_000_INT_i 0 1 -.names a_i_0__n.BLIF size_c_0__n.BLIF N_75_1 +.names a_i_0__n.BLIF size_c_0__n.BLIF N_82_1 11 1 .names cpu_est_1_.BLIF cpu_est_i_1__n 0 1 -.names N_48_i.BLIF N_127.BLIF N_69_1 +.names N_50_i.BLIF N_130.BLIF N_73_1 11 1 .names cpu_est_0_.BLIF cpu_est_i_0__n 0 1 -.names CLK_000_D0_i.BLIF N_128.BLIF N_68_1 +.names CLK_000_D0_i.BLIF N_131.BLIF N_72_1 11 1 .names AMIGA_BUS_ENABLEDFFreg.BLIF AMIGA_BUS_ENABLE_i 0 1 @@ -728,166 +730,172 @@ state_machine_uds_000_int_5_0_m2_un0_n 11 1 .names SM_AMIGA_2_.BLIF sm_amiga_i_2__n 0 1 -.names N_203.BLIF lds_000_int_0_un3_n +.names N_205.BLIF vpa_sync_0_un3_n 0 1 .names SM_AMIGA_3_.BLIF sm_amiga_i_3__n 0 1 -.names state_machine_lds_000_int_5_n.BLIF N_203.BLIF lds_000_int_0_un1_n +.names N_74_i.BLIF N_205.BLIF vpa_sync_0_un1_n 11 1 .names SM_AMIGA_5_.BLIF sm_amiga_i_5__n 0 1 -.names inst_LDS_000_INTreg.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +.names inst_VPA_SYNC.BLIF vpa_sync_0_un3_n.BLIF vpa_sync_0_un0_n 11 1 .names state_machine_un8_clk_000_d2_n.BLIF state_machine_un8_clk_000_d2_i_n 0 1 -.names N_204.BLIF vpa_sync_0_un3_n +.names state_machine_un15_clk_000_d0_n.BLIF vma_int_0_un3_n 0 1 .names SM_AMIGA_7_.BLIF sm_amiga_i_7__n 0 1 -.names N_70_i.BLIF N_204.BLIF vpa_sync_0_un1_n +.names N_50_i.BLIF state_machine_un15_clk_000_d0_n.BLIF vma_int_0_un1_n 11 1 .names a_c_0__n.BLIF a_i_0__n 0 1 -.names inst_VPA_SYNC.BLIF vpa_sync_0_un3_n.BLIF vpa_sync_0_un0_n +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n 11 1 .names size_c_1__n.BLIF size_i_1__n 0 1 -.names state_machine_un15_clk_000_d0_n.BLIF vma_int_0_un3_n +.names state_machine_un10_bg_030_n.BLIF bg_000_0_un3_n 0 1 .names dsack_c_1__n.BLIF dsack_i_1__n 0 1 -.names N_48_i.BLIF state_machine_un15_clk_000_d0_n.BLIF vma_int_0_un1_n +.names BG_030_c.BLIF state_machine_un10_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n 11 1 .names inst_CLK_000_D2.BLIF CLK_000_D2_i 0 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 .names state_machine_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n 0 1 -.names a_c_30__n.BLIF a_i_30__n +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i 0 1 .names BGACK_000_c.BLIF state_machine_un6_bgack_000_n.BLIF \ bgack_030_int_0_un1_n 11 1 -.names a_c_31__n.BLIF a_i_31__n +.names a_c_19__n.BLIF a_i_19__n 0 1 .names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ bgack_030_int_0_un0_n 11 1 -.names a_c_28__n.BLIF a_i_28__n +.names a_c_16__n.BLIF a_i_16__n 0 1 .names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n 0 1 -.names a_c_29__n.BLIF a_i_29__n +.names a_c_18__n.BLIF a_i_18__n 0 1 .names inst_AS_000_INTreg.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n 11 1 -.names a_c_26__n.BLIF a_i_26__n +.names a_c_30__n.BLIF a_i_30__n 0 1 .names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n 11 1 -.names a_c_27__n.BLIF a_i_27__n +.names a_c_31__n.BLIF a_i_31__n 0 1 -.names N_49.BLIF ipl_030_0_0__un3_n +.names N_54.BLIF ipl_030_0_0__un3_n 0 1 -.names a_c_24__n.BLIF a_i_24__n +.names a_c_28__n.BLIF a_i_28__n 0 1 -.names IPL_030DFFSH_0_reg.BLIF N_49.BLIF ipl_030_0_0__un1_n +.names IPL_030DFFSH_0_reg.BLIF N_54.BLIF ipl_030_0_0__un1_n 11 1 -.names a_c_25__n.BLIF a_i_25__n +.names a_c_29__n.BLIF a_i_29__n 0 1 .names ipl_c_0__n.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n 11 1 -.names a_c_19__n.BLIF a_i_19__n +.names a_c_26__n.BLIF a_i_26__n 0 1 -.names N_49.BLIF ipl_030_0_1__un3_n +.names N_54.BLIF ipl_030_0_1__un3_n 0 1 -.names a_c_16__n.BLIF a_i_16__n +.names a_c_27__n.BLIF a_i_27__n 0 1 -.names IPL_030DFFSH_1_reg.BLIF N_49.BLIF ipl_030_0_1__un1_n +.names IPL_030DFFSH_1_reg.BLIF N_54.BLIF ipl_030_0_1__un1_n 11 1 -.names a_c_18__n.BLIF a_i_18__n +.names a_c_24__n.BLIF a_i_24__n 0 1 .names ipl_c_1__n.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n 11 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names N_54.BLIF ipl_030_0_2__un3_n +0 1 .names RST_c.BLIF RST_i 0 1 -.names N_49.BLIF ipl_030_0_2__un3_n -0 1 -.names IPL_030DFFSH_2_reg.BLIF N_49.BLIF ipl_030_0_2__un1_n +.names IPL_030DFFSH_2_reg.BLIF N_54.BLIF ipl_030_0_2__un1_n 11 1 .names ipl_c_2__n.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n 11 1 -.names N_49.BLIF cpu_estse_0_un3_n +.names N_54.BLIF cpu_estse_0_un3_n 0 1 .names CLK_OSZI_c.BLIF CLK_OSZI_i 0 1 -.names cpu_est_1_.BLIF N_49.BLIF cpu_estse_0_un1_n +.names cpu_est_1_.BLIF N_54.BLIF cpu_estse_0_un1_n 11 1 .names cpu_est_ns_1__n.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n 11 1 -.names N_70.BLIF N_70_i +.names N_74.BLIF N_74_i 0 1 -.names N_49.BLIF cpu_estse_1_un3_n +.names N_54.BLIF cpu_estse_1_un3_n 0 1 -.names N_72.BLIF N_72_i +.names N_78.BLIF N_78_i 0 1 -.names cpu_est_2_.BLIF N_49.BLIF cpu_estse_1_un1_n +.names cpu_est_2_.BLIF N_54.BLIF cpu_estse_1_un1_n 11 1 .names inst_FPU_CS_INTreg.BLIF FPU_CS_INT_i 0 1 .names cpu_est_ns_2__n.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n 11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +.names inst_CLK_000_D6.BLIF CLK_000_D6_i 0 1 -.names N_49.BLIF cpu_estse_2_un3_n +.names N_54.BLIF cpu_estse_2_un3_n 0 1 -.names inst_CLK_000_D5.BLIF CLK_000_D5_i -0 1 -.names cpu_est_3_reg.BLIF N_49.BLIF cpu_estse_2_un1_n +.names cpu_est_3_reg.BLIF N_54.BLIF cpu_estse_2_un1_n 11 1 -.names N_157_i.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n +.names N_158_i.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n 11 1 -.names N_27.BLIF as_030_000_sync_0_un3_n +.names N_30.BLIF as_030_000_sync_0_un3_n 0 1 -.names un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_27.BLIF as_030_000_sync_0_un1_n +.names un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_30.BLIF as_030_000_sync_0_un1_n 11 1 .names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF \ as_030_000_sync_0_un0_n 11 1 -.names N_23.BLIF dtack_sync_0_un3_n +.names N_26.BLIF dtack_sync_0_un3_n 0 1 -.names N_72_i.BLIF N_23.BLIF dtack_sync_0_un1_n +.names N_78_i.BLIF N_26.BLIF dtack_sync_0_un1_n 11 1 .names inst_DTACK_SYNC.BLIF dtack_sync_0_un3_n.BLIF dtack_sync_0_un0_n 11 1 -.names N_205.BLIF fpu_cs_int_0_un3_n +.names N_206.BLIF fpu_cs_int_0_un3_n 0 1 -.names AS_030_c.BLIF N_205.BLIF fpu_cs_int_0_un1_n +.names AS_030_c.BLIF N_206.BLIF fpu_cs_int_0_un1_n 11 1 .names inst_FPU_CS_INTreg.BLIF fpu_cs_int_0_un3_n.BLIF fpu_cs_int_0_un0_n 11 1 -.names N_50.BLIF dsack_int_0_1__un3_n +.names N_51.BLIF dsack_int_0_1__un3_n 0 1 -.names N_55.BLIF N_50.BLIF dsack_int_0_1__un1_n +.names N_57.BLIF N_51.BLIF dsack_int_0_1__un1_n 11 1 .names DSACK_INT_1_.BLIF dsack_int_0_1__un3_n.BLIF dsack_int_0_1__un0_n 11 1 .names RST_c.BLIF amiga_bus_enable_0_un3_n 0 1 -.names N_41_i.BLIF RST_c.BLIF amiga_bus_enable_0_un1_n +.names N_44_i.BLIF RST_c.BLIF amiga_bus_enable_0_un1_n 11 1 .names AMIGA_BUS_ENABLEDFFreg.BLIF amiga_bus_enable_0_un3_n.BLIF \ amiga_bus_enable_0_un0_n 11 1 -.names N_203.BLIF uds_000_int_0_un3_n +.names N_204.BLIF uds_000_int_0_un3_n 0 1 -.names state_machine_uds_000_int_5_n.BLIF N_203.BLIF uds_000_int_0_un1_n +.names state_machine_uds_000_int_5_n.BLIF N_204.BLIF uds_000_int_0_un1_n 11 1 .names inst_UDS_000_INTreg.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n 11 1 +.names N_204.BLIF lds_000_int_0_un3_n +0 1 +.names state_machine_lds_000_int_5_n.BLIF N_204.BLIF lds_000_int_0_un1_n +11 1 +.names inst_LDS_000_INTreg.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 .names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF G_102 01 1 10 1 @@ -952,7 +960,7 @@ amiga_bus_enable_0_un0_n .names vcc_n_n.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_241.BLIF CIIN +.names N_239.BLIF CIIN 1 1 0 0 .names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ @@ -961,6 +969,12 @@ amiga_bus_enable_0_un0_n .names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI_c.BLIF cpu_est_1_.C +1 1 +0 0 +.names RST_i.BLIF cpu_est_1_.AR +1 1 +0 0 .names CLK_OSZI_c.BLIF cpu_est_2_.C 1 1 0 0 @@ -979,10 +993,16 @@ amiga_bus_enable_0_un0_n .names RST_i.BLIF cpu_est_0_.AR 1 1 0 0 -.names CLK_OSZI_c.BLIF cpu_est_1_.C +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C 1 1 0 0 -.names RST_i.BLIF cpu_est_1_.AR +.names RST_i.BLIF SM_AMIGA_5_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_4_.AR 1 1 0 0 .names CLK_OSZI_c.BLIF SM_AMIGA_3_.C @@ -1021,7 +1041,7 @@ amiga_bus_enable_0_un0_n .names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C 1 1 0 0 -.names RST_i.BLIF CLK_CNT_N_1_.AR +.names RST_i.BLIF CLK_CNT_N_1_.AP 1 1 0 0 .names CLK_OSZI_c.BLIF CLK_CNT_P_0_.C @@ -1069,18 +1089,6 @@ amiga_bus_enable_0_un0_n .names RST_i.BLIF SM_AMIGA_6_.AR 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_5_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_4_.AR -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_UDS_000_INTreg.C 1 1 0 0 @@ -1105,6 +1113,12 @@ amiga_bus_enable_0_un0_n .names RST_i.BLIF inst_VMA_INTreg.AP 1 1 0 0 +.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C +1 1 +0 0 +.names RST_i.BLIF BG_000DFFSHreg.AP +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C 1 1 0 0 @@ -1174,10 +1188,13 @@ amiga_bus_enable_0_un0_n .names RST_i.BLIF inst_CLK_000_D5.AP 1 1 0 0 -.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C +.names inst_CLK_000_D5.BLIF inst_CLK_000_D6.D 1 1 0 0 -.names RST_i.BLIF BG_000DFFSHreg.AP +.names CLK_OSZI_c.BLIF inst_CLK_000_D6.C +1 1 +0 0 +.names RST_i.BLIF inst_CLK_000_D6.AP 1 1 0 0 .names inst_CLK_000_D3.BLIF inst_CLK_000_D4.D @@ -1216,7 +1233,7 @@ amiga_bus_enable_0_un0_n .names RST_i.BLIF inst_VPA_D.AP 1 1 0 0 -.names CLK_000.BLIF inst_CLK_000_D0.D +.names CLK_000_c.BLIF inst_CLK_000_D0.D 1 1 0 0 .names CLK_OSZI_c.BLIF inst_CLK_000_D0.C @@ -1252,6 +1269,9 @@ amiga_bus_enable_0_un0_n .names vcc_n_n.BLIF DSACK_0_ 1 1 0 0 +.names CLK_000.BLIF CLK_000_c +1 1 +0 0 .names CLK_OSZI.BLIF CLK_OSZI_c 1 1 0 0 @@ -1306,78 +1326,78 @@ amiga_bus_enable_0_un0_n .names A_13_.BLIF a_13__n 1 1 0 0 -.names A_12_.BLIF a_12__n -1 1 -0 0 -.names A_11_.BLIF a_11__n -1 1 -0 0 .names A_16_.BLIF a_c_16__n 1 1 0 0 -.names A_10_.BLIF a_10__n +.names A_12_.BLIF a_12__n 1 1 0 0 .names A_17_.BLIF a_c_17__n 1 1 0 0 -.names A_9_.BLIF a_9__n +.names A_11_.BLIF a_11__n 1 1 0 0 .names A_18_.BLIF a_c_18__n 1 1 0 0 -.names A_8_.BLIF a_8__n +.names A_10_.BLIF a_10__n 1 1 0 0 .names A_19_.BLIF a_c_19__n 1 1 0 0 -.names A_7_.BLIF a_7__n +.names A_9_.BLIF a_9__n 1 1 0 0 .names A_20_.BLIF a_c_20__n 1 1 0 0 -.names A_6_.BLIF a_6__n +.names A_8_.BLIF a_8__n 1 1 0 0 .names A_21_.BLIF a_c_21__n 1 1 0 0 -.names A_5_.BLIF a_5__n +.names A_7_.BLIF a_7__n 1 1 0 0 .names A_22_.BLIF a_c_22__n 1 1 0 0 -.names A_4_.BLIF a_4__n +.names A_6_.BLIF a_6__n 1 1 0 0 .names A_23_.BLIF a_c_23__n 1 1 0 0 -.names A_3_.BLIF a_3__n +.names A_5_.BLIF a_5__n 1 1 0 0 .names A_24_.BLIF a_c_24__n 1 1 0 0 -.names A_2_.BLIF a_2__n +.names A_4_.BLIF a_4__n 1 1 0 0 .names A_25_.BLIF a_c_25__n 1 1 0 0 -.names A_1_.BLIF a_1__n +.names A_3_.BLIF a_3__n 1 1 0 0 .names A_26_.BLIF a_c_26__n 1 1 0 0 +.names A_2_.BLIF a_2__n +1 1 +0 0 .names A_27_.BLIF a_c_27__n 1 1 0 0 +.names A_1_.BLIF a_1__n +1 1 +0 0 .names A_28_.BLIF a_c_28__n 1 1 0 0 @@ -1405,7 +1425,7 @@ amiga_bus_enable_0_un0_n .names nEXP_SPACE_c.BLIF DSACK_1_.OE 1 1 0 0 -.names BGACK_030_INT_i.BLIF DTACK.OE +.names N_68.BLIF DTACK.OE 1 1 0 0 .names inst_BGACK_030_INTreg.BLIF AS_000.OE @@ -1426,7 +1446,7 @@ amiga_bus_enable_0_un0_n .names FPU_CS_INT_i.BLIF AVEC_EXP.OE 1 1 0 0 -.names N_238.BLIF CIIN.OE +.names N_236.BLIF CIIN.OE 1 1 0 0 .end diff --git a/Logic/68030_tk.bl3 b/Logic/68030_tk.bl3 index 09eacbd..c252ceb 100644 --- a/Logic/68030_tk.bl3 +++ b/Logic/68030_tk.bl3 @@ -1,77 +1,92 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sat May 24 16:11:50 2014 +#$ DATE Sat May 24 19:56:20 2014 #$ MODULE 68030_tk -#$ PINS 59 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ SIZE_0_ A_30_ DSACK_1_ A_29_ A_28_ FC_1_ \ -# A_27_ AS_030 A_26_ AS_000 A_25_ DS_030 A_24_ UDS_000 A_23_ LDS_000 A_22_ nEXP_SPACE A_21_ \ -# BERR A_20_ BG_030 A_19_ BG_000 A_18_ BGACK_030 A_17_ BGACK_000 A_16_ CLK_030 CLK_000 \ -# CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS DTACK AVEC AVEC_EXP E VPA VMA RST RESET RW \ -# AMIGA_BUS_ENABLE A_0_ AMIGA_BUS_DATA_DIR IPL_030_1_ AMIGA_BUS_ENABLE_LOW IPL_030_0_ \ -# CIIN IPL_1_ IPL_0_ DSACK_0_ FC_0_ -#$ NODES 42 CLK_OUT_INTreg IPL_030DFFSH_0_reg inst_BGACK_030_INTreg \ -# inst_FPU_CS_INTreg IPL_030DFFSH_1_reg inst_VMA_INTreg inst_AS_000_INTreg \ -# IPL_030DFFSH_2_reg inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC \ -# inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D5 SM_AMIGA_5_ \ +#$ PINS 59 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ DSACK_1_ FC_1_ AS_030 AS_000 SIZE_0_ DS_030 \ +# A_30_ UDS_000 A_29_ LDS_000 A_28_ nEXP_SPACE A_27_ BERR A_26_ BG_030 A_25_ BG_000 A_24_ \ +# BGACK_030 A_23_ BGACK_000 A_22_ CLK_030 A_21_ CLK_000 A_20_ CLK_OSZI A_19_ CLK_DIV_OUT \ +# A_18_ CLK_EXP A_17_ FPU_CS A_16_ DTACK AVEC AVEC_EXP E VPA VMA RST RESET RW AMIGA_BUS_ENABLE \ +# AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ \ +# DSACK_0_ FC_0_ +#$ NODES 43 CLK_OUT_INTreg inst_BGACK_030_INTreg inst_FPU_CS_INTreg \ +# IPL_030DFFSH_0_reg inst_VMA_INTreg inst_AS_000_INTreg IPL_030DFFSH_1_reg \ +# inst_AS_030_000_SYNC inst_DTACK_SYNC IPL_030DFFSH_2_reg inst_VPA_D inst_VPA_SYNC \ +# inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D6 SM_AMIGA_5_ \ # SM_AMIGA_6_ inst_UDS_000_INTreg inst_LDS_000_INTreg DSACK_INT_1_ inst_CLK_000_D3 \ -# SM_AMIGA_4_ RESETDFFRHreg inst_CLK_000_D4 inst_DTACK_DMA SM_AMIGA_7_ SM_AMIGA_3_ \ -# SM_AMIGA_1_ AMIGA_BUS_ENABLEDFFreg CLK_CNT_N_0_ CLK_CNT_N_1_ CLK_CNT_P_0_ \ -# CLK_CNT_P_1_ SM_AMIGA_2_ SM_AMIGA_0_ inst_CLK_OUT_PRE cpu_est_0_ cpu_est_1_ \ -# cpu_est_2_ cpu_est_3_reg BG_000DFFSHreg +# SM_AMIGA_4_ inst_CLK_000_D5 SM_AMIGA_7_ RESETDFFRHreg SM_AMIGA_3_ SM_AMIGA_1_ \ +# inst_DTACK_DMA CLK_CNT_N_0_ CLK_CNT_N_1_ AMIGA_BUS_ENABLEDFFreg CLK_CNT_P_0_ \ +# CLK_CNT_P_1_ SM_AMIGA_2_ SM_AMIGA_0_ inst_CLK_000_D4 inst_CLK_OUT_PRE cpu_est_0_ \ +# cpu_est_1_ cpu_est_2_ cpu_est_3_reg BG_000DFFSHreg .model bus68030 .inputs SIZE_1_.BLIF A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF AS_030.BLIF DS_030.BLIF \ nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ CLK_OSZI.BLIF VPA.BLIF RST.BLIF RW.BLIF SIZE_0_.BLIF A_30_.BLIF A_29_.BLIF \ A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF \ A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_0_.BLIF \ -IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF CLK_OUT_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF \ -inst_BGACK_030_INTreg.BLIF inst_FPU_CS_INTreg.BLIF IPL_030DFFSH_1_reg.BLIF \ -inst_VMA_INTreg.BLIF inst_AS_000_INTreg.BLIF IPL_030DFFSH_2_reg.BLIF \ -inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF inst_VPA_D.BLIF \ -inst_VPA_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \ -inst_CLK_000_D2.BLIF inst_CLK_000_D5.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_6_.BLIF \ +IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF CLK_OUT_INTreg.BLIF \ +inst_BGACK_030_INTreg.BLIF inst_FPU_CS_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF \ +inst_VMA_INTreg.BLIF inst_AS_000_INTreg.BLIF IPL_030DFFSH_1_reg.BLIF \ +inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF IPL_030DFFSH_2_reg.BLIF \ +inst_VPA_D.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \ +inst_CLK_000_D2.BLIF inst_CLK_000_D6.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_6_.BLIF \ inst_UDS_000_INTreg.BLIF inst_LDS_000_INTreg.BLIF DSACK_INT_1_.BLIF \ -inst_CLK_000_D3.BLIF SM_AMIGA_4_.BLIF RESETDFFRHreg.BLIF inst_CLK_000_D4.BLIF \ -inst_DTACK_DMA.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_1_.BLIF \ -AMIGA_BUS_ENABLEDFFreg.BLIF CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF \ +inst_CLK_000_D3.BLIF SM_AMIGA_4_.BLIF inst_CLK_000_D5.BLIF SM_AMIGA_7_.BLIF \ +RESETDFFRHreg.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_1_.BLIF inst_DTACK_DMA.BLIF \ +CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF AMIGA_BUS_ENABLEDFFreg.BLIF \ CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_0_.BLIF \ -inst_CLK_OUT_PRE.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -cpu_est_3_reg.BLIF BG_000DFFSHreg.BLIF DSACK_1_.PIN.BLIF DTACK.PIN.BLIF +inst_CLK_000_D4.BLIF inst_CLK_OUT_PRE.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ +cpu_est_2_.BLIF cpu_est_3_reg.BLIF BG_000DFFSHreg.BLIF DSACK_1_.PIN.BLIF \ +DTACK.PIN.BLIF .outputs IPL_030_2_ AS_000 UDS_000 LDS_000 BERR BG_000 BGACK_030 CLK_DIV_OUT \ CLK_EXP FPU_CS AVEC AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ -AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ cpu_est_2_.D cpu_est_2_.C \ -cpu_est_2_.AR cpu_est_3_reg.C cpu_est_3_reg.AR cpu_est_0_.D cpu_est_0_.C \ -cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C cpu_est_1_.AR SM_AMIGA_3_.D \ -SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR \ -SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C \ -SM_AMIGA_0_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.D \ -CLK_CNT_N_1_.C CLK_CNT_N_1_.AR CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR \ -CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR IPL_030DFFSH_0_reg.D \ -IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D \ -IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D \ -IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D SM_AMIGA_7_.C \ -SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D \ +AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ cpu_est_1_.D cpu_est_1_.C \ +cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR cpu_est_3_reg.C \ +cpu_est_3_reg.AR cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR SM_AMIGA_5_.D \ SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR \ +SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C \ +SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D \ +SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR \ +CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C \ +CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR \ +IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP \ +IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP \ +IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D \ +SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR \ inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C inst_UDS_000_INTreg.AP \ inst_LDS_000_INTreg.D inst_LDS_000_INTreg.C inst_LDS_000_INTreg.AP \ inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_VMA_INTreg.C \ -inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ -inst_BGACK_030_INTreg.AP inst_AS_000_INTreg.D inst_AS_000_INTreg.C \ -inst_AS_000_INTreg.AP inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C \ -inst_CLK_OUT_PRE.AR CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR \ -inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP \ -inst_DTACK_SYNC.D inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_FPU_CS_INTreg.D \ -inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP DSACK_INT_1_.D DSACK_INT_1_.C \ -DSACK_INT_1_.AP AMIGA_BUS_ENABLEDFFreg.D AMIGA_BUS_ENABLEDFFreg.C \ -inst_DTACK_DMA.D inst_DTACK_DMA.C inst_DTACK_DMA.AP inst_CLK_000_D5.D \ -inst_CLK_000_D5.C inst_CLK_000_D5.AP BG_000DFFSHreg.D BG_000DFFSHreg.C \ -BG_000DFFSHreg.AP inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP \ -inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.D \ -inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP \ -inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP RESETDFFRHreg.D \ -RESETDFFRHreg.C RESETDFFRHreg.AR inst_CLK_000_D1.D inst_CLK_000_D1.C \ -inst_CLK_000_D1.AP DSACK_1_ DTACK DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE \ -UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE \ -inst_VMA_INTreg.D.X1 inst_VMA_INTreg.D.X2 cpu_est_3_reg.D.X1 \ -cpu_est_3_reg.D.X2 +inst_VMA_INTreg.AP BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP \ +inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP \ +inst_AS_000_INTreg.D inst_AS_000_INTreg.C inst_AS_000_INTreg.AP \ +inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR CLK_OUT_INTreg.D \ +CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_AS_030_000_SYNC.D \ +inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.D \ +inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_FPU_CS_INTreg.D inst_FPU_CS_INTreg.C \ +inst_FPU_CS_INTreg.AP DSACK_INT_1_.D DSACK_INT_1_.C DSACK_INT_1_.AP \ +AMIGA_BUS_ENABLEDFFreg.D AMIGA_BUS_ENABLEDFFreg.C inst_DTACK_DMA.D \ +inst_DTACK_DMA.C inst_DTACK_DMA.AP inst_CLK_000_D5.D inst_CLK_000_D5.C \ +inst_CLK_000_D5.AP inst_CLK_000_D6.D inst_CLK_000_D6.C inst_CLK_000_D6.AP \ +inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_CLK_000_D2.D \ +inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.D inst_CLK_000_D3.C \ +inst_CLK_000_D3.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_CLK_000_D0.D \ +inst_CLK_000_D0.C inst_CLK_000_D0.AP RESETDFFRHreg.D RESETDFFRHreg.C \ +RESETDFFRHreg.AR inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP \ +DSACK_1_ DTACK DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE \ +BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE inst_VMA_INTreg.D.X1 \ +inst_VMA_INTreg.D.X2 cpu_est_3_reg.D.X1 cpu_est_3_reg.D.X2 +.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF cpu_est_0_.BLIF \ +cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_reg.BLIF cpu_est_1_.D +1010-- 1 +--01-- 1 +10--00 1 +10--11 1 +-1-1-- 1 +0--1-- 1 +101110 0 +101101 0 +--0010 0 +--0001 0 +-1-0-- 0 +0--0-- 0 .names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF cpu_est_0_.BLIF \ cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_reg.BLIF cpu_est_2_.D 1000-- 1 @@ -91,20 +106,16 @@ cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_reg.BLIF cpu_est_2_.D 101 0 -10 0 0-0 0 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF cpu_est_0_.BLIF \ -cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_reg.BLIF cpu_est_1_.D -1010-- 1 ---01-- 1 -10--00 1 -10--11 1 --1-1-- 1 -0--1-- 1 -101110 0 -101101 0 ---0010 0 ---0001 0 --1-0-- 0 -0--0-- 0 +.names inst_CLK_000_D0.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_5_.D +11- 1 +1-1 1 +-00 0 +0-- 0 +.names inst_CLK_000_D0.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_4_.D +01- 1 +0-1 1 +-00 0 +1-- 0 .names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF inst_CLK_000_D0.BLIF \ SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_3_.D --11- 1 @@ -122,7 +133,7 @@ SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_2_.D 11--0 0 --1-- 0 ---00 0 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D5.BLIF inst_CLK_000_D4.BLIF \ +.names inst_CLK_000_D0.BLIF inst_CLK_000_D6.BLIF inst_CLK_000_D5.BLIF \ SM_AMIGA_1_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_1_.D -011- 1 1--1- 1 @@ -131,8 +142,8 @@ SM_AMIGA_1_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_1_.D 01--- 0 0--0- 0 ---00 0 -.names inst_AS_000_INTreg.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D5.BLIF \ -inst_CLK_000_D4.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_0_.D +.names inst_AS_000_INTreg.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D6.BLIF \ +inst_CLK_000_D5.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_0_.D -0-01- 1 -01-1- 1 0----1 1 @@ -202,16 +213,6 @@ SM_AMIGA_6_.D -----01 0 ---1--1 0 -1----1 0 -.names inst_CLK_000_D0.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_5_.D -11- 1 -1-1 1 --00 0 -0-- 0 -.names inst_CLK_000_D0.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_4_.D -01- 1 -0-1 1 --00 0 -1-- 0 .names AS_030.BLIF DS_030.BLIF RW.BLIF A_0_.BLIF SM_AMIGA_5_.BLIF \ inst_UDS_000_INTreg.BLIF SM_AMIGA_4_.BLIF inst_UDS_000_INTreg.D -0111-- 1 @@ -264,6 +265,15 @@ inst_VPA_SYNC.D 1------0 1 -00-1101 0 0--0---- 0 +.names AS_030.BLIF nEXP_SPACE.BLIF BG_030.BLIF CLK_000.BLIF SM_AMIGA_7_.BLIF \ +BG_000DFFSHreg.BLIF BG_000DFFSHreg.D +--1--- 1 +----01 1 +---0-1 1 +-0---1 1 +0----1 1 +11011- 0 +--0--0 0 .names BGACK_000.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_D0.BLIF \ inst_CLK_000_D1.BLIF inst_BGACK_030_INTreg.D 1-10 1 @@ -289,8 +299,8 @@ inst_CLK_OUT_PRE.D --11 0 .names FC_1_.BLIF AS_030.BLIF nEXP_SPACE.BLIF BGACK_000.BLIF CLK_030.BLIF \ A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF FC_0_.BLIF \ -inst_AS_030_000_SYNC.BLIF inst_CLK_000_D5.BLIF SM_AMIGA_6_.BLIF \ -inst_CLK_000_D4.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_1_.BLIF inst_AS_030_000_SYNC.D +inst_AS_030_000_SYNC.BLIF inst_CLK_000_D6.BLIF SM_AMIGA_6_.BLIF \ +inst_CLK_000_D5.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_1_.BLIF inst_AS_030_000_SYNC.D 1--1-001011----- 1 -----------0-1-1 1 --0---------1--- 1 @@ -351,7 +361,7 @@ inst_FPU_CS_INTreg.D 0--------1 1 101100101- 0 -0-------0 0 -.names AS_030.BLIF inst_CLK_000_D5.BLIF DSACK_INT_1_.BLIF inst_CLK_000_D4.BLIF \ +.names AS_030.BLIF inst_CLK_000_D6.BLIF DSACK_INT_1_.BLIF inst_CLK_000_D5.BLIF \ SM_AMIGA_1_.BLIF DSACK_INT_1_.D --10- 1 -11-- 1 @@ -374,16 +384,6 @@ AMIGA_BUS_ENABLEDFFreg.BLIF AMIGA_BUS_ENABLEDFFreg.D 1- 1 -1 1 00 0 -.names AS_030.BLIF nEXP_SPACE.BLIF BG_030.BLIF CLK_OUT_INTreg.BLIF \ -inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF SM_AMIGA_7_.BLIF BG_000DFFSHreg.D ------1- 1 -----0-- 1 ----0--- 1 ---1---- 1 --0----- 1 -0------ 1 -------0 1 -1101101 0 .names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ 1 1 0 0 @@ -446,6 +446,12 @@ inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF SM_AMIGA_7_.BLIF BG_000DFFSHreg.D .names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI.BLIF cpu_est_1_.C +1 1 +0 0 +.names RST.BLIF cpu_est_1_.AR +0 1 +1 0 .names CLK_OSZI.BLIF cpu_est_2_.C 1 1 0 0 @@ -464,10 +470,16 @@ inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF SM_AMIGA_7_.BLIF BG_000DFFSHreg.D .names RST.BLIF cpu_est_0_.AR 0 1 1 0 -.names CLK_OSZI.BLIF cpu_est_1_.C +.names CLK_OSZI.BLIF SM_AMIGA_5_.C 1 1 0 0 -.names RST.BLIF cpu_est_1_.AR +.names RST.BLIF SM_AMIGA_5_.AR +0 1 +1 0 +.names CLK_OSZI.BLIF SM_AMIGA_4_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_4_.AR 0 1 1 0 .names CLK_OSZI.BLIF SM_AMIGA_3_.C @@ -506,7 +518,7 @@ inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF SM_AMIGA_7_.BLIF BG_000DFFSHreg.D .names CLK_OSZI.BLIF CLK_CNT_N_1_.C 0 1 1 0 -.names RST.BLIF CLK_CNT_N_1_.AR +.names RST.BLIF CLK_CNT_N_1_.AP 0 1 1 0 .names CLK_OSZI.BLIF CLK_CNT_P_0_.C @@ -554,18 +566,6 @@ inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF SM_AMIGA_7_.BLIF BG_000DFFSHreg.D .names RST.BLIF SM_AMIGA_6_.AR 0 1 1 0 -.names CLK_OSZI.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_5_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_4_.AR -0 1 -1 0 .names CLK_OSZI.BLIF inst_UDS_000_INTreg.C 1 1 0 0 @@ -590,6 +590,12 @@ inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF SM_AMIGA_7_.BLIF BG_000DFFSHreg.D .names RST.BLIF inst_VMA_INTreg.AP 0 1 1 0 +.names CLK_OSZI.BLIF BG_000DFFSHreg.C +1 1 +0 0 +.names RST.BLIF BG_000DFFSHreg.AP +0 1 +1 0 .names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C 1 1 0 0 @@ -659,10 +665,13 @@ inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF SM_AMIGA_7_.BLIF BG_000DFFSHreg.D .names RST.BLIF inst_CLK_000_D5.AP 0 1 1 0 -.names CLK_OSZI.BLIF BG_000DFFSHreg.C +.names inst_CLK_000_D5.BLIF inst_CLK_000_D6.D 1 1 0 0 -.names RST.BLIF BG_000DFFSHreg.AP +.names CLK_OSZI.BLIF inst_CLK_000_D6.C +1 1 +0 0 +.names RST.BLIF inst_CLK_000_D6.AP 0 1 1 0 .names inst_CLK_000_D3.BLIF inst_CLK_000_D4.D @@ -738,9 +747,10 @@ inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF SM_AMIGA_7_.BLIF BG_000DFFSHreg.D .names nEXP_SPACE.BLIF DSACK_1_.OE 1 1 0 0 -.names inst_BGACK_030_INTreg.BLIF DTACK.OE -0 1 -1 0 +.names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF DTACK.OE +00 1 +1- 0 +-1 0 .names inst_BGACK_030_INTreg.BLIF AS_000.OE 1 1 0 0 diff --git a/Logic/68030_tk.crf b/Logic/68030_tk.crf index be8f9fd..4d6727b 100644 --- a/Logic/68030_tk.crf +++ b/Logic/68030_tk.crf @@ -1,7 +1,7 @@ // Signal Name Cross Reference File // ispLEVER Classic 1.7.00.05.28.13 -// Design '68030_tk' created Sat May 24 16:11:50 2014 +// Design '68030_tk' created Sat May 24 19:56:20 2014 // LEGEND: '>' Functional Block Port Separator diff --git a/Logic/68030_tk.eq3 b/Logic/68030_tk.eq3 index 85181bb..b907aca 100644 --- a/Logic/68030_tk.eq3 +++ b/Logic/68030_tk.eq3 @@ -2,7 +2,7 @@ Copyright(C), 1992-2013, Lattice Semiconductor Corp. All Rights Reserved. -Design bus68030 created Sat May 24 16:11:50 2014 +Design bus68030 created Sat May 24 19:56:20 2014 P-Terms Fan-in Fan-out Type Name (attributes) @@ -12,9 +12,6 @@ Design bus68030 created Sat May 24 16:11:50 2014 1 1 1 Pin CLK_DIV_OUT.AR 1 1 1 Pin CLK_DIV_OUT.D 1 1 1 Pin CLK_DIV_OUT.C - 1 1 1 Pin CLK_EXP.AR - 1 1 1 Pin CLK_EXP.D - 1 1 1 Pin CLK_EXP.C 1 0 1 Pin AVEC 0 0 1 Pin AVEC_EXP 1 1 1 Pin AVEC_EXP.OE @@ -43,16 +40,19 @@ Design bus68030 created Sat May 24 16:11:50 2014 8 9 1 Pin LDS_000.D 1 1 1 Pin LDS_000.AP 1 1 1 Pin LDS_000.C - 1 7 1 Pin BG_000.D- + 2 6 1 Pin BG_000.D- 1 1 1 Pin BG_000.AP 1 1 1 Pin BG_000.C 2 4 1 Pin BGACK_030.D 1 1 1 Pin BGACK_030.AP 1 1 1 Pin BGACK_030.C + 1 1 1 Pin CLK_EXP.AR + 1 1 1 Pin CLK_EXP.D + 1 1 1 Pin CLK_EXP.C 2 10 1 Pin FPU_CS.D- 1 1 1 Pin FPU_CS.AP 1 1 1 Pin FPU_CS.C - 1 1 1 Pin DTACK.OE + 1 2 1 Pin DTACK.OE 1 2 1 Pin DTACK.D- 1 1 1 Pin DTACK.AP 1 1 1 Pin DTACK.C @@ -75,9 +75,6 @@ Design bus68030 created Sat May 24 16:11:50 2014 3 4 1 Pin IPL_030_0_.D 1 1 1 Pin IPL_030_0_.AP 1 1 1 Pin IPL_030_0_.C - 1 1 1 Node CLK_OUT_INTreg.AR - 1 1 1 Node CLK_OUT_INTreg.D - 1 1 1 Node CLK_OUT_INTreg.C 7 16 1 Node inst_AS_030_000_SYNC.D 1 1 1 Node inst_AS_030_000_SYNC.AP 1 1 1 Node inst_AS_030_000_SYNC.C @@ -99,9 +96,9 @@ Design bus68030 created Sat May 24 16:11:50 2014 1 1 1 Node inst_CLK_000_D2.D 1 1 1 Node inst_CLK_000_D2.AP 1 1 1 Node inst_CLK_000_D2.C - 1 1 1 Node inst_CLK_000_D5.D - 1 1 1 Node inst_CLK_000_D5.AP - 1 1 1 Node inst_CLK_000_D5.C + 1 1 1 Node inst_CLK_000_D6.D + 1 1 1 Node inst_CLK_000_D6.AP + 1 1 1 Node inst_CLK_000_D6.C 1 1 1 Node SM_AMIGA_5_.AR 2 3 1 Node SM_AMIGA_5_.D 1 1 1 Node SM_AMIGA_5_.C @@ -114,9 +111,9 @@ Design bus68030 created Sat May 24 16:11:50 2014 1 1 1 Node SM_AMIGA_4_.AR 2 3 1 Node SM_AMIGA_4_.D 1 1 1 Node SM_AMIGA_4_.C - 1 1 1 Node inst_CLK_000_D4.D - 1 1 1 Node inst_CLK_000_D4.AP - 1 1 1 Node inst_CLK_000_D4.C + 1 1 1 Node inst_CLK_000_D5.D + 1 1 1 Node inst_CLK_000_D5.AP + 1 1 1 Node inst_CLK_000_D5.C 5 9 1 Node SM_AMIGA_7_.D 1 1 1 Node SM_AMIGA_7_.AP 1 1 1 Node SM_AMIGA_7_.C @@ -129,8 +126,8 @@ Design bus68030 created Sat May 24 16:11:50 2014 1 1 1 Node CLK_CNT_N_0_.AR 2 2 1 Node CLK_CNT_N_0_.D 1 1 1 Node CLK_CNT_N_0_.C - 1 1 1 Node CLK_CNT_N_1_.AR 1 1 1 Node CLK_CNT_N_1_.D + 1 1 1 Node CLK_CNT_N_1_.AP 1 1 1 Node CLK_CNT_N_1_.C 1 1 1 Node CLK_CNT_P_0_.AR 2 2 1 Node CLK_CNT_P_0_.D @@ -144,6 +141,9 @@ Design bus68030 created Sat May 24 16:11:50 2014 1 1 1 Node SM_AMIGA_0_.AR 4 6 1 Node SM_AMIGA_0_.D 1 1 1 Node SM_AMIGA_0_.C + 1 1 1 Node inst_CLK_000_D4.D + 1 1 1 Node inst_CLK_000_D4.AP + 1 1 1 Node inst_CLK_000_D4.C 1 1 1 Node inst_CLK_OUT_PRE.AR 4 4 1 Node inst_CLK_OUT_PRE.D 1 1 1 Node inst_CLK_OUT_PRE.C @@ -158,7 +158,7 @@ Design bus68030 created Sat May 24 16:11:50 2014 1 1 1 Node cpu_est_2_.AR 1 1 1 Node cpu_est_2_.C ========= - 210 P-Term Total: 210 + 211 P-Term Total: 211 Total Pins: 59 Total Nodes: 27 Average P-Term/Output: 2 @@ -176,12 +176,6 @@ CLK_DIV_OUT.D = (inst_CLK_OUT_PRE.Q); CLK_DIV_OUT.C = (CLK_OSZI); -CLK_EXP.AR = (!RST); - -CLK_EXP.D = (inst_CLK_OUT_PRE.Q); - -CLK_EXP.C = (CLK_OSZI); - AVEC = (1); AVEC_EXP = (0); @@ -211,7 +205,7 @@ IPL_030_2_.C = (CLK_OSZI); DSACK_1_.OE = (nEXP_SPACE); !DSACK_1_.D = (!AS_030 & !DSACK_1_.Q - # !inst_CLK_000_D5.Q & inst_CLK_000_D4.Q & SM_AMIGA_1_.Q); + # !inst_CLK_000_D6.Q & inst_CLK_000_D5.Q & SM_AMIGA_1_.Q); DSACK_1_.AP = (!RST); @@ -253,7 +247,8 @@ LDS_000.AP = (!RST); LDS_000.C = (CLK_OSZI); -!BG_000.D = (AS_030 & nEXP_SPACE & !BG_030 & CLK_OUT_INTreg.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_7_.Q); +!BG_000.D = (!BG_030 & !BG_000.Q + # AS_030 & nEXP_SPACE & !BG_030 & CLK_000 & SM_AMIGA_7_.Q); BG_000.AP = (!RST); @@ -266,6 +261,12 @@ BGACK_030.AP = (!RST); BGACK_030.C = (CLK_OSZI); +CLK_EXP.AR = (!RST); + +CLK_EXP.D = (inst_CLK_OUT_PRE.Q); + +CLK_EXP.C = (CLK_OSZI); + !FPU_CS.D = (!AS_030 & !FPU_CS.Q # FC_1_ & !AS_030 & BGACK_000 & CLK_030 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_); @@ -273,7 +274,7 @@ FPU_CS.AP = (!RST); FPU_CS.C = (CLK_OSZI); -DTACK.OE = (!BGACK_030.Q); +DTACK.OE = (!nEXP_SPACE & !BGACK_030.Q); !DTACK.D = (!AS_000.Q & !DSACK_1_.PIN); @@ -328,18 +329,12 @@ IPL_030_0_.AP = (!RST); IPL_030_0_.C = (CLK_OSZI); -CLK_OUT_INTreg.AR = (!RST); - -CLK_OUT_INTreg.D = (inst_CLK_OUT_PRE.Q); - -CLK_OUT_INTreg.C = (CLK_OSZI); - inst_AS_030_000_SYNC.D = (AS_030 # !nEXP_SPACE & inst_AS_030_000_SYNC.Q # !CLK_030 & inst_AS_030_000_SYNC.Q # !nEXP_SPACE & SM_AMIGA_6_.Q # inst_AS_030_000_SYNC.Q & !SM_AMIGA_7_.Q - # !inst_CLK_000_D5.Q & inst_CLK_000_D4.Q & SM_AMIGA_1_.Q + # !inst_CLK_000_D6.Q & inst_CLK_000_D5.Q & SM_AMIGA_1_.Q # FC_1_ & BGACK_000 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & inst_AS_030_000_SYNC.Q); inst_AS_030_000_SYNC.AP = (!RST); @@ -384,11 +379,11 @@ inst_CLK_000_D2.AP = (!RST); inst_CLK_000_D2.C = (CLK_OSZI); -inst_CLK_000_D5.D = (inst_CLK_000_D4.Q); +inst_CLK_000_D6.D = (inst_CLK_000_D5.Q); -inst_CLK_000_D5.AP = (!RST); +inst_CLK_000_D6.AP = (!RST); -inst_CLK_000_D5.C = (CLK_OSZI); +inst_CLK_000_D6.C = (CLK_OSZI); SM_AMIGA_5_.AR = (!RST); @@ -417,11 +412,11 @@ SM_AMIGA_4_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_5_.Q SM_AMIGA_4_.C = (CLK_OSZI); -inst_CLK_000_D4.D = (inst_CLK_000_D3.Q); +inst_CLK_000_D5.D = (inst_CLK_000_D4.Q); -inst_CLK_000_D4.AP = (!RST); +inst_CLK_000_D5.AP = (!RST); -inst_CLK_000_D4.C = (CLK_OSZI); +inst_CLK_000_D5.C = (CLK_OSZI); SM_AMIGA_7_.D = (inst_AS_030_000_SYNC.Q & SM_AMIGA_7_.Q # inst_CLK_000_D2.Q & SM_AMIGA_7_.Q @@ -445,7 +440,7 @@ SM_AMIGA_1_.AR = (!RST); SM_AMIGA_1_.D = (inst_CLK_000_D0.Q & SM_AMIGA_1_.Q # inst_CLK_000_D0.Q & SM_AMIGA_2_.Q - # !inst_CLK_000_D5.Q & inst_CLK_000_D4.Q & SM_AMIGA_1_.Q); + # !inst_CLK_000_D6.Q & inst_CLK_000_D5.Q & SM_AMIGA_1_.Q); SM_AMIGA_1_.C = (CLK_OSZI); @@ -456,10 +451,10 @@ CLK_CNT_N_0_.D = (CLK_CNT_N_0_.Q & CLK_CNT_N_1_.Q CLK_CNT_N_0_.C = (!CLK_OSZI); -CLK_CNT_N_1_.AR = (!RST); - CLK_CNT_N_1_.D = (CLK_CNT_N_0_.Q); +CLK_CNT_N_1_.AP = (!RST); + CLK_CNT_N_1_.C = (!CLK_OSZI); CLK_CNT_P_0_.AR = (!RST); @@ -487,11 +482,17 @@ SM_AMIGA_0_.AR = (!RST); SM_AMIGA_0_.D = (!AS_000.Q & SM_AMIGA_0_.Q # !inst_CLK_000_D0.Q & SM_AMIGA_0_.Q - # !inst_CLK_000_D0.Q & inst_CLK_000_D5.Q & SM_AMIGA_1_.Q - # !inst_CLK_000_D0.Q & !inst_CLK_000_D4.Q & SM_AMIGA_1_.Q); + # !inst_CLK_000_D0.Q & inst_CLK_000_D6.Q & SM_AMIGA_1_.Q + # !inst_CLK_000_D0.Q & !inst_CLK_000_D5.Q & SM_AMIGA_1_.Q); SM_AMIGA_0_.C = (CLK_OSZI); +inst_CLK_000_D4.D = (inst_CLK_000_D3.Q); + +inst_CLK_000_D4.AP = (!RST); + +inst_CLK_000_D4.C = (CLK_OSZI); + inst_CLK_OUT_PRE.AR = (!RST); inst_CLK_OUT_PRE.D = (!CLK_CNT_N_0_.Q & CLK_CNT_N_1_.Q & !CLK_CNT_P_0_.Q & CLK_CNT_P_1_.Q diff --git a/Logic/68030_tk.fti b/Logic/68030_tk.fti index eb400ec..29b8365 100644 --- a/Logic/68030_tk.fti +++ b/Logic/68030_tk.fti @@ -30,19 +30,18 @@ DATA LOCATION A_31_:B_*_4 // INP DATA LOCATION BERR:E_4_41 // OUT DATA LOCATION BGACK_000:D_*_28 // INP DATA LOCATION BGACK_030:H_4_83 // IO {RN_BGACK_030} -DATA LOCATION BG_000:D_13_29 // OUT +DATA LOCATION BG_000:D_13_29 // IO {RN_BG_000} DATA LOCATION BG_030:C_*_21 // INP DATA LOCATION CIIN:E_12_47 // OUT DATA LOCATION CLK_000:*_*_11 // INP DATA LOCATION CLK_030:*_*_64 // INP -DATA LOCATION CLK_CNT_N_0_:B_10 // NOD -DATA LOCATION CLK_CNT_N_1_:B_3 // NOD -DATA LOCATION CLK_CNT_P_0_:B_6 // NOD -DATA LOCATION CLK_CNT_P_1_:B_14 // NOD +DATA LOCATION CLK_CNT_N_0_:B_9 // NOD +DATA LOCATION CLK_CNT_N_1_:B_13 // NOD +DATA LOCATION CLK_CNT_P_0_:G_5 // NOD +DATA LOCATION CLK_CNT_P_1_:H_14 // NOD DATA LOCATION CLK_DIV_OUT:G_0_65 // OUT DATA LOCATION CLK_EXP:B_0_10 // OUT DATA LOCATION CLK_OSZI:*_*_61 // Cin -DATA LOCATION CLK_OUT_INTreg:G_13 // NOD DATA LOCATION DSACK_0_:H_12_80 // OUT DATA LOCATION DSACK_1_:H_8_81 // IO {RN_DSACK_1_} DATA LOCATION DS_030:A_*_98 // INP @@ -62,6 +61,7 @@ DATA LOCATION RESET:B_1_3 // OUT DATA LOCATION RN_AMIGA_BUS_ENABLE:D_4 // NOD {AMIGA_BUS_ENABLE} DATA LOCATION RN_AS_000:D_5 // NOD {AS_000} DATA LOCATION RN_BGACK_030:H_4 // NOD {BGACK_030} +DATA LOCATION RN_BG_000:D_13 // NOD {BG_000} DATA LOCATION RN_DSACK_1_:H_8 // NOD {DSACK_1_} DATA LOCATION RN_E:G_4 // NOD {E} DATA LOCATION RN_FPU_CS:H_0 // NOD {FPU_CS} @@ -75,31 +75,32 @@ DATA LOCATION RST:*_*_86 // INP DATA LOCATION RW:G_*_71 // INP DATA LOCATION SIZE_0_:G_*_70 // INP DATA LOCATION SIZE_1_:H_*_79 // INP -DATA LOCATION SM_AMIGA_0_:H_6 // NOD -DATA LOCATION SM_AMIGA_1_:G_1 // NOD -DATA LOCATION SM_AMIGA_2_:B_9 // NOD -DATA LOCATION SM_AMIGA_3_:B_13 // NOD -DATA LOCATION SM_AMIGA_4_:D_10 // NOD +DATA LOCATION SM_AMIGA_0_:G_13 // NOD +DATA LOCATION SM_AMIGA_1_:H_13 // NOD +DATA LOCATION SM_AMIGA_2_:B_5 // NOD +DATA LOCATION SM_AMIGA_3_:B_6 // NOD +DATA LOCATION SM_AMIGA_4_:G_12 // NOD DATA LOCATION SM_AMIGA_5_:D_14 // NOD DATA LOCATION SM_AMIGA_6_:H_5 // NOD -DATA LOCATION SM_AMIGA_7_:H_1 // NOD +DATA LOCATION SM_AMIGA_7_:G_8 // NOD DATA LOCATION UDS_000:D_12_32 // IO {RN_UDS_000} DATA LOCATION VMA:D_1_35 // IO {RN_VMA} DATA LOCATION VPA:*_*_36 // INP -DATA LOCATION cpu_est_0_:G_12 // NOD -DATA LOCATION cpu_est_1_:D_2 // NOD -DATA LOCATION cpu_est_2_:D_6 // NOD -DATA LOCATION inst_AS_030_000_SYNC:H_2 // NOD -DATA LOCATION inst_CLK_000_D0:G_8 // NOD +DATA LOCATION cpu_est_0_:H_1 // NOD +DATA LOCATION cpu_est_1_:D_6 // NOD +DATA LOCATION cpu_est_2_:D_10 // NOD +DATA LOCATION inst_AS_030_000_SYNC:H_9 // NOD +DATA LOCATION inst_CLK_000_D0:D_2 // NOD DATA LOCATION inst_CLK_000_D1:D_9 // NOD -DATA LOCATION inst_CLK_000_D2:H_14 // NOD -DATA LOCATION inst_CLK_000_D3:H_10 // NOD -DATA LOCATION inst_CLK_000_D4:H_9 // NOD -DATA LOCATION inst_CLK_000_D5:H_13 // NOD -DATA LOCATION inst_CLK_OUT_PRE:B_5 // NOD -DATA LOCATION inst_DTACK_SYNC:G_9 // NOD +DATA LOCATION inst_CLK_000_D2:D_3 // NOD +DATA LOCATION inst_CLK_000_D3:H_2 // NOD +DATA LOCATION inst_CLK_000_D4:H_10 // NOD +DATA LOCATION inst_CLK_000_D5:G_9 // NOD +DATA LOCATION inst_CLK_000_D6:H_6 // NOD +DATA LOCATION inst_CLK_OUT_PRE:G_1 // NOD +DATA LOCATION inst_DTACK_SYNC:B_14 // NOD DATA LOCATION inst_VPA_D:B_2 // NOD -DATA LOCATION inst_VPA_SYNC:G_5 // NOD +DATA LOCATION inst_VPA_SYNC:B_10 // NOD DATA LOCATION nEXP_SPACE:*_*_14 // INP DATA IO_DIR AMIGA_BUS_DATA_DIR:OUT DATA IO_DIR AMIGA_BUS_ENABLE:OUT @@ -167,58 +168,56 @@ DATA PW_LEVEL A_31_:0 DATA SLEW A_31_:0 DATA PW_LEVEL IPL_2_:0 DATA SLEW IPL_2_:0 +DATA PW_LEVEL FC_1_:0 +DATA SLEW FC_1_:0 +DATA PW_LEVEL AS_030:0 +DATA SLEW AS_030:0 DATA PW_LEVEL SIZE_0_:0 DATA SLEW SIZE_0_:0 +DATA PW_LEVEL DS_030:0 +DATA SLEW DS_030:0 DATA PW_LEVEL A_30_:0 DATA SLEW A_30_:0 DATA PW_LEVEL A_29_:0 DATA SLEW A_29_:0 DATA PW_LEVEL A_28_:0 DATA SLEW A_28_:0 -DATA PW_LEVEL FC_1_:0 -DATA SLEW FC_1_:0 +DATA SLEW nEXP_SPACE:0 DATA PW_LEVEL A_27_:0 DATA SLEW A_27_:0 -DATA PW_LEVEL AS_030:0 -DATA SLEW AS_030:0 +DATA PW_LEVEL BERR:0 +DATA SLEW BERR:0 DATA PW_LEVEL A_26_:0 DATA SLEW A_26_:0 +DATA PW_LEVEL BG_030:0 +DATA SLEW BG_030:0 DATA PW_LEVEL A_25_:0 DATA SLEW A_25_:0 -DATA PW_LEVEL DS_030:0 -DATA SLEW DS_030:0 DATA PW_LEVEL A_24_:0 DATA SLEW A_24_:0 DATA PW_LEVEL A_23_:0 DATA SLEW A_23_:0 +DATA PW_LEVEL BGACK_000:0 +DATA SLEW BGACK_000:0 DATA PW_LEVEL A_22_:0 DATA SLEW A_22_:0 -DATA SLEW nEXP_SPACE:0 +DATA SLEW CLK_030:0 DATA PW_LEVEL A_21_:0 DATA SLEW A_21_:0 -DATA PW_LEVEL BERR:0 -DATA SLEW BERR:0 +DATA SLEW CLK_000:0 DATA PW_LEVEL A_20_:0 DATA SLEW A_20_:0 -DATA PW_LEVEL BG_030:0 -DATA SLEW BG_030:0 +DATA SLEW CLK_OSZI:0 DATA PW_LEVEL A_19_:0 DATA SLEW A_19_:0 +DATA PW_LEVEL CLK_DIV_OUT:0 +DATA SLEW CLK_DIV_OUT:0 DATA PW_LEVEL A_18_:0 DATA SLEW A_18_:0 DATA PW_LEVEL A_17_:0 DATA SLEW A_17_:0 -DATA PW_LEVEL BGACK_000:0 -DATA SLEW BGACK_000:0 DATA PW_LEVEL A_16_:0 DATA SLEW A_16_:0 -DATA SLEW CLK_030:0 -DATA SLEW CLK_000:0 -DATA SLEW CLK_OSZI:0 -DATA PW_LEVEL CLK_DIV_OUT:0 -DATA SLEW CLK_DIV_OUT:0 -DATA PW_LEVEL CLK_EXP:0 -DATA SLEW CLK_EXP:0 DATA PW_LEVEL AVEC:0 DATA SLEW AVEC:0 DATA PW_LEVEL AVEC_EXP:0 @@ -227,14 +226,14 @@ DATA SLEW VPA:0 DATA SLEW RST:0 DATA PW_LEVEL RW:0 DATA SLEW RW:0 -DATA PW_LEVEL A_0_:0 -DATA SLEW A_0_:0 DATA PW_LEVEL AMIGA_BUS_DATA_DIR:0 DATA SLEW AMIGA_BUS_DATA_DIR:0 DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW:0 DATA SLEW AMIGA_BUS_ENABLE_LOW:0 DATA PW_LEVEL CIIN:0 DATA SLEW CIIN:0 +DATA PW_LEVEL A_0_:0 +DATA SLEW A_0_:0 DATA PW_LEVEL IPL_1_:0 DATA SLEW IPL_1_:0 DATA PW_LEVEL IPL_0_:0 @@ -257,6 +256,8 @@ DATA PW_LEVEL BG_000:0 DATA SLEW BG_000:0 DATA PW_LEVEL BGACK_030:0 DATA SLEW BGACK_030:0 +DATA PW_LEVEL CLK_EXP:0 +DATA SLEW CLK_EXP:0 DATA PW_LEVEL FPU_CS:0 DATA SLEW FPU_CS:0 DATA PW_LEVEL DTACK:0 @@ -273,8 +274,6 @@ DATA PW_LEVEL IPL_030_1_:0 DATA SLEW IPL_030_1_:0 DATA PW_LEVEL IPL_030_0_:0 DATA SLEW IPL_030_0_:0 -DATA PW_LEVEL CLK_OUT_INTreg:0 -DATA SLEW CLK_OUT_INTreg:0 DATA PW_LEVEL inst_AS_030_000_SYNC:0 DATA SLEW inst_AS_030_000_SYNC:0 DATA PW_LEVEL inst_DTACK_SYNC:0 @@ -289,8 +288,8 @@ DATA PW_LEVEL inst_CLK_000_D1:0 DATA SLEW inst_CLK_000_D1:0 DATA PW_LEVEL inst_CLK_000_D2:0 DATA SLEW inst_CLK_000_D2:0 -DATA PW_LEVEL inst_CLK_000_D5:0 -DATA SLEW inst_CLK_000_D5:0 +DATA PW_LEVEL inst_CLK_000_D6:0 +DATA SLEW inst_CLK_000_D6:0 DATA PW_LEVEL SM_AMIGA_5_:0 DATA SLEW SM_AMIGA_5_:0 DATA PW_LEVEL SM_AMIGA_6_:0 @@ -299,8 +298,8 @@ DATA PW_LEVEL inst_CLK_000_D3:0 DATA SLEW inst_CLK_000_D3:0 DATA PW_LEVEL SM_AMIGA_4_:0 DATA SLEW SM_AMIGA_4_:0 -DATA PW_LEVEL inst_CLK_000_D4:0 -DATA SLEW inst_CLK_000_D4:0 +DATA PW_LEVEL inst_CLK_000_D5:0 +DATA SLEW inst_CLK_000_D5:0 DATA PW_LEVEL SM_AMIGA_7_:0 DATA SLEW SM_AMIGA_7_:0 DATA PW_LEVEL SM_AMIGA_3_:0 @@ -319,6 +318,8 @@ DATA PW_LEVEL SM_AMIGA_2_:0 DATA SLEW SM_AMIGA_2_:0 DATA PW_LEVEL SM_AMIGA_0_:0 DATA SLEW SM_AMIGA_0_:0 +DATA PW_LEVEL inst_CLK_000_D4:0 +DATA SLEW inst_CLK_000_D4:0 DATA PW_LEVEL inst_CLK_OUT_PRE:0 DATA SLEW inst_CLK_OUT_PRE:0 DATA PW_LEVEL cpu_est_0_:0 @@ -332,6 +333,7 @@ DATA PW_LEVEL RN_DSACK_1_:0 DATA PW_LEVEL RN_AS_000:0 DATA PW_LEVEL RN_UDS_000:0 DATA PW_LEVEL RN_LDS_000:0 +DATA PW_LEVEL RN_BG_000:0 DATA PW_LEVEL RN_BGACK_030:0 DATA PW_LEVEL RN_FPU_CS:0 DATA PW_LEVEL RN_E:0 diff --git a/Logic/68030_tk.grp b/Logic/68030_tk.grp index ec0cecd..f5ca19d 100644 --- a/Logic/68030_tk.grp +++ b/Logic/68030_tk.grp @@ -1,15 +1,16 @@ GROUP MACH_SEG_A AVEC -GROUP MACH_SEG_B SM_AMIGA_2_ SM_AMIGA_3_ inst_CLK_OUT_PRE IPL_030_1_ RN_IPL_030_1_ - IPL_030_0_ RN_IPL_030_0_ IPL_030_2_ RN_IPL_030_2_ CLK_CNT_N_0_ CLK_CNT_P_0_ - inst_VPA_D CLK_EXP CLK_CNT_N_1_ CLK_CNT_P_1_ RESET +GROUP MACH_SEG_B inst_VPA_SYNC inst_DTACK_SYNC SM_AMIGA_2_ SM_AMIGA_3_ + IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ IPL_030_2_ RN_IPL_030_2_ + CLK_CNT_N_0_ inst_VPA_D CLK_EXP CLK_CNT_N_1_ RESET GROUP MACH_SEG_C AVEC_EXP AMIGA_BUS_ENABLE_LOW GROUP MACH_SEG_D LDS_000 RN_LDS_000 UDS_000 RN_UDS_000 VMA RN_VMA BG_000 - cpu_est_1_ cpu_est_2_ AMIGA_BUS_ENABLE RN_AMIGA_BUS_ENABLE AS_000 - RN_AS_000 SM_AMIGA_4_ SM_AMIGA_5_ DTACK inst_CLK_000_D1 + RN_BG_000 cpu_est_1_ cpu_est_2_ AMIGA_BUS_ENABLE RN_AMIGA_BUS_ENABLE + AS_000 RN_AS_000 DTACK SM_AMIGA_5_ inst_CLK_000_D0 inst_CLK_000_D2 + inst_CLK_000_D1 GROUP MACH_SEG_E CIIN BERR AMIGA_BUS_DATA_DIR -GROUP MACH_SEG_G inst_VPA_SYNC inst_DTACK_SYNC E RN_E SM_AMIGA_1_ cpu_est_0_ - inst_CLK_000_D0 CLK_DIV_OUT CLK_OUT_INTreg -GROUP MACH_SEG_H inst_AS_030_000_SYNC FPU_CS RN_FPU_CS SM_AMIGA_7_ SM_AMIGA_6_ - DSACK_1_ RN_DSACK_1_ SM_AMIGA_0_ BGACK_030 RN_BGACK_030 inst_CLK_000_D3 - inst_CLK_000_D4 inst_CLK_000_D5 inst_CLK_000_D2 DSACK_0_ \ No newline at end of file +GROUP MACH_SEG_G SM_AMIGA_7_ SM_AMIGA_0_ E RN_E inst_CLK_OUT_PRE SM_AMIGA_4_ + CLK_CNT_P_0_ inst_CLK_000_D5 CLK_DIV_OUT +GROUP MACH_SEG_H inst_AS_030_000_SYNC FPU_CS RN_FPU_CS SM_AMIGA_6_ DSACK_1_ + RN_DSACK_1_ SM_AMIGA_1_ BGACK_030 RN_BGACK_030 cpu_est_0_ inst_CLK_000_D3 + CLK_CNT_P_1_ inst_CLK_000_D4 inst_CLK_000_D6 DSACK_0_ \ No newline at end of file diff --git a/Logic/68030_tk.ipr b/Logic/68030_tk.ipr index db94ef4..af8479d 100644 Binary files a/Logic/68030_tk.ipr and b/Logic/68030_tk.ipr differ diff --git a/Logic/68030_tk.jed b/Logic/68030_tk.jed index 4ff2573..4b45482 100644 --- a/Logic/68030_tk.jed +++ b/Logic/68030_tk.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Sat May 24 16:11:55 2014 +DATE: Sat May 24 19:56:24 2014 ABEL mach447a * @@ -31,32 +31,33 @@ NOTE Spread Placement? Y * NOTE Run Time Upper Bound in 15 minutes 0 * NOTE Zero Hold Time For Input Registers? Y * NOTE Table of pin names and numbers* -NOTE PINS SIZE_1_:79 A_31_:4 IPL_2_:68 SIZE_0_:70 A_30_:5* -NOTE PINS A_29_:6 A_28_:15 FC_1_:58 A_27_:16 AS_030:82 A_26_:17* -NOTE PINS A_25_:18 DS_030:98 A_24_:19 A_23_:84 A_22_:85 nEXP_SPACE:14* -NOTE PINS A_21_:94 BERR:41 A_20_:93 BG_030:21 A_19_:97 A_18_:95* -NOTE PINS A_17_:59 BGACK_000:28 A_16_:96 CLK_030:64 CLK_000:11* -NOTE PINS CLK_OSZI:61 CLK_DIV_OUT:65 CLK_EXP:10 AVEC:92 AVEC_EXP:22* -NOTE PINS VPA:36 RST:86 RW:71 A_0_:69 AMIGA_BUS_DATA_DIR:48* -NOTE PINS AMIGA_BUS_ENABLE_LOW:20 CIIN:47 IPL_1_:56 IPL_0_:67* -NOTE PINS DSACK_0_:80 FC_0_:57 IPL_030_2_:9 DSACK_1_:81 AS_000:33* -NOTE PINS UDS_000:32 LDS_000:31 BG_000:29 BGACK_030:83 FPU_CS:78* +NOTE PINS SIZE_1_:79 A_31_:4 IPL_2_:68 FC_1_:58 AS_030:82* +NOTE PINS SIZE_0_:70 DS_030:98 A_30_:5 A_29_:6 A_28_:15 nEXP_SPACE:14* +NOTE PINS A_27_:16 BERR:41 A_26_:17 BG_030:21 A_25_:18 A_24_:19* +NOTE PINS A_23_:84 BGACK_000:28 A_22_:85 CLK_030:64 A_21_:94* +NOTE PINS CLK_000:11 A_20_:93 CLK_OSZI:61 A_19_:97 CLK_DIV_OUT:65* +NOTE PINS A_18_:95 A_17_:59 A_16_:96 AVEC:92 AVEC_EXP:22* +NOTE PINS VPA:36 RST:86 RW:71 AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20* +NOTE PINS CIIN:47 A_0_:69 IPL_1_:56 IPL_0_:67 DSACK_0_:80* +NOTE PINS FC_0_:57 IPL_030_2_:9 DSACK_1_:81 AS_000:33 UDS_000:32* +NOTE PINS LDS_000:31 BG_000:29 BGACK_030:83 CLK_EXP:10 FPU_CS:78* NOTE PINS DTACK:30 E:66 VMA:35 RESET:3 AMIGA_BUS_ENABLE:34* NOTE PINS IPL_030_1_:7 IPL_030_0_:8 * NOTE Table of node names and numbers* NOTE NODES RN_IPL_030_2_:131 RN_DSACK_1_:281 RN_AS_000:181 * -NOTE NODES RN_UDS_000:191 RN_LDS_000:185 RN_BGACK_030:275 * +NOTE NODES RN_UDS_000:191 RN_LDS_000:185 RN_BG_000:193 RN_BGACK_030:275 * NOTE NODES RN_FPU_CS:269 RN_DTACK:173 RN_E:251 RN_VMA:175 * NOTE NODES RN_AMIGA_BUS_ENABLE:179 RN_IPL_030_1_:143 RN_IPL_030_0_:137 * -NOTE NODES CLK_OUT_INTreg:265 inst_AS_030_000_SYNC:272 inst_DTACK_SYNC:259 * -NOTE NODES inst_VPA_D:128 inst_VPA_SYNC:253 inst_CLK_000_D0:257 * -NOTE NODES inst_CLK_000_D1:187 inst_CLK_000_D2:290 inst_CLK_000_D5:289 * -NOTE NODES SM_AMIGA_5_:194 SM_AMIGA_6_:277 inst_CLK_000_D3:284 * -NOTE NODES SM_AMIGA_4_:188 inst_CLK_000_D4:283 SM_AMIGA_7_:271 * -NOTE NODES SM_AMIGA_3_:145 SM_AMIGA_1_:247 CLK_CNT_N_0_:140 * -NOTE NODES CLK_CNT_N_1_:130 CLK_CNT_P_0_:134 CLK_CNT_P_1_:146 * -NOTE NODES SM_AMIGA_2_:139 SM_AMIGA_0_:278 inst_CLK_OUT_PRE:133 * -NOTE NODES cpu_est_0_:263 cpu_est_1_:176 cpu_est_2_:182 * +NOTE NODES inst_AS_030_000_SYNC:283 inst_DTACK_SYNC:146 * +NOTE NODES inst_VPA_D:128 inst_VPA_SYNC:140 inst_CLK_000_D0:176 * +NOTE NODES inst_CLK_000_D1:187 inst_CLK_000_D2:178 inst_CLK_000_D6:278 * +NOTE NODES SM_AMIGA_5_:194 SM_AMIGA_6_:277 inst_CLK_000_D3:272 * +NOTE NODES SM_AMIGA_4_:263 inst_CLK_000_D5:259 SM_AMIGA_7_:257 * +NOTE NODES SM_AMIGA_3_:134 SM_AMIGA_1_:289 CLK_CNT_N_0_:139 * +NOTE NODES CLK_CNT_N_1_:145 CLK_CNT_P_0_:253 CLK_CNT_P_1_:290 * +NOTE NODES SM_AMIGA_2_:133 SM_AMIGA_0_:265 inst_CLK_000_D4:284 * +NOTE NODES inst_CLK_OUT_PRE:247 cpu_est_0_:271 cpu_est_1_:182 * +NOTE NODES cpu_est_2_:188 * NOTE BLOCK 0 * L000000 111111111111111111111111111111111111111111111111111111111111111111 @@ -186,18 +187,18 @@ L006734 11110111110001* L006748 11111111110011* NOTE BLOCK 1 * L006762 - 110111111111111111011111111111111111111111111111111111110111111111 - 111101111111011111111111101111111111111111111111111111111111111111 - 111111101011111101111101111011111111111111111111111111111111110111 - 101111111101111111111111111111111111111111111011111111011111111111 + 110111111111111111111111111110111111111101111111111111110111111111 + 111111111111011111111111011111111111111111111111111111111111111111 + 111111111111111101111101111111111111111111101011111111111111110111 + 111110111111111111111111111111111011011111111111111111011111111111 + 111111111111111111111111111111111111111111111111101111111111111111 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111101111111111111111111111111 - 111111111111110111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111110111111111111111111111101111111111111111111111* + 111111111111110111011111111111111111111111111111111111111111111111 + 111111110111111111111111111111111111111011111111111111111111111111 + 101111011111111111110111111111111101111111111111111111111111111111* L007356 111111111111111111111111111111111111111111111111111111111111111111* -L007422 111111111111111111111111111111111111111111111111111111111111110111* +L007422 111111111111111111111111111111111111111111111111011111111111111111* L007488 000000000000000000000000000000000000000000000000000000000000000000* L007554 000000000000000000000000000000000000000000000000000000000000000000* L007620 000000000000000000000000000000000000000000000000000000000000000000* @@ -210,32 +211,32 @@ L008016 000000000000000000000000000000000000000000000000000000000000000000* L008082 111111111111111111111111111111111111111111111111111111111111111111* L008148 111111111111111111110111111111111111111111111111111111111111111111* -L008214 000000000000000000000000000000000000000000000000000000000000000000* -L008280 000000000000000000000000000000000000000000000000000000000000000000* -L008346 000000000000000000000000000000000000000000000000000000000000000000* -L008412 000000000000000000000000000000000000000000000000000000000000000000* -L008478 111101111111111111111111111111111111111111111111111111111111111111* -L008544 000000000000000000000000000000000000000000000000000000000000000000* -L008610 000000000000000000000000000000000000000000000000000000000000000000* -L008676 000000000000000000000000000000000000000000000000000000000000000000* -L008742 000000000000000000000000000000000000000000000000000000000000000000* +L008214 111111111111111111111111111111111111111111111111111111111111111111* +L008280 111111111111111111111111111111111111111111111111111111111111111111* +L008346 111111111111111111111111111111111111111111111111111111111111111111* +L008412 111111111111111111111111111111111111111111111111111111111111111111* +L008478 111111111111111111111111111111111111111111111111111111111111111111* +L008544 111111111111111111111111111111111111111111111111111111111111111111* +L008610 111111111111111111111111111111111111111111111111111111111111111111* +L008676 111111111111111111111111111111111111111111111111111111111111111111* +L008742 111111111111111111111111111111111111111111111111111111111111111111* L008808 111111111111111111111111111111111111111111111111111111111111111111* -L008874 111111111111111111111111111011111111111111111111111111011111111111* +L008874 111111101111111111111111111111111111111111111111111111011111111111* L008940 111111111111110111111111111111111111111111111111111111011111111111* -L009006 111111110111111011111111110111111111111111111111111111111111111111* +L009006 111111011111111011111111111111111111111111110111111111111111111111* L009072 000000000000000000000000000000000000000000000000000000000000000000* L009138 000000000000000000000000000000000000000000000000000000000000000000* -L009204 111110111101111111011110111111111111111111111111111111111111111111* -L009270 111101111110111111011110111111111111111111111111111111111111111111* -L009336 111110111101111111101101111111111111111111111111111111111111111111* -L009402 111101111110111111101101111111111111111111111111111111111111111111* +L009204 111111101111111111111101111111111111111110111111111111111111111111* +L009270 111111101111111111111101101111111111111111111111111111111111111111* +L009336 111111101111111111111111111111111111111111111111111111111111110111* +L009402 000000000000000000000000000000000000000000000000000000000000000000* L009468 000000000000000000000000000000000000000000000000000000000000000000* L009534 111111111111111111111111111111111111111111111111111111111111111111* -L009600 111111111111111111011101111111111111111111111111111111111111111111* -L009666 111111111111111111101110111111111111111111111111111111111111111111* -L009732 000000000000000000000000000000000000000000000000000000000000000000* +L009600 111111011111111111111111111101111111111111111111111111111111111111* +L009666 111111111111111111111101011111111111111101111111111111111111111111* +L009732 111111011111111111111101111111111111111111111111111111111111111111* L009798 000000000000000000000000000000000000000000000000000000000000000000* L009864 000000000000000000000000000000000000000000000000000000000000000000* L009930 111111111111111111111111111111111111111111111111111111111111111111* @@ -245,20 +246,20 @@ L010128 111111111111111111111111111111111111111111111111111111111111111111* L010194 111111111111111111111111111111111111111111111111111111111111111111* L010260 000000000000000000000000000000000000000000000000000000000000000000* -L010326 111111111111111101111111111011111111111111111111111111111111111111* +L010326 111111101111111101111111111111111111111111111111111111111111111111* L010392 111111111111110101111111111111111111111111111111111111111111111111* -L010458 011111111111111011111111110111111111111111111111111111111111111111* +L010458 111111011111111011111111111111110111111111111111111111111111111111* L010524 000000000000000000000000000000000000000000000000000000000000000000* L010590 000000000000000000000000000000000000000000000000000000000000000000* -L010656 111111111111111111111111101011111111111111111111111111110111111111* -L010722 111111111111111111111111111011111111111111111011111111110111111111* -L010788 111111111111011111111111111011111111111111111111111111111111111111* +L010656 111111111111011111111111111111111111111111111111111111110111111111* +L010722 111111111111101111111111111111111111111111111111111111111011111111* +L010788 000000000000000000000000000000000000000000000000000000000000000000* L010854 000000000000000000000000000000000000000000000000000000000000000000* L010920 000000000000000000000000000000000000000000000000000000000000000000* L010986 000000000000000000000000000000000000000000000000000000000000000000* -L011052 111101111101111111111111111111111111111111111111111111111111111111* -L011118 111110111110111111111111111111111111111111111111111111111111111111* +L011052 111111111111111111111111101111111111111011111111111111111111111111* +L011118 111101011011111111111101111111111110101111111111111111111111111111* L011184 000000000000000000000000000000000000000000000000000000000000000000* L011250 000000000000000000000000000000000000000000000000000000000000000000* L011316 000000000000000000000000000000000000000000000000000000000000000000* @@ -269,23 +270,23 @@ L011580 111111111111111111111111111111111111111111111111111111111111111111* L011646 111111111111111111111111111111111111111111111111111111111111111111* L011712 000000000000000000000000000000000000000000000000000000000000000000* -L011778 110111111111111111111111111011111111111111111111111111111111111111* +L011778 110111101111111111111111111111111111111111111111111111111111111111* L011844 110111111111110111111111111111111111111111111111111111111111111111* -L011910 111111011111111011111111110111111111111111111111111111111111111111* +L011910 111111011111111011111111111111111111111111011111111111111111111111* L011976 000000000000000000000000000000000000000000000000000000000000000000* L012042 000000000000000000000000000000000000000000000000000000000000000000* -L012108 111111111111111111111111110111111111111101111111111111111111111111* -L012174 111111111111111111111111011111111111111111110111111111110111111111* -L012240 111111111111111111111111110111111111111111111111111111110111111111* -L012306 000000000000000000000000000000000000000000000000000000000000000000* -L012372 000000000000000000000000000000000000000000000000000000000000000000* +L012108 111111111111011111111111111111111111111111111111111111111111111111* +L012174 111111111111111111111111111111111111111111111111111111111111111111* +L012240 111111111111111111111111111111111111111111111111111111111111111111* +L012306 111111111111111111111111111111111111111111111111111111111111111111* +L012372 111111111111111111111111111111111111111111111111111111111111111111* L012438 111111111111111111111111111111111111111111111111111111111111111111* -L012504 111111111111111111111101111111111111111111111111111111111111111111* -L012570 111111111111111111111111111111111111111111111111111111111111111111* -L012636 111111111111111111111111111111111111111111111111111111111111111111* -L012702 111111111111111111111111111111111111111111111111111111111111111111* -L012768 111111111111111111111111111111111111111111111111111111111111111111* +L012504 111111111111111111111111111111111111111010111111111111111111111111* +L012570 111111011111111111101101111111111111011111111111111111111111111111* +L012636 000000000000000000000000000000000000000000000000000000000000000000* +L012702 000000000000000000000000000000000000000000000000000000000000000000* +L012768 000000000000000000000000000000000000000000000000000000000000000000* L012834 111111111111111111111111111111111111111111111111111111111111111111* L012900 111111111111111111111111111111111111111111111111111111111111111111* L012966 111111111111111111111111111111111111111111111111111111111111111111* @@ -293,24 +294,24 @@ L013032 111111111111111111111111111111111111111111111111111111111111111111* L013098 111111111111111111111111111111111111111111111111111111111111111111* L013164 000000000000000000000000000000000000000000000000000000000000000000 - 111111111111111111111111111111111111111111101111111111111111111111* + 101111111111111111111111111111111111111111111111111111111111111111* L013296 0010* L013300 00100100010000* L013314 00100100011110* -L013328 00100110010100* -L013342 00101100011111* +L013328 00010110010100* +L013342 11101011111111* L013356 10100110010010* L013370 10100100011110* L013384 10100100010110* -L013398 11100011111111* +L013398 11101011111110* L013412 10100110011000* -L013426 10100100010010* -L013440 10101100010000* -L013454 11101111110011* -L013468 10100110011001* -L013482 10100100010011* -L013496 00010100011100* -L013510 11100011111111* +L013426 10101100010011* +L013440 11100110010001* +L013454 11100011110011* +L013468 10100110011000* +L013482 00001110010010* +L013496 11100110011101* +L013510 11101011111111* NOTE BLOCK 2 * L013524 111111111111111111111111111111111111111111111111111111111111111111 @@ -440,57 +441,57 @@ L020258 11110111110101* L020272 11111111111111* NOTE BLOCK 3 * L020286 - 111111111111111111111111111111111111111111111110111011111111111111 - 111111111111111110111111111110011111111111110111111111111110111111 - 101111111111111111111111111111111111111111111111111111111011111111 - 111111111111111111111111111111111111011111101111111111111111111111 + 111111111111111111111111111101111111111111111110111111111111111111 + 101111110111111110111111111111011111111111111111111111111110111111 + 111111111111111111111111111111111111101111111111111111111011111111 + 111110111111111111111111111111111111111111111111110111111111111111 111111111111111111111111011111111101111111111111111111111111111111 - 111111111101101111111111111111111111111111111111111111111111011111 - 111111111111110111111111111111110111111111111111111111111111111110 - 111111110111111111100101111011111111111110111111111111111111111111 - 111101011111111111111111111111111111111011111111101111111111111111* + 110111111111101111111101111111111111111111111111111111111111011111 + 111111111101110111111111111111111111111111111111111111111111111110 + 111111111111111111100111111011110111111110111111011111111111111111 + 111111011111111111111111111111111111111011101111111111011111111111* L020880 111111111111111111111111111111111111111111111111111111111111111111* -L020946 111111111111111111111110111111111111111111111111111111111111111110* +L020946 111111111111111111111111111111111111111111111111101111111111111110* L021012 000000000000000000000000000000000000000000000000000000000000000000* L021078 000000000000000000000000000000000000000000000000000000000000000000* L021144 000000000000000000000000000000000000000000000000000000000000000000* L021210 000000000000000000000000000000000000000000000000000000000000000000* -L021276 111101101111111111111111111111111111101111111101111111111011111111* -L021342 111101111111111111111111111111111111111111111111111111111111111111* -L021408 111110010111111111111101111111111111111111101110111111110111111111* +L021276 111111101111111111111111111111111011110111111111111011011111111111* +L021342 111111111111111111111111111111111111111111111111111111011111111111* +L021408 111110011111111111111111111111110111111011111111011111101111011111* L021474 000000000000000000000000000000000000000000000000000000000000000000* L021540 000000000000000000000000000000000000000000000000000000000000000000* L021606 111111111111111111111111111111111111111111111111111111111111111111* -L021672 111111101011111011111111111111111111111111101111111111110111111111* -L021738 111111100111111011111111111111111111111111011111111111110111111111* -L021804 111111111011111011111111111111111111111111011101111111110111111111* -L021870 111111110111111011111111111111111111111111101101111111110111111111* +L021672 111111111111111111111111111101111111111111111111111111111111111111* +L021738 000000000000000000000000000000000000000000000000000000000000000000* +L021804 000000000000000000000000000000000000000000000000000000000000000000* +L021870 000000000000000000000000000000000000000000000000000000000000000000* L021936 000000000000000000000000000000000000000000000000000000000000000000* -L022002 111111111111111111111111111111111111111111111111111111111111111111* -L022068 111111111111111111111111111111111111111111111111111111111111111111* -L022134 111111111111111111111111111111111111111111111111111111111111111111* -L022200 111111111111111111111111111111111111111111111111111111111111111111* -L022266 111111111111111111111111111111111111111111111111111111111111111111* +L022002 111111111111110111111111111111111111111111111111111111111111111111* +L022068 000000000000000000000000000000000000000000000000000000000000000000* +L022134 000000000000000000000000000000000000000000000000000000000000000000* +L022200 000000000000000000000000000000000000000000000000000000000000000000* +L022266 000000000000000000000000000000000000000000000000000000000000000000* L022332 111111111111111111111111111111111111111101111111111111111111111111* -L022398 111111111111111111111111110111011111111111111111011111111111111111* +L022398 111111111111111111111111110111011111111111011111111111111111111111* L022464 111111111111111111111111111111111111111111111111111111111101111111* L022530 000000000000000000000000000000000000000000000000000000000000000000* -L022596 111111111111111111111011111111111111111111111111101111111111111111* +L022596 111111111111111111111011111111111111111111101111111111111111111111* L022662 111111111111111111101011111011111111111111111111111111111111111111* L022728 111111111111111111011111111111111110111111111111111111111111111111* -L022794 111111111111111111111101111111111110111111111111111111111111111111* +L022794 111111111111111111111111111111111110111111111111011111111111111111* L022860 000000000000000000000000000000000000000000000000000000000000000000* L022926 000000000000000000000000000000000000000000000000000000000000000000* L022992 000000000000000000000000000000000000000000000000000000000000000000* L023058 111111111111111111111111111111111111111101111111111111111111111111* -L023124 111111110111111111111111111111111111111111111111111111111111111111* -L023190 111111111011111011111111111111111111111111011101111111110111111111* -L023256 111111101011111011111111111111111111111111111110111111110111111111* -L023322 111111100111111011111111111111111111111111101101111111110111111111* +L023124 111110011111111011111111111111111011111111111111111111111111101111* +L023190 111101011111111011111111111111111011111111111111111111111111011111* +L023256 111101011111111011111111111111111111110111111111111111111111101111* +L023322 111110011111111011111111111111111111110111111111111111111111011111* L023388 000000000000000000000000000000000000000000000000000000000000000000* L023454 111111111111111111111111111111111111111111111111111111111111111111* L023520 111111111111111111111111111111111111111111111111111111111111111111* @@ -500,21 +501,21 @@ L023718 111111111111111111111111111111111111111111111111111111111111111111* L023784 111111111111111111111111111111111111111101111111111111111111111111* L023850 111111111111111111011111011111111111111111111111111111111111111111* -L023916 101111111111101101111111101101111101111111111111111111111111111111* +L023916 011111111111101101111111101111111101101111111111111111111111111111* L023982 111111111111111101011111111111111110111111111111111111111111111111* -L024048 111111111111111111111111011111110111111111111111111111111111111111* -L024114 111111111111111101111111111111110110111111111111111111111111111111* -L024180 111111111111111111111111111111111111111111111111111111110111111111* -L024246 101111111111101110111111101101111111111111111111111111111111011111* -L024312 111111111111111110011111111111111111111111111111111111111111101111* -L024378 111111111111111110111111111111110111111111111111111111111111101111* +L024048 111111111101111111111111011111111111111111111111111111111111111111* +L024114 111111111101111101111111111111111110111111111111111111111111111111* +L024180 111111011111111111111111111111111111111111111111111111111111111111* +L024246 011111111111101110111111101111111111101111111101111111111111111111* +L024312 111111111111111110011111111111111111111111111110111111111111111111* +L024378 111111111101111110111111111111111111111111111110111111111111111111* L024444 000000000000000000000000000000000000000000000000000000000000000000* L024510 - 111111111111111111111111111111111111111110111111111111111111111111* -L024576 111111111111111111111111111111111101111111111111111111111011111111* -L024642 111111111111111111111111111111111111111111111111111111111011011111* -L024708 000000000000000000000000000000000000000000000000000000000000000000* -L024774 000000000000000000000000000000000000000000000000000000000000000000* + 111111111111111111111111111111101111111110111111111111111111111111* +L024576 111111111111111111111111111111111111111111111111111111111111011111* +L024642 111101011111111011111111111111111111110111111111111111111111101111* +L024708 111111011111111011111111111111111011111011111111111111111111101111* +L024774 111110011111111011111111111111111011110111111111111111111111011111* L024840 000000000000000000000000000000000000000000000000000000000000000000* L024906 111111111111111111111111111111111111111111111111111111111111111111* L024972 111111111111111111111111111111111111111111111111111111111111111111* @@ -523,20 +524,20 @@ L025104 111111111111111111111111111111111111111111111111111111111111111111* L025170 111111111111111111111111111111111111111111111111111111111111111111* L025236 111111111111111111111111111111111111111111111111111111111111111111* -L025302 101111111111111101111111101111111101111111111111111111111111111111* -L025368 111111111110111111101111011111111111111111111111111111111111111111* -L025434 111111111110111101101111111111111110111111111111111111111111111111* -L025500 101111111111111110111111101111111111111111111111111111111111011111* -L025566 111111111110111110101111111111111111111111111111111111111111101111* -L025632 111111111111111011011111111111011111110111111011110111110111111111* -L025698 111111111111111111111111111111111111111111111111111111111111111111* -L025764 111111111111111111111111111111111111111111111111111111111111111111* -L025830 111111111111111111111111111111111111111111111111111111111111111111* -L025896 111111111111111111111111111111111111111111111111111111111111111111* +L025302 111111111111111101111111101111111101101111111111111111111111111111* +L025368 111111111111111111101110011111111111111111111111111111111111111111* +L025434 111111111111111101101110111111111110111111111111111111111111111111* +L025500 111111111111111110111111101111111111101111111101111111111111111111* +L025566 111111111111111110101110111111111111111111111110111111111111111111* +L025632 111111111011111111011111111101011111111111111111111111110111111111* +L025698 111011111011111111111111111111111111111111111111111111111111111111* +L025764 000000000000000000000000000000000000000000000000000000000000000000* +L025830 000000000000000000000000000000000000000000000000000000000000000000* +L025896 000000000000000000000000000000000000000000000000000000000000000000* L025962 000000000000000000000000000000000000000000000000000000000000000000* -L026028 111111111111111111111111111111111101111111111111111111110111111111* -L026094 111111111111111111111111110111111111111111111111111111110111111111* +L026028 111111011111111111111111111111111101111111111111111111111111111111* +L026094 111111011111111111111111110111111111111111111111111111111111111111* L026160 000000000000000000000000000000000000000000000000000000000000000000* L026226 000000000000000000000000000000000000000000000000000000000000000000* L026292 000000000000000000000000000000000000000000000000000000000000000000* @@ -547,24 +548,24 @@ L026556 111111111111111111111111111111111111111111111111111111111111111111* L026622 111111111111111111111111111111111111111111111111111111111111111111* L026688 000000000000000000000000000000000000000000000000000000000000000000 - 111111111111111111111111111111111111111111111111101111111111111111* + 111111111111111111111111111111111111111111101111111111111111111111* L026820 0010* L026824 01100110011000* L026838 00100110011110* -L026852 10100101010100* -L026866 11100011111111* +L026852 00100110010100* +L026866 00100110011111* L026880 11101110001001* L026894 10100110011111* -L026908 00100100010110* -L026922 11101111111111* -L026936 10100110010001* +L026908 10100101010110* +L026922 11101011111110* +L026936 10100110010000* L026950 00110110011111* -L026964 10100100010110* -L026978 11101011110010* +L026964 00100100010111* +L026978 11100011110011* L026992 11100110011000* -L027006 01000110011111* -L027020 10100100010000* -L027034 11101111110010* +L027006 11100110011110* +L027020 10100100010001* +L027034 11101011110011* NOTE BLOCK 4 * L027048 111111111111111111111111111111111111111111111111111111111111111111 @@ -821,26 +822,26 @@ L040544 11110111111111* L040558 11111111111111* NOTE BLOCK 6 * L040572 - 111111111111111011111111111101111111111111011111111111111111111111 - 111111111111011111111111101111111111111111111111111111111111111111 - 111111111111111111111111111011111111111111111111111111110111111111 - 111111111111111111110110111111111111111111111011111111111111111111 - 111111111011111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111011111111111111111111111111 - 111111111110111111111111111111111111111111111101110111111111111111 - 111111111111111111101111111111110111111111111111111111111111111111 - 101101011111111111111111111111111111111111111111111111111111111111* + 111111111111111111110111101111111111111111111110111111111111111111 + 111111111111011111111111111111011111111111111111111111101111111111 + 111111111111111111111111111011111111111111111111111111111111111111 + 111111111111111111111110111111111111111111111011111111111111111111 + 111110111111111111111111111111111101111111111111101111111111111111 + 111111111111111111111111111111111111101101111111111111111011111111 + 111111111110111111111111111111111111111111111111110111111111111111 + 111111111011110111011111111111110111111111101111111111111111111111 + 101111011111111111111111111110111111111011111111111111111111111111* L041166 111111111111111111111111111111111111111111111111111111111111111111* -L041232 111111111111111111111111111111111111111111111111111111110111111111* +L041232 111111111111111111111111111111111111111111111111011111111111111111* L041298 000000000000000000000000000000000000000000000000000000000000000000* L041364 000000000000000000000000000000000000000000000000000000000000000000* L041430 000000000000000000000000000000000000000000000000000000000000000000* L041496 000000000000000000000000000000000000000000000000000000000000000000* -L041562 111111110101111111111111111111111111111011111111111111111111111111* -L041628 111111110111111111111111110111111111111111111111111111111111111111* -L041694 111111111111011111111111110111111111111111111111111111111111111111* -L041760 000000000000000000000000000000000000000000000000000000000000000000* +L041562 111101111111101111110111111111111111111111111011111111111111111111* +L041628 111101111111011111111011111111111111111111111011111111111111111111* +L041694 111110111111101111110111111111111111111111110111111111111111111111* +L041760 111110111111011111111011111111111111111111110111111111111111111111* L041826 000000000000000000000000000000000000000000000000000000000000000000* L041892 111111111111111111111111111111111111111111111111111111111111111111* @@ -857,12 +858,12 @@ L042552 111111111111111111111111111111111111111111111111111111111111111111* L042618 000000000000000000000000000000000000000000000000000000000000000000* L042684 111111111111111111111101111111111111111111111111111111111111111111* -L042750 111111011111111111111101110111110111111111111111111011111111111111* -L042816 111111101111111111111110110111111011111111111111111011111111111111* -L042882 111111111111110111111110110111111011111111111111111011111111111111* +L042750 111111011111111111111101111111110111111101111111111011111111111111* +L042816 111111011111111111111110111111111011111110111111111011111111111111* +L042882 111111011111111111111110111111111111110110111111111011111111111111* L042948 000000000000000000000000000000000000000000000000000000000000000000* -L043014 111111111111111111101111111111111111111111111011111111111111111111* -L043080 111110101111111111111001110111111111111111011111111111111111111111* +L043014 111101111111111111111111111111111111111111110111111111111111111111* +L043080 111110111111111111111111111111111111111111111011111111111111111111* L043146 000000000000000000000000000000000000000000000000000000000000000000* L043212 000000000000000000000000000000000000000000000000000000000000000000* L043278 000000000000000000000000000000000000000000000000000000000000000000* @@ -880,16 +881,16 @@ L043938 111111111111111111111111111111111111111111111111111111111111111111* L044004 111111111111111111111111111111111111111111111111111111111111111111* L044070 000000000000000000000000000000000000000000000000000000000000000000* -L044136 111111111111111111111111111101111111111111111111111111111111111111* -L044202 111111111111111111111111111111111111111111111111111111111111111111* -L044268 111111111111111111111111111111111111111111111111111111111111111111* -L044334 111111111111111111111111111111111111111111111111111111111111111111* -L044400 111111111111111111111111111111111111111111111111111111111111111111* -L044466 111111111111111111101111101111111111111111111111111111111111111111* -L044532 111111111111111111110111110111111111111111011110111111111111111111* -L044598 000000000000000000000000000000000000000000000000000000000000000000* -L044664 000000000000000000000000000000000000000000000000000000000000000000* -L044730 000000000000000000000000000000000000000000000000000000000000000000* +L044136 111111100111111111111111111111101111111111111111111111111111111111* +L044202 111111111101111111111111110111111111111111111111111111111111111111* +L044268 111111111111111111011111110111111111111111111111111111111111111111* +L044334 111111111111111111111111110110111111111111111111111111111111111111* +L044400 111111011111110111111111011111111111111111111111111111111111111111* +L044466 111111111111111111111111111111111111011111111111111111111111111111* +L044532 111111111111111111111111111111111111111111111111111111111111111111* +L044598 111111111111111111111111111111111111111111111111111111111111111111* +L044664 111111111111111111111111111111111111111111111111111111111111111111* +L044730 111111111111111111111111111111111111111111111111111111111111111111* L044796 000000000000000000000000000000000000000000000000000000000000000000* L044862 111111111111111111111111111111111111111111111111111111111111111111* @@ -904,16 +905,16 @@ L045390 111111111111111111111111111111111111111111111111111111111111111111* L045456 111111111111111111111111111111111111111111111111111111111111111111* L045522 000000000000000000000000000000000000000000000000000000000000000000* -L045588 111111111111110111111111111011111111111111111111111111111111111111* -L045654 111111111111110111111111111111111111111111111111110111111111111111* -L045720 111111111111111011111111110111111111111111111111111011111111111111* +L045588 111111101111111111111111111111111101111111111111111111111111111111* +L045654 111111101111111111111111111111111111111111111101111111111111111111* +L045720 000000000000000000000000000000000000000000000000000000000000000000* L045786 000000000000000000000000000000000000000000000000000000000000000000* L045852 000000000000000000000000000000000000000000000000000000000000000000* -L045918 111111111111111111111111111111111111111111111111111111110111111111* -L045984 111111111111111111111111111111111111111111111111111111111111111111* -L046050 111111111111111111111111111111111111111111111111111111111111111111* -L046116 111111111111111111111111111111111111111111111111111111111111111111* -L046182 111111111111111111111111111111111111111111111111111111111111111111* +L045918 111111101111111111111111111111111111111111011111111111110111111111* +L045984 111111101111111111111111111111111111111111111111111111100111111111* +L046050 111111111111111011111111011111111111111111111111111111111111111111* +L046116 111111101111111111111111011111111111111111111111111111111111111111* +L046182 000000000000000000000000000000000000000000000000000000000000000000* L046248 000000000000000000000000000000000000000000000000000000000000000000* L046314 111111111111111111111111111111111111111111111111111111111111111111* @@ -935,95 +936,95 @@ L047124 10100110011110* L047138 11011111110100* L047152 11111011111111* L047166 00100110011000* -L047180 11100100010010* +L047180 10100110010010* L047194 11011111110001* L047208 11111011110011* -L047222 00110100010000* -L047236 11100100010010* +L047222 10100100010000* +L047236 00000100010010* L047250 11010011110000* L047264 11111011110011* L047278 10100110010000* -L047292 00000110010010* +L047292 10100110010010* L047306 11011111110001* L047320 11110011111111* NOTE BLOCK 7 * L047334 111111111111111111111111111111111111111110111111111111111111111111 - 111111111101111111111111111111111111111111111111111111111111111111 - 111111111111101111111111111111111111111111111111111111111011111111 - 111011111111111110111111111111111111111111111111111111111111111111 - 111110111111111111111111111111111111111111111111111111111111111110 - 111111110111111111111111011111111111101011111111111111111111111111 - 111111101111111111111101111111111101111111111111110111101111111111 - 111111111111111011101111111011111111111111111110011111111111111111 - 101111111111111111111011111110111111111111111111111111111111101111* + 111111111111111111111111111111011111111111111111111111101111111111 + 111111111111111111111011111111111110111111111111111111110111111111 + 111011111111111110111111111111111111111111111011111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111011011111111111111111111011111111111111111111111111111 + 111111100110111111111101111111111111111111111111110111111111111111 + 111111111111111111011111111011111111111011101110111111111111111111 + 101111111111111111111111101110110111111111111111111111111111101111* L047928 000000000000000000000000000000000000000000000000000000000000000000* -L047994 110111110111011101101110101111111110111101111111111111111111111111* -L048060 111111111111111111101111111111111111111111111111111111111111101111* +L047994 110111111011101101111110111111111101011001111111111111111111111111* +L048060 111111111111111111111111111111111111111011111111111111111111101111* L048126 000000000000000000000000000000000000000000000000000000000000000000* L048192 000000000000000000000000000000000000000000000000000000000000000000* L048258 000000000000000000000000000000000000000000000000000000000000000000* -L048324 111111111110111111111111110111111111111111111111111111111011111111* -L048390 111111111111111111110111111101111111111111111111111111111111111111* -L048456 111101111111111111110111111111111111111111111111111111111111111111* -L048522 111111111111111111110111111111111111101111111111111111111111111111* -L048588 111111111111110111111111111111111111111111111111011111110111111111* +L048324 111111111111111111111111011111111011111111111111111111111111111111* +L048390 111111111111111111111111011111111111111111111111110111111111111111* +L048456 111111111111111111111111101111110111111111111111111011111111111111* +L048522 000000000000000000000000000000000000000000000000000000000000000000* +L048588 000000000000000000000000000000000000000000000000000000000000000000* L048654 000000000000000000000000000000000000000000000000000000000000000000* -L048720 111111111111111111111111111111111111111011111111111111011111111101* -L048786 111111111111111111011111111111111111111111111111111111111111111111* -L048852 111111111110111111111111111101111111111111111111111111111111111111* -L048918 111111111111111111111111111101111111111110111111111111111111111111* -L048984 110111110111011101111110101101111110111111111111111111111111111111* -L049050 111111111110111111111111110111111111111111111111111111111111111111* -L049116 111111111111111111111011111101111111111111111111111111111111111111* -L049182 000000000000000000000000000000000000000000000000000000000000000000* -L049248 000000000000000000000000000000000000000000000000000000000000000000* -L049314 000000000000000000000000000000000000000000000000000000000000000000* +L048720 111111111111111111011111111111111111111111111111111111111111111111* +L048786 111111111111111111111111111111111111111111111111111111111111111111* +L048852 111111111111111111111111111111111111111111111111111111111111111111* +L048918 111111111111111111111111111111111111111111111111111111111111111111* +L048984 111111111111111111111111111111111111111111111111111111111111111111* +L049050 111111111111111111111111111111111111111111111111111111111111111111* +L049116 111111111111111111111111111111111111111111111111111111111111111111* +L049182 111111111111111111111111111111111111111111111111111111111111111111* +L049248 111111111111111111111111111111111111111111111111111111111111111111* +L049314 111111111111111111111111111111111111111111111111111111111111111111* L049380 111111111111111111111111111111111111111111111111111111111111111111* -L049446 111111110111111111111111111111111111111111111101111111111111111111* -L049512 111111110111111111111111111111111111111111111111111011110111111111* +L049446 111111111111111111111111111111111111011111111101111111111111111111* +L049512 111111111111111111111111111111110111011111111111111011111111111111* L049578 000000000000000000000000000000000000000000000000000000000000000000* L049644 000000000000000000000000000000000000000000000000000000000000000000* L049710 000000000000000000000000000000000000000000000000000000000000000000* -L049776 111110111111111111110111111110111111011111111111111111111111111111* -L049842 111111111101111111111011110111111111111111111111111111111011111111* +L049776 111111111110111111100111111101111111111111111111111111111111111111* +L049842 111111111111111111111011110111011011111111111111111111111111111111* L049908 000000000000000000000000000000000000000000000000000000000000000000* L049974 000000000000000000000000000000000000000000000000000000000000000000* L050040 000000000000000000000000000000000000000000000000000000000000000000* L050106 000000000000000000000000000000000000000000000000000000000000000000* -L050172 111111111111111111111111111111111111110111111111111111111011111101* -L050238 111111111111111111111111111111111111111111111111111111101011111101* -L050304 111111111111110111111111111111111111111111111111101111111111111111* -L050370 111111111111110111111111111111111111111111111111111111111011111111* -L050436 000000000000000000000000000000000000000000000000000000000000000000* +L050172 111111111111111111111111111111111111111111111111111111011111111111* +L050238 111111111111111111111111111111111111111111111111111111111111111111* +L050304 111111111111111111111111111111111111111111111111111111111111111111* +L050370 111111111111111111111111111111111111111111111111111111111111111111* +L050436 111111111111111111111111111111111111111111111111111111111111111111* L050502 111111111111111111111111111111111111111111111111111111111111111111* L050568 111111111111111111111111111111111111111111111111111111111111111111* L050634 111111111111111111111111111111111111111111111111111111111111111111* L050700 111111111111111111111111111111111111111111111111111111111111111111* L050766 111111111111111111111111111111111111111111111111111111111111111111* L050832 - 111111111101111111111111111111111111111111111111111111111111111111* -L050898 111111111111111111111111111111111111111111111111111111111111111111* -L050964 111111111111111111111111111111111111111111111111111111111111111111* -L051030 111111111111111111111111111111111111111111111111111111111111111111* -L051096 111111111111111111111111111111111111111111111111111111111111111111* -L051162 111111111111111111111111111111111111111111111111111111111111111111* -L051228 111111111111111111111111111111111111011111111111111111111111111111* -L051294 111111101111111111101111111111111111111111111111111111111111111111* -L051360 111111111111111111111111111111111111111011111111111111011111111101* -L051426 000000000000000000000000000000000000000000000000000000000000000000* -L051492 000000000000000000000000000000000000000000000000000000000000000000* + 111111111111111111111111111111011111111111111111111111111111111111* +L050898 111111101111111111111111111111111111111011111111111111111111111111* +L050964 111111111111110111111111111111111111111111101111111111011111111111* +L051030 000000000000000000000000000000000000000000000000000000000000000000* +L051096 000000000000000000000000000000000000000000000000000000000000000000* +L051162 000000000000000000000000000000000000000000000000000000000000000000* +L051228 111111111111110111111111111111111111111111101111111111011111111111* +L051294 111111111111111111111111111111111111110111111111111111111111111111* +L051360 111111111101111111111111111111101111111111111111111111111111111111* +L051426 111111111101111111111111111111111111111110111111111111111111111111* +L051492 110111111001101101111110111111111101011111111111111111111111111111* L051558 - 111111111101111111111111111111111111111111111111111111111111111111* -L051624 111101111111111111111111111111111111111111111111111111111111111111* -L051690 111111111111111111111111111111111111111111111111111111111111111111* -L051756 111111111111111111111111111111111111111111111111111111111111111111* -L051822 111111111111111111111111111111111111111111111111111111111111111111* -L051888 111111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111011111111111111111111111111111111111* +L051624 111111111111111111111111111101111111111111111111111111111111111111* +L051690 111111111111111111111111110111101111111111111111111111111111111111* +L051756 111111111101111111111011111111111111111111111111111111111111111111* +L051822 000000000000000000000000000000000000000000000000000000000000000000* +L051888 000000000000000000000000000000000000000000000000000000000000000000* L051954 111111111111111111111111111111111111111111111111111111111111111111* L052020 111111111111111111111111111111111111111111111111111111111111111111* L052086 111111111111111111111111111111111111111111111111111111111111111111* @@ -1036,14 +1037,14 @@ L052416 111111111111111111111111111111111111111111111111111111111111111111* L052482 111111111111111111111111111111111111111111111111111111111111111111* L052548 111111111111111111111111111111111111111111111111111111111111111111* L052614 111111111111111111111111111111111111111111111111111111111111111111* -L052680 111111111111111111111111111111111111111111111111111111011111111111* -L052746 111111111111111111111111111111111111111111111111111111111111111111* -L052812 111111111111111111111111111111111111111111111111111111111111111111* -L052878 111111111111111111111111111111111111111111111111111111111111111111* -L052944 111111111111111111111111111111111111111111111111111111111111111111* +L052680 111111111111110111111111111111111111111111101111111111011111111111* +L052746 111111111111110111111111111111110111111111111111111111111111111111* +L052812 111111111111111111111111111111110111111111111111111111110111111111* +L052878 000000000000000000000000000000000000000000000000000000000000000000* +L052944 000000000000000000000000000000000000000000000000000000000000000000* L053010 111111111111111111111111111111111111111111111111111111111111111111* -L053076 111111111111111111111111111111111111111111111111110111111111111111* +L053076 111111111111111111111111111111111111111111110111111111111111111111* L053142 111111111111111111111111111111111111111111111111111111111111111111* L053208 111111111111111111111111111111111111111111111111111111111111111111* L053274 111111111111111111111111111111111111111111111111111111111111111111* @@ -1058,20 +1059,20 @@ L053736 101111111111111111111111111111111111111111111111111111111111111111* L053868 0010* L053872 11100110011000* -L053886 10100110010010* -L053900 10100110010000* -L053914 11110011110011* +L053886 10100100010010* +L053900 00010110010000* +L053914 11100011110011* L053928 10100110010001* L053942 10100100011111* -L053956 10100100010000* +L053956 00010110010000* L053970 11101111110011* -L053984 11110110010001* -L053998 00110110010011* -L054012 00010110010100* +L053984 11100110010001* +L053998 10100110010011* +L054012 00110110010100* L054026 11101011111110* L054040 00111111111000* -L054054 00000110010011* -L054068 00010110010100* +L054054 10100100010011* +L054068 00010100010100* L054082 11100011111110* E1 0 @@ -1092,6 +1093,6 @@ E1 00000000 1 * -C633B* +C4B7C* U00000000000000000000000000000000* -D5BA +DBAB diff --git a/Logic/68030_tk.lco b/Logic/68030_tk.lco index ba01eb3..69e33e1 100644 --- a/Logic/68030_tk.lco +++ b/Logic/68030_tk.lco @@ -17,7 +17,7 @@ Parent = m4a5.lci; SDS_File = m4a5.sds; Design = 68030_tk.tt4; DATE = 5/24/14; -TIME = 16:11:55; +TIME = 19:56:24; Source_Format = Pure_VHDL; Type = TT2; Pre_Fit_Time = 1; @@ -79,43 +79,42 @@ Layer = OFF; SIZE_1_ = pin,79,-,H,-; A_31_ = pin,4,-,B,-; IPL_2_ = pin,68,-,G,-; +FC_1_ = pin,58,-,F,-; +AS_030 = pin,82,-,H,-; SIZE_0_ = pin,70,-,G,-; +DS_030 = pin,98,-,A,-; A_30_ = pin,5,-,B,-; A_29_ = pin,6,-,B,-; A_28_ = pin,15,-,C,-; -FC_1_ = pin,58,-,F,-; +nEXP_SPACE = pin,14,-,-,-; A_27_ = pin,16,-,C,-; -AS_030 = pin,82,-,H,-; +BERR = pin,41,-,E,-; A_26_ = pin,17,-,C,-; +BG_030 = pin,21,-,C,-; A_25_ = pin,18,-,C,-; -DS_030 = pin,98,-,A,-; A_24_ = pin,19,-,C,-; A_23_ = pin,84,-,H,-; +BGACK_000 = pin,28,-,D,-; A_22_ = pin,85,-,H,-; -nEXP_SPACE = pin,14,-,-,-; +CLK_030 = pin,64,-,-,-; A_21_ = pin,94,-,A,-; -BERR = pin,41,-,E,-; +CLK_000 = pin,11,-,-,-; A_20_ = pin,93,-,A,-; -BG_030 = pin,21,-,C,-; +CLK_OSZI = pin,61,-,-,-; A_19_ = pin,97,-,A,-; +CLK_DIV_OUT = pin,65,-,G,-; A_18_ = pin,95,-,A,-; A_17_ = pin,59,-,F,-; -BGACK_000 = pin,28,-,D,-; A_16_ = pin,96,-,A,-; -CLK_030 = pin,64,-,-,-; -CLK_000 = pin,11,-,-,-; -CLK_OSZI = pin,61,-,-,-; -CLK_DIV_OUT = pin,65,-,G,-; -CLK_EXP = pin,10,-,B,-; AVEC = pin,92,-,A,-; AVEC_EXP = pin,22,-,C,-; VPA = pin,36,-,-,-; RST = pin,86,-,-,-; RW = pin,71,-,G,-; -A_0_ = pin,69,-,G,-; AMIGA_BUS_DATA_DIR = pin,48,-,E,-; AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-; CIIN = pin,47,-,E,-; +A_0_ = pin,69,-,G,-; IPL_1_ = pin,56,-,F,-; IPL_0_ = pin,67,-,G,-; DSACK_0_ = pin,80,-,H,-; @@ -127,6 +126,7 @@ UDS_000 = pin,32,-,D,-; LDS_000 = pin,31,-,D,-; BG_000 = pin,29,-,D,-; BGACK_030 = pin,83,-,H,-; +CLK_EXP = pin,10,-,B,-; FPU_CS = pin,78,-,H,-; DTACK = pin,30,-,D,-; E = pin,66,-,G,-; @@ -135,33 +135,33 @@ RESET = pin,3,-,B,-; AMIGA_BUS_ENABLE = pin,34,-,D,-; IPL_030_1_ = pin,7,-,B,-; IPL_030_0_ = pin,8,-,B,-; -CLK_OUT_INTreg = node,-,-,G,13; -inst_AS_030_000_SYNC = node,-,-,H,2; -inst_DTACK_SYNC = node,-,-,G,9; +inst_AS_030_000_SYNC = node,-,-,H,9; +inst_DTACK_SYNC = node,-,-,B,14; inst_VPA_D = node,-,-,B,2; -inst_VPA_SYNC = node,-,-,G,5; -inst_CLK_000_D0 = node,-,-,G,8; +inst_VPA_SYNC = node,-,-,B,10; +inst_CLK_000_D0 = node,-,-,D,2; inst_CLK_000_D1 = node,-,-,D,9; -inst_CLK_000_D2 = node,-,-,H,14; -inst_CLK_000_D5 = node,-,-,H,13; +inst_CLK_000_D2 = node,-,-,D,3; +inst_CLK_000_D6 = node,-,-,H,6; SM_AMIGA_5_ = node,-,-,D,14; SM_AMIGA_6_ = node,-,-,H,5; -inst_CLK_000_D3 = node,-,-,H,10; -SM_AMIGA_4_ = node,-,-,D,10; -inst_CLK_000_D4 = node,-,-,H,9; -SM_AMIGA_7_ = node,-,-,H,1; -SM_AMIGA_3_ = node,-,-,B,13; -SM_AMIGA_1_ = node,-,-,G,1; -CLK_CNT_N_0_ = node,-,-,B,10; -CLK_CNT_N_1_ = node,-,-,B,3; -CLK_CNT_P_0_ = node,-,-,B,6; -CLK_CNT_P_1_ = node,-,-,B,14; -SM_AMIGA_2_ = node,-,-,B,9; -SM_AMIGA_0_ = node,-,-,H,6; -inst_CLK_OUT_PRE = node,-,-,B,5; -cpu_est_0_ = node,-,-,G,12; -cpu_est_1_ = node,-,-,D,2; -cpu_est_2_ = node,-,-,D,6; +inst_CLK_000_D3 = node,-,-,H,2; +SM_AMIGA_4_ = node,-,-,G,12; +inst_CLK_000_D5 = node,-,-,G,9; +SM_AMIGA_7_ = node,-,-,G,8; +SM_AMIGA_3_ = node,-,-,B,6; +SM_AMIGA_1_ = node,-,-,H,13; +CLK_CNT_N_0_ = node,-,-,B,9; +CLK_CNT_N_1_ = node,-,-,B,13; +CLK_CNT_P_0_ = node,-,-,G,5; +CLK_CNT_P_1_ = node,-,-,H,14; +SM_AMIGA_2_ = node,-,-,B,5; +SM_AMIGA_0_ = node,-,-,G,13; +inst_CLK_000_D4 = node,-,-,H,10; +inst_CLK_OUT_PRE = node,-,-,G,1; +cpu_est_0_ = node,-,-,H,1; +cpu_est_1_ = node,-,-,D,6; +cpu_est_2_ = node,-,-,D,10; [GROUP ASSIGNMENTS] Layer = OFF; diff --git a/Logic/68030_tk.out b/Logic/68030_tk.out index 66d97c9..567f681 100644 --- a/Logic/68030_tk.out +++ b/Logic/68030_tk.out @@ -63579,4 +63579,739 @@ 5 A_29_ 1 -1 -1 1 4 5 -1 4 A_30_ 1 -1 -1 1 4 4 -1 3 A_31_ 1 -1 -1 1 4 3 -1 - 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 \ No newline at end of file + 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 +98 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 8 0 21 + 31 UDS_000 5 324 3 0 31 -1 5 0 21 + 65 E 5 328 6 0 65 -1 3 1 21 + 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 + 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 327 7 0 77 -1 2 0 21 + 34 VMA 5 329 3 0 34 -1 2 1 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 + 299 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 308 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 + 319 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 317 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 + 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 + 320 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 + 318 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 315 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 329 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 + 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 + 307 inst_CLK_000_D4 3 -1 7 2 6 7 -1 -1 1 0 21 + 302 inst_CLK_000_D5 3 -1 7 2 6 7 -1 -1 1 0 21 + 297 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 + 311 CLK_CNT_N_0_ 3 -1 1 1 1 -1 -1 2 0 21 + 303 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 + 314 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 312 CLK_CNT_N_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 305 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 + 301 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 + 294 CLK_OUT_INTreg 3 -1 6 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 1 3 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 7 63 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 + 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 +98 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 8 0 21 + 31 UDS_000 5 324 3 0 31 -1 5 0 21 + 65 E 5 328 6 0 65 -1 3 1 21 + 33 AMIGA_BUS_ENABLE 5 330 3 0 33 -1 3 0 20 + 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 332 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 331 1 0 6 -1 3 0 21 + 82 BGACK_030 5 326 7 0 82 -1 2 0 21 + 77 FPU_CS 5 327 7 0 77 -1 2 0 21 + 34 VMA 5 329 3 0 34 -1 2 1 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 + 299 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 327 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 308 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 + 319 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 317 inst_CLK_OUT_PRE 3 -1 1 2 1 6 -1 -1 4 0 21 + 328 RN_E 3 65 6 2 3 6 65 -1 3 1 21 + 320 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 + 318 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 315 SM_AMIGA_2_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 310 SM_AMIGA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 329 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 326 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 + 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 + 307 inst_CLK_000_D4 3 -1 7 2 6 7 -1 -1 1 0 21 + 302 inst_CLK_000_D5 3 -1 7 2 6 7 -1 -1 1 0 21 + 297 inst_VPA_D 3 -1 1 2 3 6 -1 -1 1 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 + 332 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 331 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 330 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 CLK_CNT_P_0_ 3 -1 1 1 1 -1 -1 2 0 21 + 311 CLK_CNT_N_0_ 3 -1 1 1 1 -1 -1 2 0 21 + 303 SM_AMIGA_5_ 3 -1 3 1 3 -1 -1 2 0 21 + 314 CLK_CNT_P_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 312 CLK_CNT_N_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 305 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 + 301 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 + 294 CLK_OUT_INTreg 3 -1 6 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 1 3 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 7 63 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 + 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 6 29 -1 1 0 21 + 30 LDS_000 5 326 3 0 30 -1 8 0 21 + 31 UDS_000 5 325 3 0 31 -1 5 0 21 + 65 E 5 329 6 0 65 -1 3 1 21 + 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 20 + 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 1 21 + 32 AS_000 5 324 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 28 BG_000 0 3 0 28 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 + 299 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 308 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 + 318 inst_CLK_OUT_PRE 3 -1 1 3 1 6 7 -1 -1 4 0 21 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 324 RN_AS_000 3 32 3 3 1 3 6 32 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 307 inst_CLK_000_D5 3 -1 1 3 1 6 7 -1 -1 1 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 + 320 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 316 SM_AMIGA_0_ 3 -1 1 2 1 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 2 3 6 65 -1 3 1 21 + 321 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 + 319 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 309 SM_AMIGA_3_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 330 RN_VMA 3 34 3 2 3 6 34 -1 2 1 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 313 CLK_CNT_P_0_ 3 -1 7 2 1 7 -1 -1 2 0 21 + 311 CLK_CNT_N_0_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 306 SM_AMIGA_4_ 3 -1 3 2 1 3 -1 -1 2 0 21 + 303 SM_AMIGA_5_ 3 -1 6 2 3 6 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 6 2 1 6 -1 -1 2 0 21 + 314 CLK_CNT_P_1_ 3 -1 7 2 1 7 -1 -1 1 0 21 + 312 CLK_CNT_N_1_ 3 -1 6 2 1 6 -1 -1 1 0 21 + 305 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 + 302 inst_CLK_000_D6 3 -1 6 2 1 7 -1 -1 1 0 21 + 301 inst_CLK_000_D2 3 -1 3 2 6 7 -1 -1 1 0 21 + 297 inst_VPA_D 3 -1 7 2 3 6 -1 -1 1 0 21 + 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 + 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 315 SM_AMIGA_2_ 3 -1 1 1 1 -1 -1 3 0 21 + 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 317 inst_CLK_000_D4 3 -1 7 1 1 -1 -1 1 0 21 + 294 CLK_OUT_INTreg 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 1 3 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 3 6 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 7 63 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 7 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 + 293 nEXP_SPACE 1 -1 -1 3 3 6 7 -1 -1 +100 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 30 LDS_000 5 326 3 0 30 -1 8 0 21 + 31 UDS_000 5 325 3 0 31 -1 5 0 21 + 65 E 5 330 6 0 65 -1 3 1 21 + 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 20 + 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 + 82 BGACK_030 5 328 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 34 VMA 5 331 3 0 34 -1 2 1 21 + 32 AS_000 5 324 3 0 32 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 28 BG_000 5 327 3 0 28 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 + 299 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 320 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 + 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 + 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 309 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 + 318 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 + 321 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 + 319 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 308 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 331 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 + 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 324 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 + 307 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 21 + 302 inst_CLK_000_D6 3 -1 7 2 1 7 -1 -1 1 0 21 + 297 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 + 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 + 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 + 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 CLK_CNT_P_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 CLK_CNT_N_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 327 RN_BG_000 3 28 3 1 3 28 -1 1 0 21 + 317 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 + 314 CLK_CNT_P_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 312 CLK_CNT_N_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 305 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 + 301 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 + 294 CLK_OUT_INTreg 3 -1 6 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 1 3 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 1 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 7 63 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 + 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 +100 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 323 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 30 LDS_000 5 326 3 0 30 -1 8 0 21 + 31 UDS_000 5 325 3 0 31 -1 5 0 21 + 65 E 5 330 6 0 65 -1 3 1 21 + 33 AMIGA_BUS_ENABLE 5 332 3 0 33 -1 3 0 20 + 8 IPL_030_2_ 5 322 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 + 82 BGACK_030 5 328 7 0 82 -1 2 0 21 + 77 FPU_CS 5 329 7 0 77 -1 2 0 21 + 34 VMA 5 331 3 0 34 -1 2 1 21 + 32 AS_000 5 324 3 0 32 -1 2 0 21 + 28 BG_000 5 327 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 300 inst_CLK_000_D1 3 -1 7 4 1 3 6 7 -1 -1 1 0 21 + 299 inst_CLK_000_D0 3 -1 6 4 1 3 6 7 -1 -1 1 0 21 + 320 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 + 330 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 + 329 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 308 SM_AMIGA_7_ 3 -1 7 2 3 7 -1 -1 5 0 21 + 318 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 + 321 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 + 319 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 3 0 21 + 315 SM_AMIGA_2_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 310 SM_AMIGA_1_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 309 SM_AMIGA_3_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 331 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 + 328 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 324 RN_AS_000 3 32 3 2 3 7 32 -1 2 0 21 + 306 SM_AMIGA_4_ 3 -1 6 2 3 6 -1 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 7 2 3 7 -1 -1 2 0 21 + 303 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 298 inst_VPA_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 + 296 inst_DTACK_SYNC 3 -1 1 2 1 6 -1 -1 2 0 21 + 307 inst_CLK_000_D5 3 -1 7 2 1 7 -1 -1 1 0 21 + 302 inst_CLK_000_D6 3 -1 7 2 1 7 -1 -1 1 0 21 + 297 inst_VPA_D 3 -1 6 2 1 3 -1 -1 1 0 21 + 326 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 295 inst_AS_030_000_SYNC 3 -1 7 1 7 -1 -1 7 0 21 + 325 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 316 SM_AMIGA_0_ 3 -1 7 1 7 -1 -1 4 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 332 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 + 322 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 327 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 323 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 313 CLK_CNT_P_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 311 CLK_CNT_N_0_ 3 -1 6 1 6 -1 -1 2 0 21 + 317 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 + 314 CLK_CNT_P_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 312 CLK_CNT_N_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 305 inst_CLK_000_D3 3 -1 7 1 7 -1 -1 1 0 21 + 301 inst_CLK_000_D2 3 -1 7 1 7 -1 -1 1 0 21 + 294 CLK_OUT_INTreg 3 -1 6 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 1 3 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 1 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 7 63 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 + 293 nEXP_SPACE 1 -1 -1 2 3 7 -1 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 8 0 21 + 31 UDS_000 5 324 3 0 31 -1 5 0 21 + 65 E 5 329 6 0 65 -1 3 1 21 + 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 20 + 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 1 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 28 BG_000 5 326 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 299 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D0 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 + 307 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 + 319 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 + 318 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 305 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 21 + 303 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 294 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 + 317 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 + 320 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 + 314 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 + 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 312 CLK_CNT_P_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 310 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 302 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 311 CLK_CNT_N_1_ 3 -1 1 2 1 6 -1 -1 1 0 21 + 306 inst_CLK_000_D5 3 -1 6 2 6 7 -1 -1 1 0 21 + 304 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 + 301 inst_CLK_000_D6 3 -1 7 2 6 7 -1 -1 1 0 21 + 300 inst_CLK_000_D2 3 -1 3 2 6 7 -1 -1 1 0 21 + 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 315 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 4 0 21 + 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 308 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 1 1 1 -1 -1 2 0 21 + 295 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 + 316 inst_CLK_000_D4 3 -1 7 1 6 -1 -1 1 0 21 + 313 CLK_CNT_P_1_ 3 -1 7 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 1 3 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 1 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 7 63 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 + 293 nEXP_SPACE 1 -1 -1 3 3 6 7 -1 -1 +99 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 80 DSACK_1_ 5 322 7 1 3 80 -1 2 0 21 + 29 DTACK 5 -1 3 1 1 29 -1 1 0 21 + 30 LDS_000 5 325 3 0 30 -1 8 0 21 + 31 UDS_000 5 324 3 0 31 -1 5 0 21 + 65 E 5 329 6 0 65 -1 3 1 21 + 33 AMIGA_BUS_ENABLE 5 331 3 0 33 -1 3 0 20 + 8 IPL_030_2_ 5 321 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 333 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 332 1 0 6 -1 3 0 21 + 82 BGACK_030 5 327 7 0 82 -1 2 0 21 + 77 FPU_CS 5 328 7 0 77 -1 2 0 21 + 34 VMA 5 330 3 0 34 -1 2 1 21 + 32 AS_000 5 323 3 0 32 -1 2 0 21 + 28 BG_000 5 326 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 79 DSACK_0_ 0 7 0 79 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 40 BERR 0 4 0 40 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 299 inst_CLK_000_D1 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D0 3 -1 3 4 1 3 6 7 -1 -1 1 0 21 + 307 SM_AMIGA_7_ 3 -1 6 3 3 6 7 -1 -1 5 0 21 + 319 cpu_est_1_ 3 -1 3 3 1 3 6 -1 -1 4 0 21 + 329 RN_E 3 65 6 3 1 3 6 65 -1 3 1 21 + 318 cpu_est_0_ 3 -1 7 3 3 6 7 -1 -1 3 0 21 + 328 RN_FPU_CS 3 77 7 3 2 4 7 77 -1 2 0 21 + 305 SM_AMIGA_4_ 3 -1 6 3 1 3 6 -1 -1 2 0 21 + 303 SM_AMIGA_6_ 3 -1 7 3 3 6 7 -1 -1 2 0 21 + 294 inst_AS_030_000_SYNC 3 -1 7 2 6 7 -1 -1 7 0 21 + 317 inst_CLK_OUT_PRE 3 -1 6 2 1 6 -1 -1 4 0 21 + 320 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 + 314 SM_AMIGA_2_ 3 -1 1 2 1 7 -1 -1 3 0 21 + 309 SM_AMIGA_1_ 3 -1 7 2 6 7 -1 -1 3 0 21 + 330 RN_VMA 3 34 3 2 1 3 34 -1 2 1 21 + 327 RN_BGACK_030 3 82 7 2 3 7 82 -1 2 0 21 + 323 RN_AS_000 3 32 3 2 3 6 32 -1 2 0 21 + 312 CLK_CNT_P_0_ 3 -1 6 2 6 7 -1 -1 2 0 21 + 310 CLK_CNT_N_0_ 3 -1 1 2 1 6 -1 -1 2 0 21 + 302 SM_AMIGA_5_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 311 CLK_CNT_N_1_ 3 -1 1 2 1 6 -1 -1 1 0 21 + 306 inst_CLK_000_D5 3 -1 6 2 6 7 -1 -1 1 0 21 + 304 inst_CLK_000_D3 3 -1 7 2 6 7 -1 -1 1 0 21 + 301 inst_CLK_000_D6 3 -1 7 2 6 7 -1 -1 1 0 21 + 300 inst_CLK_000_D2 3 -1 3 2 6 7 -1 -1 1 0 21 + 296 inst_VPA_D 3 -1 1 2 1 3 -1 -1 1 0 21 + 325 RN_LDS_000 3 30 3 1 3 30 -1 8 0 21 + 324 RN_UDS_000 3 31 3 1 3 31 -1 5 0 21 + 315 SM_AMIGA_0_ 3 -1 6 1 6 -1 -1 4 0 21 + 333 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 332 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 331 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 3 0 20 + 321 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 308 SM_AMIGA_3_ 3 -1 1 1 1 -1 -1 3 0 21 + 326 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 322 RN_DSACK_1_ 3 80 7 1 7 80 -1 2 0 21 + 297 inst_VPA_SYNC 3 -1 1 1 1 -1 -1 2 0 21 + 295 inst_DTACK_SYNC 3 -1 1 1 1 -1 -1 2 0 21 + 316 inst_CLK_000_D4 3 -1 7 1 6 -1 -1 1 0 21 + 313 CLK_CNT_P_1_ 3 -1 7 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 1 3 60 -1 + 85 RST 1 -1 -1 4 1 3 6 7 85 -1 + 81 AS_030 1 -1 -1 3 1 3 7 81 -1 + 70 RW 1 -1 -1 2 3 4 70 -1 + 97 DS_030 1 -1 -1 1 3 97 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 78 SIZE_1_ 1 -1 -1 1 3 78 -1 + 69 SIZE_0_ 1 -1 -1 1 3 69 -1 + 68 A_0_ 1 -1 -1 1 3 68 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 63 CLK_030 1 -1 -1 1 7 63 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 + 293 nEXP_SPACE 1 -1 -1 3 3 6 7 -1 -1 \ No newline at end of file diff --git a/Logic/68030_tk.plc b/Logic/68030_tk.plc index 7c2bd8f..a48e556 100644 --- a/Logic/68030_tk.plc +++ b/Logic/68030_tk.plc @@ -8,49 +8,48 @@ ; Source file 68030_tk.tt4 ; FITTER-generated Placements. ; DEVICE mach447a -; DATE Sat May 24 16:11:55 2014 +; DATE Sat May 24 19:56:24 2014 Pin 79 SIZE_1_ Pin 4 A_31_ Pin 68 IPL_2_ +Pin 58 FC_1_ +Pin 82 AS_030 Pin 70 SIZE_0_ +Pin 98 DS_030 Pin 5 A_30_ Pin 6 A_29_ Pin 15 A_28_ -Pin 58 FC_1_ +Pin 14 nEXP_SPACE Pin 16 A_27_ -Pin 82 AS_030 +Pin 41 BERR Comb ; S6=1 S9=1 Pair 203 Pin 17 A_26_ +Pin 21 BG_030 Pin 18 A_25_ -Pin 98 DS_030 Pin 19 A_24_ Pin 84 A_23_ +Pin 28 BGACK_000 Pin 85 A_22_ -Pin 14 nEXP_SPACE +Pin 64 CLK_030 Pin 94 A_21_ -Pin 41 BERR Comb ; S6=1 S9=1 Pair 203 +Pin 11 CLK_000 Pin 93 A_20_ -Pin 21 BG_030 +Pin 61 CLK_OSZI Pin 97 A_19_ +Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 245 Pin 95 A_18_ Pin 59 A_17_ -Pin 28 BGACK_000 Pin 96 A_16_ -Pin 64 CLK_030 -Pin 11 CLK_000 -Pin 61 CLK_OSZI -Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 245 -Pin 10 CLK_EXP Reg ; S6=0 S9=1 Pair 125 Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 Pin 22 AVEC_EXP Comb ; S6=1 S9=1 Pair 149 Pin 36 VPA Pin 86 RST Pin 71 RW -Pin 69 A_0_ Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 197 Pin 20 AMIGA_BUS_ENABLE_LOW Comb ; S6=1 S9=1 Pair 167 Pin 47 CIIN Comb ; S6=1 S9=1 Pair 215 +Pin 69 A_0_ Pin 56 IPL_1_ Pin 67 IPL_0_ Pin 80 DSACK_0_ Comb ; S6=1 S9=1 Pair 287 @@ -62,6 +61,7 @@ Pin 32 UDS_000 Reg ; S6=1 S9=1 Pair 191 Pin 31 LDS_000 Reg ; S6=1 S9=1 Pair 185 Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 193 Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275 +Pin 10 CLK_EXP Reg ; S6=0 S9=1 Pair 125 Pin 78 FPU_CS Reg ; S6=1 S9=1 Pair 269 Pin 30 DTACK Reg ; S6=1 S9=1 Pair 173 Pin 66 E Reg ; S6=1 S9=1 Pair 251 @@ -75,6 +75,7 @@ Node 281 RN_DSACK_1_ Reg ; S6=1 S9=1 Node 181 RN_AS_000 Reg ; S6=1 S9=1 Node 191 RN_UDS_000 Reg ; S6=1 S9=1 Node 185 RN_LDS_000 Reg ; S6=1 S9=1 +Node 193 RN_BG_000 Reg ; S6=1 S9=1 Node 275 RN_BGACK_030 Reg ; S6=1 S9=1 Node 269 RN_FPU_CS Reg ; S6=1 S9=1 Node 173 RN_DTACK Reg ; S6=1 S9=1 @@ -83,32 +84,32 @@ Node 175 RN_VMA Reg ; S6=1 S9=1 Node 179 RN_AMIGA_BUS_ENABLE Reg ; S6=1 S9=0 Node 143 RN_IPL_030_1_ Reg ; S6=1 S9=1 Node 137 RN_IPL_030_0_ Reg ; S6=1 S9=1 -Node 265 CLK_OUT_INTreg Reg ; S6=1 S9=1 -Node 272 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 -Node 259 inst_DTACK_SYNC Reg ; S6=0 S9=1 +Node 283 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 +Node 146 inst_DTACK_SYNC Reg ; S6=1 S9=1 Node 128 inst_VPA_D Reg ; S6=1 S9=1 -Node 253 inst_VPA_SYNC Reg ; S6=0 S9=1 -Node 257 inst_CLK_000_D0 Reg ; S6=0 S9=1 +Node 140 inst_VPA_SYNC Reg ; S6=1 S9=1 +Node 176 inst_CLK_000_D0 Reg ; S6=1 S9=1 Node 187 inst_CLK_000_D1 Reg ; S6=1 S9=1 -Node 290 inst_CLK_000_D2 Reg ; S6=1 S9=1 -Node 289 inst_CLK_000_D5 Reg ; S6=1 S9=1 +Node 178 inst_CLK_000_D2 Reg ; S6=1 S9=1 +Node 278 inst_CLK_000_D6 Reg ; S6=1 S9=1 Node 194 SM_AMIGA_5_ Reg ; S6=0 S9=1 Node 277 SM_AMIGA_6_ Reg ; S6=0 S9=1 -Node 284 inst_CLK_000_D3 Reg ; S6=1 S9=1 -Node 188 SM_AMIGA_4_ Reg ; S6=0 S9=1 -Node 283 inst_CLK_000_D4 Reg ; S6=1 S9=1 -Node 271 SM_AMIGA_7_ Reg ; S6=1 S9=1 -Node 145 SM_AMIGA_3_ Reg ; S6=0 S9=1 -Node 247 SM_AMIGA_1_ Reg ; S6=1 S9=1 -Node 140 CLK_CNT_N_0_ Reg ; S6=0 S9=1 -Node 130 CLK_CNT_N_1_ Reg ; S6=0 S9=1 -Node 134 CLK_CNT_P_0_ Reg ; S6=0 S9=1 -Node 146 CLK_CNT_P_1_ Reg ; S6=0 S9=1 -Node 139 SM_AMIGA_2_ Reg ; S6=0 S9=1 -Node 278 SM_AMIGA_0_ Reg ; S6=0 S9=1 -Node 133 inst_CLK_OUT_PRE Reg ; S6=0 S9=1 -Node 263 cpu_est_0_ Reg ; S6=1 S9=1 -Node 176 cpu_est_1_ Reg ; S6=0 S9=1 -Node 182 cpu_est_2_ Reg ; S6=0 S9=1 +Node 272 inst_CLK_000_D3 Reg ; S6=1 S9=1 +Node 263 SM_AMIGA_4_ Reg ; S6=1 S9=1 +Node 259 inst_CLK_000_D5 Reg ; S6=0 S9=1 +Node 257 SM_AMIGA_7_ Reg ; S6=0 S9=1 +Node 134 SM_AMIGA_3_ Reg ; S6=0 S9=1 +Node 289 SM_AMIGA_1_ Reg ; S6=0 S9=1 +Node 139 CLK_CNT_N_0_ Reg ; S6=0 S9=1 +Node 145 CLK_CNT_N_1_ Reg ; S6=1 S9=1 +Node 253 CLK_CNT_P_0_ Reg ; S6=1 S9=1 +Node 290 CLK_CNT_P_1_ Reg ; S6=0 S9=1 +Node 133 SM_AMIGA_2_ Reg ; S6=0 S9=1 +Node 265 SM_AMIGA_0_ Reg ; S6=1 S9=1 +Node 284 inst_CLK_000_D4 Reg ; S6=1 S9=1 +Node 247 inst_CLK_OUT_PRE Reg ; S6=1 S9=1 +Node 271 cpu_est_0_ Reg ; S6=0 S9=1 +Node 182 cpu_est_1_ Reg ; S6=0 S9=1 +Node 188 cpu_est_2_ Reg ; S6=0 S9=1 ; Unused Pins & Nodes ; -> None Found. diff --git a/Logic/68030_tk.prd b/Logic/68030_tk.prd index 595349a..75b64c6 100644 --- a/Logic/68030_tk.prd +++ b/Logic/68030_tk.prd @@ -5,8 +5,8 @@ |--------------------------------------------| -Start: Sat May 24 16:11:54 2014 -End : Sat May 24 16:11:55 2014 $$$ Elapsed time: 00:00:01 +Start: Sat May 24 19:56:24 2014 +End : Sat May 24 19:56:24 2014 $$$ Elapsed time: 00:00:00 =========================================================================== Part [C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] @@ -22,15 +22,15 @@ Part [C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030 | | | +- Placed | | | +- Array Inputs Used _|____|____|____|_______________|____|_____________|___|________________ 0 | 16 | 1 | 1 => 100% | 8 | 7 => 87% | 33 | 0 => 0% - 1 | 16 | 13 | 13 => 100% | 8 | 8 => 100% | 33 | 20 => 60% + 1 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 24 => 72% 2 | 16 | 2 | 2 => 100% | 8 | 8 => 100% | 33 | 1 => 3% - 3 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 29 => 87% + 3 | 16 | 13 | 13 => 100% | 8 | 8 => 100% | 33 | 30 => 90% 4 | 16 | 3 | 3 => 100% | 8 | 3 => 37% | 33 | 14 => 42% 5 | 16 | 0 | 0 => n/a | 8 | 4 => 50% | 33 | 0 => 0% - 6 | 16 | 8 | 8 => 100% | 8 | 7 => 87% | 33 | 20 => 60% - 7 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 26 => 78% + 6 | 16 | 8 | 8 => 100% | 8 | 7 => 87% | 33 | 26 => 78% + 7 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 27 => 81% ---|----|----|------------|-------|------------|-----|------------------ - | Avg number of array inputs in used blocks : 18.33 => 55% + | Avg number of array inputs in used blocks : 20.33 => 61% * Input/Clock Signal count: 35 -> placed: 35 = 100% @@ -42,12 +42,12 @@ _|____|____|____|_______________|____|_____________|___|________________ Clock/Input Pins : 4 4 => 100% Logic Blocks : 8 6 => 75% Macrocells : 128 51 => 39% - PT Clusters : 128 31 => 24% - - Single PT Clusters : 128 22 => 17% + PT Clusters : 128 32 => 25% + - Single PT Clusters : 128 21 => 16% Input Registers : 0 * Routing Completion: 100% -* Attempts: Place [ 98] Route [ 0] +* Attempts: Place [ 99] Route [ 0] =========================================================================== Signal Fanout Table =========================================================================== @@ -63,7 +63,7 @@ ___|__|__|____|____________________________________________________________ 3| 2|OUT| 20|=> ....|....| AMIGA_BUS_ENABLE_LOW 4| 3| IO| 33|=> ....|....| AS_000 |=> Paired w/: RN_AS_000 - 5| 7|INP| 82|=> ...3|..67| AS_030 + 5| 7|INP| 82|=> .1.3|...7| AS_030 6| 0|OUT| 92|=> ....|....| AVEC 7| 2|OUT| 22|=> ....|....| AVEC_EXP 8| 6|INP| 69|=> ...3|....| A_0_ @@ -87,51 +87,53 @@ ___|__|__|____|____________________________________________________________ 26| 3|INP| 28|=> ....|...7| BGACK_000 27| 7| IO| 83|=> ....|....| BGACK_030 |=> Paired w/: RN_BGACK_030 - 28| 3|OUT| 29|=> ....|....| BG_000 + 28| 3| IO| 29|=> ....|....| BG_000 + |=> Paired w/: RN_BG_000 29| 2|INP| 21|=> ...3|....| BG_030 30| 4|OUT| 47|=> ....|....| CIIN - 31| +|INP| 11|=> ....|..6.| CLK_000 + 31| +|INP| 11|=> ...3|....| CLK_000 32| +|INP| 64|=> ....|...7| CLK_030 - 33| 1|NOD| . |=> .1..|....| CLK_CNT_N_0_ - 34| 1|NOD| . |=> .1..|....| CLK_CNT_N_1_ - 35| 1|NOD| . |=> .1..|....| CLK_CNT_P_0_ - 36| 1|NOD| . |=> .1..|....| CLK_CNT_P_1_ + 33| 1|NOD| . |=> .1..|..6.| CLK_CNT_N_0_ + 34| 1|NOD| . |=> .1..|..6.| CLK_CNT_N_1_ + 35| 6|NOD| . |=> ....|..67| CLK_CNT_P_0_ + 36| 7|NOD| . |=> ....|..6.| CLK_CNT_P_1_ 37| 6|OUT| 65|=> ....|....| CLK_DIV_OUT 38| 1|OUT| 10|=> ....|....| CLK_EXP 39| +|Cin| 61|=> ...3|....| CLK_OSZI - 40| 6|NOD| . |=> ...3|....| CLK_OUT_INTreg - 41| 7|OUT| 80|=> ....|....| DSACK_0_ - 42| 7| IO| 81|=> ...3|....| DSACK_1_ + 40| 7|OUT| 80|=> ....|....| DSACK_0_ + 41| 7| IO| 81|=> ...3|....| DSACK_1_ |=> Paired w/: RN_DSACK_1_ - 43| 0|INP| 98|=> ...3|....| DS_030 - 44| 3| IO| 30|=> ....|..6.| DTACK - 45| 6| IO| 66|=> ....|....| E + 42| 0|INP| 98|=> ...3|....| DS_030 + 43| 3| IO| 30|=> .1..|....| DTACK + 44| 6| IO| 66|=> ....|....| E |=> Paired w/: RN_E - 46| 5|INP| 57|=> ....|...7| FC_0_ - 47| 5|INP| 58|=> ....|...7| FC_1_ - 48| 7| IO| 78|=> ....|....| FPU_CS + 45| 5|INP| 57|=> ....|...7| FC_0_ + 46| 5|INP| 58|=> ....|...7| FC_1_ + 47| 7| IO| 78|=> ....|....| FPU_CS |=> Paired w/: RN_FPU_CS - 49| 1| IO| 8|=> ....|....| IPL_030_0_ + 48| 1| IO| 8|=> ....|....| IPL_030_0_ |=> Paired w/: RN_IPL_030_0_ - 50| 1| IO| 7|=> ....|....| IPL_030_1_ + 49| 1| IO| 7|=> ....|....| IPL_030_1_ |=> Paired w/: RN_IPL_030_1_ - 51| 1| IO| 9|=> ....|....| IPL_030_2_ + 50| 1| IO| 9|=> ....|....| IPL_030_2_ |=> Paired w/: RN_IPL_030_2_ - 52| 6|INP| 67|=> .1..|....| IPL_0_ - 53| 5|INP| 56|=> .1..|....| IPL_1_ - 54| 6|INP| 68|=> .1..|....| IPL_2_ - 55| 3| IO| 31|=> ....|....| LDS_000 + 51| 6|INP| 67|=> .1..|....| IPL_0_ + 52| 5|INP| 56|=> .1..|....| IPL_1_ + 53| 6|INP| 68|=> .1..|....| IPL_2_ + 54| 3| IO| 31|=> ....|....| LDS_000 |=> Paired w/: RN_LDS_000 - 56| 1|OUT| 3|=> ....|....| RESET - 57| 3|NOD| . |=> ...3|....| RN_AMIGA_BUS_ENABLE + 55| 1|OUT| 3|=> ....|....| RESET + 56| 3|NOD| . |=> ...3|....| RN_AMIGA_BUS_ENABLE |=> Paired w/: AMIGA_BUS_ENABLE - 58| 3|NOD| . |=> ...3|...7| RN_AS_000 + 57| 3|NOD| . |=> ...3|..6.| RN_AS_000 |=> Paired w/: AS_000 - 59| 7|NOD| . |=> ...3|...7| RN_BGACK_030 + 58| 7|NOD| . |=> ...3|...7| RN_BGACK_030 |=> Paired w/: BGACK_030 + 59| 3|NOD| . |=> ...3|....| RN_BG_000 + |=> Paired w/: BG_000 60| 7|NOD| . |=> ....|...7| RN_DSACK_1_ |=> Paired w/: DSACK_1_ - 61| 6|NOD| . |=> ...3|..6.| RN_E + 61| 6|NOD| . |=> .1.3|..6.| RN_E |=> Paired w/: E 62| 7|NOD| . |=> ..2.|4..7| RN_FPU_CS |=> Paired w/: FPU_CS @@ -145,40 +147,41 @@ ___|__|__|____|____________________________________________________________ |=> Paired w/: LDS_000 67| 3|NOD| . |=> ...3|....| RN_UDS_000 |=> Paired w/: UDS_000 - 68| 3|NOD| . |=> ...3|..6.| RN_VMA + 68| 3|NOD| . |=> .1.3|....| RN_VMA |=> Paired w/: VMA 69| +|INP| 86|=> .1.3|..67| RST 70| 6|INP| 71|=> ...3|4...| RW 71| 6|INP| 70|=> ...3|....| SIZE_0_ 72| 7|INP| 79|=> ...3|....| SIZE_1_ - 73| 7|NOD| . |=> ....|...7| SM_AMIGA_0_ - 74| 6|NOD| . |=> ....|..67| SM_AMIGA_1_ - 75| 1|NOD| . |=> .1..|..6.| SM_AMIGA_2_ - 76| 1|NOD| . |=> .1..|..6.| SM_AMIGA_3_ - 77| 3|NOD| . |=> .1.3|....| SM_AMIGA_4_ - 78| 3|NOD| . |=> ...3|....| SM_AMIGA_5_ - 79| 7|NOD| . |=> ...3|...7| SM_AMIGA_6_ - 80| 7|NOD| . |=> ...3|...7| SM_AMIGA_7_ + 73| 6|NOD| . |=> ....|..6.| SM_AMIGA_0_ + 74| 7|NOD| . |=> ....|..67| SM_AMIGA_1_ + 75| 1|NOD| . |=> .1..|...7| SM_AMIGA_2_ + 76| 1|NOD| . |=> .1..|....| SM_AMIGA_3_ + 77| 6|NOD| . |=> .1.3|..6.| SM_AMIGA_4_ + 78| 3|NOD| . |=> ...3|..6.| SM_AMIGA_5_ + 79| 7|NOD| . |=> ...3|..67| SM_AMIGA_6_ + 80| 6|NOD| . |=> ...3|..67| SM_AMIGA_7_ 81| 3| IO| 32|=> ....|....| UDS_000 |=> Paired w/: RN_UDS_000 82| 3| IO| 35|=> ....|....| VMA |=> Paired w/: RN_VMA 83| +|INP| 36|=> .1..|....| VPA - 84| 6|NOD| . |=> ...3|..6.| cpu_est_0_ - 85| 3|NOD| . |=> ...3|..6.| cpu_est_1_ + 84| 7|NOD| . |=> ...3|..67| cpu_est_0_ + 85| 3|NOD| . |=> .1.3|..6.| cpu_est_1_ 86| 3|NOD| . |=> ...3|..6.| cpu_est_2_ - 87| 7|NOD| . |=> ....|...7| inst_AS_030_000_SYNC - 88| 6|NOD| . |=> .1.3|..67| inst_CLK_000_D0 + 87| 7|NOD| . |=> ....|..67| inst_AS_030_000_SYNC + 88| 3|NOD| . |=> .1.3|..67| inst_CLK_000_D0 89| 3|NOD| . |=> .1.3|..67| inst_CLK_000_D1 - 90| 7|NOD| . |=> ....|...7| inst_CLK_000_D2 - 91| 7|NOD| . |=> ....|...7| inst_CLK_000_D3 - 92| 7|NOD| . |=> ....|..67| inst_CLK_000_D4 - 93| 7|NOD| . |=> ....|..67| inst_CLK_000_D5 - 94| 1|NOD| . |=> .1..|..6.| inst_CLK_OUT_PRE - 95| 6|NOD| . |=> .1..|..6.| inst_DTACK_SYNC - 96| 1|NOD| . |=> ...3|..6.| inst_VPA_D - 97| 6|NOD| . |=> .1..|..6.| inst_VPA_SYNC - 98| +|INP| 14|=> ...3|...7| nEXP_SPACE + 90| 3|NOD| . |=> ....|..67| inst_CLK_000_D2 + 91| 7|NOD| . |=> ....|..67| inst_CLK_000_D3 + 92| 7|NOD| . |=> ....|..6.| inst_CLK_000_D4 + 93| 6|NOD| . |=> ....|..67| inst_CLK_000_D5 + 94| 7|NOD| . |=> ....|..67| inst_CLK_000_D6 + 95| 6|NOD| . |=> .1..|..6.| inst_CLK_OUT_PRE + 96| 1|NOD| . |=> .1..|....| inst_DTACK_SYNC + 97| 1|NOD| . |=> .1.3|....| inst_VPA_D + 98| 1|NOD| . |=> .1..|....| inst_VPA_SYNC + 99| +|INP| 14|=> ...3|..67| nEXP_SPACE --------------------------------------------------------------------------- =========================================================================== < C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a Device Pin Assignments > @@ -465,18 +468,18 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| CLK_EXP|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig 1| RESET|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig 2| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3| CLK_CNT_N_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig + 3| | ? | | S | | 4 free | 1 XOR free 4| IPL_030_2_| IO| | S | 3 | 4 to [ 4]| 1 XOR free - 5|inst_CLK_OUT_PRE|NOD| | S | 4 | 4 to [ 5]| 1 XOR free - 6| CLK_CNT_P_0_|NOD| | S | 2 | 4 to [ 6]| 1 XOR free + 5| SM_AMIGA_2_|NOD| | S | 3 | 4 to [ 5]| 1 XOR free + 6| SM_AMIGA_3_|NOD| | S | 3 | 4 to [ 6]| 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free 8| IPL_030_0_| IO| | S | 3 | 4 to [ 8]| 1 XOR free - 9| SM_AMIGA_2_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free -10| CLK_CNT_N_0_|NOD| | S | 2 | 4 to [10]| 1 XOR free + 9| CLK_CNT_N_0_|NOD| | S | 2 | 4 to [ 9]| 1 XOR free +10| inst_VPA_SYNC|NOD| | S | 2 | 4 to [10]| 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| IPL_030_1_| IO| | S | 3 | 4 to [12]| 1 XOR free -13| SM_AMIGA_3_|NOD| | S | 3 | 4 to [13]| 1 XOR free -14| CLK_CNT_P_1_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig +13| CLK_CNT_N_1_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +14|inst_DTACK_SYNC|NOD| | S | 2 | 4 to [14]| 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -490,21 +493,21 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ 0| CLK_EXP|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) - 1| RESET|OUT| | S | 1 |=> can support up to [ 17] logic PT(s) - 2| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) - 3| CLK_CNT_N_1_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 4| IPL_030_2_| IO| | S | 3 |=> can support up to [ 9] logic PT(s) - 5|inst_CLK_OUT_PRE|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) - 6| CLK_CNT_P_0_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) + 1| RESET|OUT| | S | 1 |=> can support up to [ 18] logic PT(s) + 2| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 3| | ? | | S | |=> can support up to [ 9] logic PT(s) + 4| IPL_030_2_| IO| | S | 3 |=> can support up to [ 10] logic PT(s) + 5| SM_AMIGA_2_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) + 6| SM_AMIGA_3_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) 7| | ? | | S | |=> can support up to [ 5] logic PT(s) 8| IPL_030_0_| IO| | S | 3 |=> can support up to [ 10] logic PT(s) - 9| SM_AMIGA_2_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) -10| CLK_CNT_N_0_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) -11| | ? | | S | |=> can support up to [ 5] logic PT(s) + 9| CLK_CNT_N_0_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) +10| inst_VPA_SYNC|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) +11| | ? | | S | |=> can support up to [ 9] logic PT(s) 12| IPL_030_1_| IO| | S | 3 |=> can support up to [ 14] logic PT(s) -13| SM_AMIGA_3_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) -14| CLK_CNT_P_1_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) -15| | ? | | S | |=> can support up to [ 9] logic PT(s) +13| CLK_CNT_N_1_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +14|inst_DTACK_SYNC|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) +15| | ? | | S | |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Node-Pin Assignments @@ -517,18 +520,18 @@ _|_________________|__|_____|____________________|________________________ 0| CLK_EXP|OUT| | => | 5 6 7 ( 0)| 5 4 3 ( 10) 1| RESET|OUT| | => | 5 6 ( 7) 0 | 5 4 ( 3) 10 2| inst_VPA_D|NOD| | => | 6 7 0 1 | 4 3 10 9 - 3| CLK_CNT_N_1_|NOD| | => | 6 7 0 1 | 4 3 10 9 + 3| | | | => | 6 7 0 1 | 4 3 10 9 4| IPL_030_2_| IO| | => | 7 0 ( 1) 2 | 3 10 ( 9) 8 - 5|inst_CLK_OUT_PRE|NOD| | => | 7 0 1 2 | 3 10 9 8 - 6| CLK_CNT_P_0_|NOD| | => | 0 1 2 3 | 10 9 8 7 + 5| SM_AMIGA_2_|NOD| | => | 7 0 1 2 | 3 10 9 8 + 6| SM_AMIGA_3_|NOD| | => | 0 1 2 3 | 10 9 8 7 7| | | | => | 0 1 2 3 | 10 9 8 7 8| IPL_030_0_| IO| | => | 1 ( 2) 3 4 | 9 ( 8) 7 6 - 9| SM_AMIGA_2_|NOD| | => | 1 2 3 4 | 9 8 7 6 -10| CLK_CNT_N_0_|NOD| | => | 2 3 4 5 | 8 7 6 5 + 9| CLK_CNT_N_0_|NOD| | => | 1 2 3 4 | 9 8 7 6 +10| inst_VPA_SYNC|NOD| | => | 2 3 4 5 | 8 7 6 5 11| | | | => | 2 3 4 5 | 8 7 6 5 12| IPL_030_1_| IO| | => |( 3) 4 5 6 |( 7) 6 5 4 -13| SM_AMIGA_3_|NOD| | => | 3 4 5 6 | 7 6 5 4 -14| CLK_CNT_P_1_|NOD| | => | 4 5 6 7 | 6 5 4 3 +13| CLK_CNT_N_1_|NOD| | => | 3 4 5 6 | 7 6 5 4 +14|inst_DTACK_SYNC|NOD| | => | 4 5 6 7 | 6 5 4 3 15| | | | => | 4 5 6 7 | 6 5 4 3 --------------------------------------------------------------------------- =========================================================================== @@ -587,36 +590,36 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 9| IO IPL_030_2_|*| ] paired w/[ RN_IPL_030_2_] [RegIn 1 |129| -| | ] [MCell 2 |128|NOD inst_VPA_D| |*] - [MCell 3 |130|NOD CLK_CNT_N_1_| |*] + [MCell 3 |130| -| | ] 2 [IOpin 2 | 8| IO IPL_030_0_|*| ] paired w/[ RN_IPL_030_0_] [RegIn 2 |132| -| | ] [MCell 4 |131|NOD RN_IPL_030_2_| |*] paired w/[ IPL_030_2_] - [MCell 5 |133|NOD inst_CLK_OUT_PRE| |*] + [MCell 5 |133|NOD SM_AMIGA_2_| |*] 3 [IOpin 3 | 7| IO IPL_030_1_|*| ] paired w/[ RN_IPL_030_1_] [RegIn 3 |135| -| | ] - [MCell 6 |134|NOD CLK_CNT_P_0_| |*] + [MCell 6 |134|NOD SM_AMIGA_3_| |*] [MCell 7 |136| -| | ] 4 [IOpin 4 | 6|INP A_29_|*|*] [RegIn 4 |138| -| | ] [MCell 8 |137|NOD RN_IPL_030_0_| |*] paired w/[ IPL_030_0_] - [MCell 9 |139|NOD SM_AMIGA_2_| |*] + [MCell 9 |139|NOD CLK_CNT_N_0_| |*] 5 [IOpin 5 | 5|INP A_30_|*|*] [RegIn 5 |141| -| | ] - [MCell 10 |140|NOD CLK_CNT_N_0_| |*] + [MCell 10 |140|NOD inst_VPA_SYNC| |*] [MCell 11 |142| -| | ] 6 [IOpin 6 | 4|INP A_31_|*|*] [RegIn 6 |144| -| | ] [MCell 12 |143|NOD RN_IPL_030_1_| |*] paired w/[ IPL_030_1_] - [MCell 13 |145|NOD SM_AMIGA_3_| |*] + [MCell 13 |145|NOD CLK_CNT_N_1_| |*] 7 [IOpin 7 | 3|OUT RESET|*| ] [RegIn 7 |147| -| | ] - [MCell 14 |146|NOD CLK_CNT_P_1_| |*] + [MCell 14 |146|NOD inst_DTACK_SYNC| |*] [MCell 15 |148| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -625,38 +628,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| IOPin 6 2 ( 67)| IPL_0_ +Mux00| Input Pin ( 86)| RST Mux01| Mcel 1 12 ( 143)| RN_IPL_030_1_ -Mux02| Mcel 1 10 ( 140)| CLK_CNT_N_0_ -Mux03| IOPin 5 4 ( 56)| IPL_1_ -Mux04| IOPin 6 3 ( 68)| IPL_2_ -Mux05| Mcel 1 3 ( 130)| CLK_CNT_N_1_ -Mux06| Mcel 1 9 ( 139)| SM_AMIGA_2_ +Mux02| Mcel 6 4 ( 251)| RN_E +Mux03| Mcel 3 2 ( 176)| inst_CLK_000_D0 +Mux04| Mcel 3 6 ( 182)| cpu_est_1_ +Mux05| ... | ... +Mux06| Mcel 1 9 ( 139)| CLK_CNT_N_0_ Mux07| Mcel 3 9 ( 187)| inst_CLK_000_D1 Mux08| Mcel 1 8 ( 137)| RN_IPL_030_0_ -Mux09| Mcel 1 14 ( 146)| CLK_CNT_P_1_ +Mux09| IOPin 3 5 ( 30)| DTACK Mux10| Input Pin ( 36)| VPA -Mux11| Mcel 1 6 ( 134)| CLK_CNT_P_0_ -Mux12| Mcel 6 9 ( 259)| inst_DTACK_SYNC -Mux13| Mcel 6 8 ( 257)| inst_CLK_000_D0 -Mux14| ... | ... +Mux11| Mcel 1 6 ( 134)| SM_AMIGA_3_ +Mux12| Mcel 1 10 ( 140)| inst_VPA_SYNC +Mux13| ... | ... +Mux14| Mcel 6 12 ( 263)| SM_AMIGA_4_ Mux15| ... | ... -Mux16| ... | ... -Mux17| ... | ... -Mux18| ... | ... -Mux19| ... | ... -Mux20| Mcel 3 10 ( 188)| SM_AMIGA_4_ -Mux21| Input Pin ( 86)| RST -Mux22| Mcel 6 5 ( 253)| inst_VPA_SYNC +Mux16| IOPin 6 2 ( 67)| IPL_0_ +Mux17| Mcel 3 1 ( 175)| RN_VMA +Mux18| Mcel 1 2 ( 128)| inst_VPA_D +Mux19| IOPin 7 3 ( 82)| AS_030 +Mux20| Mcel 1 14 ( 146)| inst_DTACK_SYNC +Mux21| IOPin 5 4 ( 56)| IPL_1_ +Mux22| IOPin 6 3 ( 68)| IPL_2_ Mux23| ... | ... -Mux24| ... | ... +Mux24| Mcel 6 1 ( 247)| inst_CLK_OUT_PRE Mux25| ... | ... Mux26| ... | ... Mux27| Mcel 1 4 ( 131)| RN_IPL_030_2_ -Mux28| Mcel 1 13 ( 145)| SM_AMIGA_3_ +Mux28| Mcel 1 13 ( 145)| CLK_CNT_N_1_ Mux29| ... | ... Mux30| ... | ... -Mux31| Mcel 1 5 ( 133)| inst_CLK_OUT_PRE +Mux31| Mcel 1 5 ( 133)| SM_AMIGA_2_ Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== @@ -875,18 +878,18 @@ Mux32| ... | ... _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| DTACK| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig 1| VMA| IO| | S | 2 :+: 1| 4 to [ 1]| 1 XOR to [ 1] - 2| cpu_est_1_|NOD| | S | 4 | 4 to [ 2]| 1 XOR free - 3| | ? | | S | | 4 free | 1 XOR free + 2|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 3|inst_CLK_000_D2|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig 4|AMIGA_BUS_ENABLE| IO| | A | 3 | 2 to [ 4]| 1 XOR to [ 4] as logic PT 5| AS_000| IO| | S | 2 | 4 to [ 5]| 1 XOR free - 6| cpu_est_2_|NOD| | S | 3 :+: 1| 4 to [ 6]| 1 XOR to [ 6] + 6| cpu_est_1_|NOD| | S | 4 | 4 to [ 6]| 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free 8| LDS_000| IO| | S | 8 | 4 to [ 8]| 1 XOR to [ 8] as logic PT 9|inst_CLK_000_D1|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [ 9] for 1 PT sig -10| SM_AMIGA_4_|NOD| | S | 2 | 4 to [10]| 1 XOR free +10| cpu_est_2_|NOD| | S | 3 :+: 1| 4 to [10]| 1 XOR to [10] 11| | ? | | S | | 4 free | 1 XOR free 12| UDS_000| IO| | S | 5 | 4 to [12]| 1 XOR to [12] as logic PT -13| BG_000|OUT| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +13| BG_000| IO| | S | 2 | 4 to [13]| 1 XOR free 14| SM_AMIGA_5_|NOD| | S | 2 | 4 to [14]| 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -900,21 +903,21 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| DTACK| IO| | S | 1 |=> can support up to [ 5] logic PT(s) - 1| VMA| IO| | S | 2 :+: 1|=> can support up to [ 13] logic PT(s) - 2| cpu_est_1_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) - 3| | ? | | S | |=> can support up to [ 5] logic PT(s) - 4|AMIGA_BUS_ENABLE| IO| | A | 3 |=> can support up to [ 8] logic PT(s) + 0| DTACK| IO| | S | 1 |=> can support up to [ 9] logic PT(s) + 1| VMA| IO| | S | 2 :+: 1|=> can support up to [ 16] logic PT(s) + 2|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 3|inst_CLK_000_D2|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 4|AMIGA_BUS_ENABLE| IO| | A | 3 |=> can support up to [ 7] logic PT(s) 5| AS_000| IO| | S | 2 |=> can support up to [ 10] logic PT(s) - 6| cpu_est_2_|NOD| | S | 3 :+: 1|=> can support up to [ 9] logic PT(s) + 6| cpu_est_1_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) 7| | ? | | S | |=> can support up to [ 5] logic PT(s) 8| LDS_000| IO| | S | 8 |=> can support up to [ 14] logic PT(s) 9|inst_CLK_000_D1|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) -10| SM_AMIGA_4_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) -11| | ? | | S | |=> can support up to [ 9] logic PT(s) -12| UDS_000| IO| | S | 5 |=> can support up to [ 14] logic PT(s) -13| BG_000|OUT| | S | 1 |=> can support up to [ 10] logic PT(s) -14| SM_AMIGA_5_|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) +10| cpu_est_2_|NOD| | S | 3 :+: 1|=> can support up to [ 9] logic PT(s) +11| | ? | | S | |=> can support up to [ 5] logic PT(s) +12| UDS_000| IO| | S | 5 |=> can support up to [ 10] logic PT(s) +13| BG_000| IO| | S | 2 |=> can support up to [ 10] logic PT(s) +14| SM_AMIGA_5_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) 15| | ? | | S | |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== @@ -927,18 +930,18 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| DTACK| IO| | => |( 5) 6 7 0 |( 30) 29 28 35 1| VMA| IO| | => | 5 6 7 ( 0)| 30 29 28 ( 35) - 2| cpu_est_1_|NOD| | => | 6 7 0 1 | 29 28 35 34 - 3| | | | => | 6 7 0 1 | 29 28 35 34 + 2|inst_CLK_000_D0|NOD| | => | 6 7 0 1 | 29 28 35 34 + 3|inst_CLK_000_D2|NOD| | => | 6 7 0 1 | 29 28 35 34 4|AMIGA_BUS_ENABLE| IO| | => | 7 0 ( 1) 2 | 28 35 ( 34) 33 5| AS_000| IO| | => | 7 0 1 ( 2)| 28 35 34 ( 33) - 6| cpu_est_2_|NOD| | => | 0 1 2 3 | 35 34 33 32 + 6| cpu_est_1_|NOD| | => | 0 1 2 3 | 35 34 33 32 7| | | | => | 0 1 2 3 | 35 34 33 32 8| LDS_000| IO| | => | 1 2 3 ( 4)| 34 33 32 ( 31) 9|inst_CLK_000_D1|NOD| | => | 1 2 3 4 | 34 33 32 31 -10| SM_AMIGA_4_|NOD| | => | 2 3 4 5 | 33 32 31 30 +10| cpu_est_2_|NOD| | => | 2 3 4 5 | 33 32 31 30 11| | | | => | 2 3 4 5 | 33 32 31 30 12| UDS_000| IO| | => |( 3) 4 5 6 |( 32) 31 30 29 -13| BG_000|OUT| | => | 3 4 5 ( 6)| 32 31 30 ( 29) +13| BG_000| IO| | => | 3 4 5 ( 6)| 32 31 30 ( 29) 14| SM_AMIGA_5_|NOD| | => | 4 5 6 7 | 31 30 29 28 15| | | | => | 4 5 6 7 | 31 30 29 28 --------------------------------------------------------------------------- @@ -957,7 +960,7 @@ _|_________________|__|___|_____|___________________________________________ 3| UDS_000| IO|*| 32| => | 6 7 8 9 10 11 (12) 13 4| LDS_000| IO|*| 31| => | ( 8) 9 10 11 12 13 14 15 5| DTACK| IO|*| 30| => | 10 11 12 13 14 15 ( 0) 1 - 6| BG_000|OUT|*| 29| => | 12 (13) 14 15 0 1 2 3 + 6| BG_000| IO|*| 29| => | 12 (13) 14 15 0 1 2 3 7| BGACK_000|INP|*| 28| => | 14 15 0 1 2 3 4 5 --------------------------------------------------------------------------- =========================================================================== @@ -980,7 +983,8 @@ _|_________________|__|___|_____|__________________________________________ 4| LDS_000| IO|*| 31| => | Input macrocell [ -] | | | | | | IO paired w/ node [ RN_LDS_000] 5| DTACK| IO|*| 30| => | Input macrocell [ -] - 6| BG_000|OUT|*| 29| => | Input macrocell [ -] + 6| BG_000| IO|*| 29| => | Input macrocell [ -] + | | | | | | IO paired w/ node [ RN_BG_000] 7| BGACK_000|INP|*| 28| => | Input macrocell [ -] --------------------------------------------------------------------------- =========================================================================== @@ -999,8 +1003,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 34| IO AMIGA_BUS_ENABLE|*| ] paired w/[RN_AMIGA_BUS_ENABLE] [RegIn 1 |177| -| | ] - [MCell 2 |176|NOD cpu_est_1_| |*] - [MCell 3 |178| -| | ] + [MCell 2 |176|NOD inst_CLK_000_D0| |*] + [MCell 3 |178|NOD inst_CLK_000_D2| |*] 2 [IOpin 2 | 33| IO AS_000|*| ] paired w/[ RN_AS_000] [RegIn 2 |180| -| | ] @@ -1009,7 +1013,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 32| IO UDS_000|*| ] paired w/[ RN_UDS_000] [RegIn 3 |183| -| | ] - [MCell 6 |182|NOD cpu_est_2_| |*] + [MCell 6 |182|NOD cpu_est_1_| |*] [MCell 7 |184| -| | ] 4 [IOpin 4 | 31| IO LDS_000|*| ] paired w/[ RN_LDS_000] @@ -1019,13 +1023,13 @@ IMX No. | +---- Block IO Pin or Macrocell Number 5 [IOpin 5 | 30| IO DTACK|*|*] [RegIn 5 |189| -| | ] - [MCell 10 |188|NOD SM_AMIGA_4_| |*] + [MCell 10 |188|NOD cpu_est_2_| |*] [MCell 11 |190| -| | ] - 6 [IOpin 6 | 29|OUT BG_000|*| ] + 6 [IOpin 6 | 29| IO BG_000|*| ] paired w/[ RN_BG_000] [RegIn 6 |192| -| | ] [MCell 12 |191|NOD RN_UDS_000| |*] paired w/[ UDS_000] - [MCell 13 |193|OUT BG_000| | ] + [MCell 13 |193|NOD RN_BG_000| |*] paired w/[ BG_000] 7 [IOpin 7 | 28|INP BGACK_000|*|*] [RegIn 7 |195| -| | ] @@ -1038,37 +1042,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| IOPin 6 4 ( 69)| A_0_ -Mux01| ... | ... -Mux02| Mcel 3 1 ( 175)| RN_VMA -Mux03| Mcel 3 2 ( 176)| cpu_est_1_ -Mux04| Mcel 3 6 ( 182)| cpu_est_2_ -Mux05| Mcel 3 12 ( 191)| RN_UDS_000 +Mux00| IOPin 6 5 ( 70)| SIZE_0_ +Mux01| Mcel 3 13 ( 193)| RN_BG_000 +Mux02| Mcel 6 4 ( 251)| RN_E +Mux03| Mcel 3 2 ( 176)| inst_CLK_000_D0 +Mux04| IOPin 2 6 ( 21)| BG_030 +Mux05| Mcel 3 8 ( 185)| RN_LDS_000 Mux06| IOPin 7 6 ( 79)| SIZE_1_ Mux07| Mcel 3 9 ( 187)| inst_CLK_000_D1 Mux08| IOPin 6 6 ( 71)| RW Mux09| IOPin 7 3 ( 82)| AS_030 Mux10| Mcel 3 4 ( 179)| RN_AMIGA_BUS_ENABLE -Mux11| Mcel 3 5 ( 181)| RN_AS_000 +Mux11| Mcel 3 12 ( 191)| RN_UDS_000 Mux12| IOPin 0 7 ( 98)| DS_030 Mux13| Mcel 7 5 ( 277)| SM_AMIGA_6_ -Mux14| IOPin 6 5 ( 70)| SIZE_0_ +Mux14| Input Pin ( 11)| CLK_000 Mux15| Input Pin ( 14)| nEXP_SPACE -Mux16| Mcel 3 8 ( 185)| RN_LDS_000 +Mux16| Mcel 3 6 ( 182)| cpu_est_1_ Mux17| Mcel 3 14 ( 194)| SM_AMIGA_5_ -Mux18| Mcel 1 2 ( 128)| inst_VPA_D -Mux19| Mcel 7 1 ( 271)| SM_AMIGA_7_ +Mux18| IOPin 6 4 ( 69)| A_0_ +Mux19| Mcel 7 1 ( 271)| cpu_est_0_ Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Mcel 6 4 ( 251)| RN_E -Mux22| IOPin 2 6 ( 21)| BG_030 -Mux23| Mcel 6 12 ( 263)| cpu_est_0_ -Mux24| Input Pin ( 86)| RST -Mux25| Mcel 6 13 ( 265)| CLK_OUT_INTreg +Mux21| Input Pin ( 86)| RST +Mux22| ... | ... +Mux23| Mcel 6 12 ( 263)| SM_AMIGA_4_ +Mux24| Mcel 3 5 ( 181)| RN_AS_000 +Mux25| Mcel 1 2 ( 128)| inst_VPA_D Mux26| ... | ... -Mux27| ... | ... -Mux28| Mcel 6 8 ( 257)| inst_CLK_000_D0 +Mux27| Mcel 3 1 ( 175)| RN_VMA +Mux28| Mcel 6 8 ( 257)| SM_AMIGA_7_ Mux29| Input Pin ( 61)| CLK_OSZI -Mux30| Mcel 3 10 ( 188)| SM_AMIGA_4_ +Mux30| Mcel 3 10 ( 188)| cpu_est_2_ Mux31| ... | ... Mux32| IOPin 7 4 ( 81)| DSACK_1_ --------------------------------------------------------------------------- @@ -1372,19 +1376,19 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| CLK_DIV_OUT|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| SM_AMIGA_1_|NOD| | S | 3 | 4 to [ 1]| 1 XOR free + 1|inst_CLK_OUT_PRE|NOD| | S | 4 | 4 to [ 1]| 1 XOR free 2| | ? | | S | | 4 free | 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free 4| E| IO| | S | 3 :+: 1| 4 to [ 4]| 1 XOR to [ 4] - 5| inst_VPA_SYNC|NOD| | S | 2 | 4 to [ 5]| 1 XOR free + 5| CLK_CNT_P_0_|NOD| | S | 2 | 4 to [ 5]| 1 XOR free 6| | ? | | S | | 4 free | 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9|inst_DTACK_SYNC|NOD| | S | 2 | 4 to [ 9]| 1 XOR free + 8| SM_AMIGA_7_|NOD| | S | 5 | 4 to [ 8]| 1 XOR to [ 8] as logic PT + 9|inst_CLK_000_D5|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12| cpu_est_0_|NOD| | S | 3 | 4 to [12]| 1 XOR free -13|CLK_OUT_INTreg|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +12| SM_AMIGA_4_|NOD| | S | 2 | 4 to [12]| 1 XOR free +13| SM_AMIGA_0_|NOD| | S | 4 | 4 to [13]| 1 XOR free 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1399,20 +1403,20 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ 0| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 10] logic PT(s) - 1| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 19] logic PT(s) + 1|inst_CLK_OUT_PRE|NOD| | S | 4 |=> can support up to [ 19] logic PT(s) 2| | ? | | S | |=> can support up to [ 10] logic PT(s) 3| | ? | | S | |=> can support up to [ 10] logic PT(s) 4| E| IO| | S | 3 :+: 1|=> can support up to [ 14] logic PT(s) - 5| inst_VPA_SYNC|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) - 6| | ? | | S | |=> can support up to [ 14] logic PT(s) + 5| CLK_CNT_P_0_|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) + 6| | ? | | S | |=> can support up to [ 10] logic PT(s) 7| | ? | | S | |=> can support up to [ 14] logic PT(s) - 8|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) - 9|inst_DTACK_SYNC|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) -10| | ? | | S | |=> can support up to [ 10] logic PT(s) -11| | ? | | S | |=> can support up to [ 14] logic PT(s) -12| cpu_est_0_|NOD| | S | 3 |=> can support up to [ 19] logic PT(s) -13|CLK_OUT_INTreg|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) + 8| SM_AMIGA_7_|NOD| | S | 5 |=> can support up to [ 19] logic PT(s) + 9|inst_CLK_000_D5|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) +10| | ? | | S | |=> can support up to [ 14] logic PT(s) +11| | ? | | S | |=> can support up to [ 10] logic PT(s) +12| SM_AMIGA_4_|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) +13| SM_AMIGA_0_|NOD| | S | 4 |=> can support up to [ 15] logic PT(s) +14| | ? | | S | |=> can support up to [ 10] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- =========================================================================== @@ -1424,19 +1428,19 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0| CLK_DIV_OUT|OUT| | => | 5 6 7 ( 0)| 70 71 72 ( 65) - 1| SM_AMIGA_1_|NOD| | => | 5 6 7 0 | 70 71 72 65 + 1|inst_CLK_OUT_PRE|NOD| | => | 5 6 7 0 | 70 71 72 65 2| | | | => | 6 7 0 1 | 71 72 65 66 3| | | | => | 6 7 0 1 | 71 72 65 66 4| E| IO| | => | 7 0 ( 1) 2 | 72 65 ( 66) 67 - 5| inst_VPA_SYNC|NOD| | => | 7 0 1 2 | 72 65 66 67 + 5| CLK_CNT_P_0_|NOD| | => | 7 0 1 2 | 72 65 66 67 6| | | | => | 0 1 2 3 | 65 66 67 68 7| | | | => | 0 1 2 3 | 65 66 67 68 - 8|inst_CLK_000_D0|NOD| | => | 1 2 3 4 | 66 67 68 69 - 9|inst_DTACK_SYNC|NOD| | => | 1 2 3 4 | 66 67 68 69 + 8| SM_AMIGA_7_|NOD| | => | 1 2 3 4 | 66 67 68 69 + 9|inst_CLK_000_D5|NOD| | => | 1 2 3 4 | 66 67 68 69 10| | | | => | 2 3 4 5 | 67 68 69 70 11| | | | => | 2 3 4 5 | 67 68 69 70 -12| cpu_est_0_|NOD| | => | 3 4 5 6 | 68 69 70 71 -13|CLK_OUT_INTreg|NOD| | => | 3 4 5 6 | 68 69 70 71 +12| SM_AMIGA_4_|NOD| | => | 3 4 5 6 | 68 69 70 71 +13| SM_AMIGA_0_|NOD| | => | 3 4 5 6 | 68 69 70 71 14| | | | => | 4 5 6 7 | 69 70 71 72 15| | | | => | 4 5 6 7 | 69 70 71 72 --------------------------------------------------------------------------- @@ -1489,7 +1493,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 65|OUT CLK_DIV_OUT|*| ] [RegIn 0 |246| -| | ] [MCell 0 |245|OUT CLK_DIV_OUT| | ] - [MCell 1 |247|NOD SM_AMIGA_1_| |*] + [MCell 1 |247|NOD inst_CLK_OUT_PRE| |*] 1 [IOpin 1 | 66| IO E|*| ] paired w/[ RN_E] [RegIn 1 |249| -| | ] @@ -1499,7 +1503,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 2 [IOpin 2 | 67|INP IPL_0_|*|*] [RegIn 2 |252| -| | ] [MCell 4 |251|NOD RN_E| |*] paired w/[ E] - [MCell 5 |253|NOD inst_VPA_SYNC| |*] + [MCell 5 |253|NOD CLK_CNT_P_0_| |*] 3 [IOpin 3 | 68|INP IPL_2_|*|*] [RegIn 3 |255| -| | ] @@ -1508,8 +1512,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 4 [IOpin 4 | 69|INP A_0_|*|*] [RegIn 4 |258| -| | ] - [MCell 8 |257|NOD inst_CLK_000_D0| |*] - [MCell 9 |259|NOD inst_DTACK_SYNC| |*] + [MCell 8 |257|NOD SM_AMIGA_7_| |*] + [MCell 9 |259|NOD inst_CLK_000_D5| |*] 5 [IOpin 5 | 70|INP SIZE_0_|*|*] [RegIn 5 |261| -| | ] @@ -1518,8 +1522,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 71|INP RW|*|*] [RegIn 6 |264| -| | ] - [MCell 12 |263|NOD cpu_est_0_| |*] - [MCell 13 |265|NOD CLK_OUT_INTreg| |*] + [MCell 12 |263|NOD SM_AMIGA_4_| |*] + [MCell 13 |265|NOD SM_AMIGA_0_| |*] 7 [IOpin 7 | 72| -| | ] [RegIn 7 |267| -| | ] @@ -1534,33 +1538,33 @@ IMX No. | +---- Block IO Pin or Macrocell Number --|--|--------------------|--------------------------------------------------- Mux00| Input Pin ( 86)| RST Mux01| ... | ... -Mux02| Mcel 3 1 ( 175)| RN_VMA -Mux03| Mcel 3 2 ( 176)| cpu_est_1_ -Mux04| Mcel 6 1 ( 247)| SM_AMIGA_1_ -Mux05| Mcel 7 9 ( 283)| inst_CLK_000_D4 -Mux06| Mcel 1 9 ( 139)| SM_AMIGA_2_ -Mux07| Mcel 6 12 ( 263)| cpu_est_0_ +Mux02| Mcel 7 14 ( 290)| CLK_CNT_P_1_ +Mux03| Mcel 3 2 ( 176)| inst_CLK_000_D0 +Mux04| Mcel 7 5 ( 277)| SM_AMIGA_6_ +Mux05| Mcel 7 9 ( 283)| inst_AS_030_000_SYNC +Mux06| Mcel 1 9 ( 139)| CLK_CNT_N_0_ +Mux07| Mcel 3 5 ( 181)| RN_AS_000 Mux08| ... | ... -Mux09| IOPin 7 3 ( 82)| AS_030 -Mux10| Mcel 1 2 ( 128)| inst_VPA_D +Mux09| Mcel 3 3 ( 178)| inst_CLK_000_D2 +Mux10| Mcel 1 13 ( 145)| CLK_CNT_N_1_ Mux11| Mcel 6 4 ( 251)| RN_E -Mux12| Mcel 6 9 ( 259)| inst_DTACK_SYNC -Mux13| Mcel 6 8 ( 257)| inst_CLK_000_D0 -Mux14| Input Pin ( 11)| CLK_000 -Mux15| ... | ... -Mux16| Mcel 3 6 ( 182)| cpu_est_2_ -Mux17| ... | ... -Mux18| ... | ... -Mux19| Mcel 7 13 ( 289)| inst_CLK_000_D5 -Mux20| ... | ... -Mux21| Mcel 1 13 ( 145)| SM_AMIGA_3_ -Mux22| Mcel 6 5 ( 253)| inst_VPA_SYNC -Mux23| IOPin 3 5 ( 30)| DTACK -Mux24| ... | ... +Mux12| Mcel 6 13 ( 265)| SM_AMIGA_0_ +Mux13| Mcel 6 8 ( 257)| SM_AMIGA_7_ +Mux14| Mcel 7 2 ( 272)| inst_CLK_000_D3 +Mux15| Input Pin ( 14)| nEXP_SPACE +Mux16| Mcel 3 6 ( 182)| cpu_est_1_ +Mux17| Mcel 3 14 ( 194)| SM_AMIGA_5_ +Mux18| Mcel 7 10 ( 284)| inst_CLK_000_D4 +Mux19| Mcel 7 1 ( 271)| cpu_est_0_ +Mux20| Mcel 3 10 ( 188)| cpu_est_2_ +Mux21| Mcel 7 6 ( 278)| inst_CLK_000_D6 +Mux22| Mcel 6 5 ( 253)| CLK_CNT_P_0_ +Mux23| Mcel 6 12 ( 263)| SM_AMIGA_4_ +Mux24| Mcel 6 1 ( 247)| inst_CLK_OUT_PRE Mux25| Mcel 3 9 ( 187)| inst_CLK_000_D1 Mux26| ... | ... -Mux27| ... | ... -Mux28| Mcel 1 5 ( 133)| inst_CLK_OUT_PRE +Mux27| Mcel 6 9 ( 259)| inst_CLK_000_D5 +Mux28| Mcel 7 13 ( 289)| SM_AMIGA_1_ Mux29| ... | ... Mux30| ... | ... Mux31| ... | ... @@ -1577,20 +1581,20 @@ Mux32| ... | ... | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| FPU_CS| IO| | S | 2 | 4 to [ 0]| 1 XOR free - 1| SM_AMIGA_7_|NOD| | S | 5 | 4 to [ 1]| 1 XOR to [ 1] as logic PT - 2|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [ 2]| 1 XOR to [ 2] as logic PT - 3| | ? | | S | | 4 to [ 2]| 1 XOR free + 1| cpu_est_0_|NOD| | S | 3 | 4 to [ 1]| 1 XOR free + 2|inst_CLK_000_D3|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 3| | ? | | S | | 4 free | 1 XOR free 4| BGACK_030| IO| | S | 2 | 4 to [ 4]| 1 XOR free 5| SM_AMIGA_6_|NOD| | S | 2 | 4 to [ 5]| 1 XOR free - 6| SM_AMIGA_0_|NOD| | S | 4 | 4 to [ 6]| 1 XOR free + 6|inst_CLK_000_D6|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig 7| | ? | | S | | 4 free | 1 XOR free - 8| DSACK_1_| IO| | S | 2 | 4 free | 1 XOR free - 9|inst_CLK_000_D4|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [ 9] for 1 PT sig -10|inst_CLK_000_D3|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig + 8| DSACK_1_| IO| | S | 2 | 4 to [ 8]| 1 XOR free + 9|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [ 9]| 1 XOR to [ 9] as logic PT +10|inst_CLK_000_D4|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [10] for 1 PT sig 11| | ? | | S | | 4 free | 1 XOR free 12| DSACK_0_|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|inst_CLK_000_D5|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig -14|inst_CLK_000_D2|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig +13| SM_AMIGA_1_|NOD| | S | 3 | 4 to [13]| 1 XOR free +14| CLK_CNT_P_1_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -1603,21 +1607,21 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| FPU_CS| IO| | S | 2 |=> can support up to [ 5] logic PT(s) - 1| SM_AMIGA_7_|NOD| | S | 5 |=> can support up to [ 5] logic PT(s) - 2|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 10] logic PT(s) - 3| | ? | | S | |=> can support up to [ 1] logic PT(s) - 4| BGACK_030| IO| | S | 2 |=> can support up to [ 5] logic PT(s) - 5| SM_AMIGA_6_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) - 6| SM_AMIGA_0_|NOD| | S | 4 |=> can support up to [ 15] logic PT(s) - 7| | ? | | S | |=> can support up to [ 10] logic PT(s) - 8| DSACK_1_| IO| | S | 2 |=> can support up to [ 18] logic PT(s) - 9|inst_CLK_000_D4|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) -10|inst_CLK_000_D3|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -11| | ? | | S | |=> can support up to [ 17] logic PT(s) -12| DSACK_0_|OUT| | S | 1 |=> can support up to [ 18] logic PT(s) -13|inst_CLK_000_D5|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -14|inst_CLK_000_D2|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 0| FPU_CS| IO| | S | 2 |=> can support up to [ 9] logic PT(s) + 1| cpu_est_0_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) + 2|inst_CLK_000_D3|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) + 3| | ? | | S | |=> can support up to [ 9] logic PT(s) + 4| BGACK_030| IO| | S | 2 |=> can support up to [ 14] logic PT(s) + 5| SM_AMIGA_6_|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) + 6|inst_CLK_000_D6|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) + 7| | ? | | S | |=> can support up to [ 9] logic PT(s) + 8| DSACK_1_| IO| | S | 2 |=> can support up to [ 10] logic PT(s) + 9|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 14] logic PT(s) +10|inst_CLK_000_D4|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +11| | ? | | S | |=> can support up to [ 9] logic PT(s) +12| DSACK_0_|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) +13| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) +14| CLK_CNT_P_1_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) 15| | ? | | S | |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== @@ -1629,20 +1633,20 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0| FPU_CS| IO| | => | 5 6 ( 7) 0 | 80 79 ( 78) 85 - 1| SM_AMIGA_7_|NOD| | => | 5 6 7 0 | 80 79 78 85 - 2|inst_AS_030_000_SYNC|NOD| | => | 6 7 0 1 | 79 78 85 84 + 1| cpu_est_0_|NOD| | => | 5 6 7 0 | 80 79 78 85 + 2|inst_CLK_000_D3|NOD| | => | 6 7 0 1 | 79 78 85 84 3| | | | => | 6 7 0 1 | 79 78 85 84 4| BGACK_030| IO| | => | 7 0 1 ( 2)| 78 85 84 ( 83) 5| SM_AMIGA_6_|NOD| | => | 7 0 1 2 | 78 85 84 83 - 6| SM_AMIGA_0_|NOD| | => | 0 1 2 3 | 85 84 83 82 + 6|inst_CLK_000_D6|NOD| | => | 0 1 2 3 | 85 84 83 82 7| | | | => | 0 1 2 3 | 85 84 83 82 8| DSACK_1_| IO| | => | 1 2 3 ( 4)| 84 83 82 ( 81) - 9|inst_CLK_000_D4|NOD| | => | 1 2 3 4 | 84 83 82 81 -10|inst_CLK_000_D3|NOD| | => | 2 3 4 5 | 83 82 81 80 + 9|inst_AS_030_000_SYNC|NOD| | => | 1 2 3 4 | 84 83 82 81 +10|inst_CLK_000_D4|NOD| | => | 2 3 4 5 | 83 82 81 80 11| | | | => | 2 3 4 5 | 83 82 81 80 12| DSACK_0_|OUT| | => | 3 4 ( 5) 6 | 82 81 ( 80) 79 -13|inst_CLK_000_D5|NOD| | => | 3 4 5 6 | 82 81 80 79 -14|inst_CLK_000_D2|NOD| | => | 4 5 6 7 | 81 80 79 78 +13| SM_AMIGA_1_|NOD| | => | 3 4 5 6 | 82 81 80 79 +14| CLK_CNT_P_1_|NOD| | => | 4 5 6 7 | 81 80 79 78 15| | | | => | 4 5 6 7 | 81 80 79 78 --------------------------------------------------------------------------- =========================================================================== @@ -1696,11 +1700,11 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 85|INP A_22_|*|*] [RegIn 0 |270| -| | ] [MCell 0 |269|NOD RN_FPU_CS| |*] paired w/[ FPU_CS] - [MCell 1 |271|NOD SM_AMIGA_7_| |*] + [MCell 1 |271|NOD cpu_est_0_| |*] 1 [IOpin 1 | 84|INP A_23_|*|*] [RegIn 1 |273| -| | ] - [MCell 2 |272|NOD inst_AS_030_000_SYNC| |*] + [MCell 2 |272|NOD inst_CLK_000_D3| |*] [MCell 3 |274| -| | ] 2 [IOpin 2 | 83| IO BGACK_030|*| ] paired w/[ RN_BGACK_030] @@ -1710,27 +1714,27 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 82|INP AS_030|*|*] [RegIn 3 |279| -| | ] - [MCell 6 |278|NOD SM_AMIGA_0_| |*] + [MCell 6 |278|NOD inst_CLK_000_D6| |*] [MCell 7 |280| -| | ] 4 [IOpin 4 | 81| IO DSACK_1_|*|*] paired w/[ RN_DSACK_1_] [RegIn 4 |282| -| | ] [MCell 8 |281|NOD RN_DSACK_1_| |*] paired w/[ DSACK_1_] - [MCell 9 |283|NOD inst_CLK_000_D4| |*] + [MCell 9 |283|NOD inst_AS_030_000_SYNC| |*] 5 [IOpin 5 | 80|OUT DSACK_0_|*| ] [RegIn 5 |285| -| | ] - [MCell 10 |284|NOD inst_CLK_000_D3| |*] + [MCell 10 |284|NOD inst_CLK_000_D4| |*] [MCell 11 |286| -| | ] 6 [IOpin 6 | 79|INP SIZE_1_|*|*] [RegIn 6 |288| -| | ] [MCell 12 |287|OUT DSACK_0_| | ] - [MCell 13 |289|NOD inst_CLK_000_D5| |*] + [MCell 13 |289|NOD SM_AMIGA_1_| |*] 7 [IOpin 7 | 78| IO FPU_CS|*| ] paired w/[ RN_FPU_CS] [RegIn 7 |291| -| | ] - [MCell 14 |290|NOD inst_CLK_000_D2| |*] + [MCell 14 |290|NOD CLK_CNT_P_1_| |*] [MCell 15 |292| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -1741,35 +1745,35 @@ IMX No. | +---- Block IO Pin or Macrocell Number --|--|--------------------|--------------------------------------------------- Mux00| Input Pin ( 86)| RST Mux01| IOPin 5 2 ( 58)| FC_1_ -Mux02| Mcel 7 14 ( 290)| inst_CLK_000_D2 +Mux02| ... | ... Mux03| Mcel 7 8 ( 281)| RN_DSACK_1_ -Mux04| IOPin 3 7 ( 28)| BGACK_000 -Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| IOPin 5 3 ( 57)| FC_0_ -Mux07| Mcel 7 6 ( 278)| SM_AMIGA_0_ +Mux04| IOPin 0 4 ( 95)| A_18_ +Mux05| Mcel 7 9 ( 283)| inst_AS_030_000_SYNC +Mux06| IOPin 0 6 ( 97)| A_19_ +Mux07| Mcel 7 13 ( 289)| SM_AMIGA_1_ Mux08| IOPin 5 1 ( 59)| A_17_ -Mux09| IOPin 7 3 ( 82)| AS_030 -Mux10| Mcel 7 1 ( 271)| SM_AMIGA_7_ +Mux09| Mcel 3 3 ( 178)| inst_CLK_000_D2 +Mux10| Mcel 6 8 ( 257)| SM_AMIGA_7_ Mux11| IOPin 0 5 ( 96)| A_16_ -Mux12| IOPin 0 6 ( 97)| A_19_ +Mux12| Mcel 7 1 ( 271)| cpu_est_0_ Mux13| Mcel 7 5 ( 277)| SM_AMIGA_6_ -Mux14| Mcel 7 2 ( 272)| inst_AS_030_000_SYNC -Mux15| ... | ... -Mux16| ... | ... -Mux17| IOPin 0 4 ( 95)| A_18_ -Mux18| Mcel 7 10 ( 284)| inst_CLK_000_D3 -Mux19| Mcel 7 13 ( 289)| inst_CLK_000_D5 +Mux14| Mcel 7 2 ( 272)| inst_CLK_000_D3 +Mux15| Input Pin ( 14)| nEXP_SPACE +Mux16| Mcel 3 2 ( 176)| inst_CLK_000_D0 +Mux17| IOPin 5 3 ( 57)| FC_0_ +Mux18| IOPin 3 7 ( 28)| BGACK_000 +Mux19| IOPin 7 3 ( 82)| AS_030 Mux20| Input Pin ( 64)| CLK_030 -Mux21| ... | ... -Mux22| ... | ... +Mux21| Mcel 7 6 ( 278)| inst_CLK_000_D6 +Mux22| Mcel 6 5 ( 253)| CLK_CNT_P_0_ Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux24| Mcel 3 5 ( 181)| RN_AS_000 +Mux24| ... | ... Mux25| Mcel 3 9 ( 187)| inst_CLK_000_D1 Mux26| ... | ... -Mux27| Mcel 7 9 ( 283)| inst_CLK_000_D4 -Mux28| Mcel 6 8 ( 257)| inst_CLK_000_D0 +Mux27| Mcel 6 9 ( 259)| inst_CLK_000_D5 +Mux28| Mcel 1 5 ( 133)| SM_AMIGA_2_ Mux29| ... | ... Mux30| Mcel 7 0 ( 269)| RN_FPU_CS Mux31| ... | ... -Mux32| Mcel 6 1 ( 247)| SM_AMIGA_1_ +Mux32| ... | ... --------------------------------------------------------------------------- \ No newline at end of file diff --git a/Logic/68030_tk.rpt b/Logic/68030_tk.rpt index 51df60b..c188309 100644 --- a/Logic/68030_tk.rpt +++ b/Logic/68030_tk.rpt @@ -12,7 +12,7 @@ Project_Summary Project Name : 68030_tk Project Path : C:\Users\Matze\Documents\GitHub\68030tk\Logic -Project Fitted on : Sat May 24 16:11:55 2014 +Project Fitted on : Sat May 24 19:56:24 2014 Device : M4A5-128/64 Package : 100TQFP @@ -41,7 +41,7 @@ Design_Summary Total Output Pins : 22 Total Bidir I/O Pins : 2 Total Flip-Flops : 44 - Total Product Terms : 113 + Total Product Terms : 114 Total Reserved Pins : 0 Total Reserved Blocks : 0 @@ -58,9 +58,9 @@ Logic Macrocells 128 51 77 --> 39% Input Registers 64 0 64 --> 0% Unusable Macrocells .. 0 .. -CSM Outputs/Total Block Inputs 264 110 154 --> 41% -Logical Product Terms 640 116 524 --> 18% -Product Term Clusters 128 31 97 --> 24% +CSM Outputs/Total Block Inputs 264 122 142 --> 46% +Logical Product Terms 640 117 523 --> 18% +Product Term Clusters 128 32 96 --> 25%  Blocks_Resource_Summary @@ -72,13 +72,13 @@ Blocks_Resource_Summary Maximum 33 8 8 -- -- 16 80 16 - --------------------------------------------------------------------------------- Block A 0 7 0 1 0 15 1 16 Hi -Block B 20 8 0 13 0 3 28 8 Hi +Block B 24 8 0 12 0 4 25 8 Hi Block C 1 8 0 2 0 14 2 16 Hi -Block D 29 8 0 12 0 4 36 6 Hi +Block D 30 8 0 13 0 3 37 6 Hi Block E 14 3 0 3 0 13 3 16 Hi Block F 0 4 0 0 0 16 0 16 Hi -Block G 20 7 0 8 0 8 17 11 Hi -Block H 26 8 0 12 0 4 29 8 Hi +Block G 26 7 0 8 0 8 23 10 Hi +Block H 27 8 0 12 0 4 26 8 Hi --------------------------------------------------------------------------------- Four rightmost columns above reflect last status of the placement process. @@ -287,7 +287,7 @@ Input_Signal_List Pin r e O Input Pin Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - 82 H . I/O ---D--GH Hi Fast AS_030 + 82 H . I/O -B-D---H Hi Fast AS_030 69 G . I/O ---D---- Hi Fast A_0_ 96 A . I/O -------H Hi Fast A_16_ 59 F . I/O -------H Hi Fast A_17_ @@ -316,8 +316,8 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 71 G . I/O ---DE--- Hi Fast RW 70 G . I/O ---D---- Hi Fast SIZE_0_ 79 H . I/O ---D---- Hi Fast SIZE_1_ - 11 . . Ck/I ------G- - Fast CLK_000 - 14 . . Ck/I ---D---H - Fast nEXP_SPACE + 11 . . Ck/I ---D---- - Fast CLK_000 + 14 . . Ck/I ---D--GH - Fast nEXP_SPACE 36 . . Ded -B------ - Fast VPA 61 . . Ck/I -B-D--GH - Fast CLK_OSZI 64 . . Ck/I -------H - Fast CLK_030 @@ -345,7 +345,7 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 22 C 1 COM -------- Hi Fast AVEC_EXP 41 E 1 COM -------- Hi Fast BERR 83 H 2 DFF * * -------- Hi Fast BGACK_030 - 29 D 1 DFF * * -------- Hi Fast BG_000 + 29 D 2 DFF * * -------- Hi Fast BG_000 47 E 1 COM -------- Hi Fast CIIN 65 G 1 DFF * * -------- Hi Fast CLK_DIV_OUT 10 B 1 DFF * * -------- Hi Fast CLK_EXP @@ -375,7 +375,7 @@ Bidir_Signal_List Pin Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- 81 H 2 DFF * * ---D---- Hi Fast DSACK_1_ - 30 D 1 DFF * * ------G- Hi Fast DTACK + 30 D 1 DFF * * -B------ Hi Fast DTACK ---------------------------------------------------------------------- Power : Hi = High @@ -391,45 +391,46 @@ Buried_Signal_List Pin r e O Node #Mc Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - B10 B 2 DFF * * -B------ Hi Fast CLK_CNT_N_0_ - B3 B 1 DFF * * -B------ Hi Fast CLK_CNT_N_1_ - B6 B 2 DFF * * -B------ Hi Fast CLK_CNT_P_0_ - B14 B 1 DFF * * -B------ Hi Fast CLK_CNT_P_1_ - G13 G 1 DFF * * ---D---- Hi Fast CLK_OUT_INTreg + B9 B 2 DFF * * -B----G- Hi Fast CLK_CNT_N_0_ + B13 B 1 DFF * * -B----G- Hi Fast CLK_CNT_N_1_ + G5 G 2 DFF * * ------GH Hi Fast CLK_CNT_P_0_ + H14 H 1 DFF * * ------G- Hi Fast CLK_CNT_P_1_ D4 D 3 DFF * * ---D---- Hi - RN_AMIGA_BUS_ENABLE --> AMIGA_BUS_ENABLE - D5 D 2 DFF * * ---D---H Hi - RN_AS_000 --> AS_000 + D5 D 2 DFF * * ---D--G- Hi - RN_AS_000 --> AS_000 H4 H 2 DFF * * ---D---H Hi - RN_BGACK_030 --> BGACK_030 + D13 D 2 DFF * * ---D---- Hi - RN_BG_000 --> BG_000 H8 H 2 DFF * * -------H Hi - RN_DSACK_1_ --> DSACK_1_ - G4 G 3 DFF * * ---D--G- Hi - RN_E --> E + G4 G 3 DFF * * -B-D--G- Hi - RN_E --> E H0 H 2 DFF * * --C-E--H Hi - RN_FPU_CS --> FPU_CS B8 B 3 DFF * * -B------ Hi - RN_IPL_030_0_ --> IPL_030_0_ B12 B 3 DFF * * -B------ Hi - RN_IPL_030_1_ --> IPL_030_1_ B4 B 3 DFF * * -B------ Hi - RN_IPL_030_2_ --> IPL_030_2_ D8 D 8 DFF * * ---D---- Hi - RN_LDS_000 --> LDS_000 D12 D 5 DFF * * ---D---- Hi - RN_UDS_000 --> UDS_000 - D1 D 2 DFF * * ---D--G- Hi - RN_VMA --> VMA - H6 H 4 DFF * * -------H Hi Fast SM_AMIGA_0_ - G1 G 3 DFF * * ------GH Hi Fast SM_AMIGA_1_ - B9 B 3 DFF * * -B----G- Hi Fast SM_AMIGA_2_ - B13 B 3 DFF * * -B----G- Hi Fast SM_AMIGA_3_ - D10 D 2 DFF * * -B-D---- Hi Fast SM_AMIGA_4_ - D14 D 2 DFF * * ---D---- Hi Fast SM_AMIGA_5_ - H5 H 2 DFF * * ---D---H Hi Fast SM_AMIGA_6_ - H1 H 5 DFF * * ---D---H Hi Fast SM_AMIGA_7_ - G12 G 3 DFF * * ---D--G- Hi Fast cpu_est_0_ - D2 D 4 TFF * * ---D--G- Hi Fast cpu_est_1_ - D6 D 3 DFF * * ---D--G- Hi Fast cpu_est_2_ - H2 H 7 DFF * * -------H Hi Fast inst_AS_030_000_SYNC - G8 G 1 DFF * * -B-D--GH Hi Fast inst_CLK_000_D0 + D1 D 2 DFF * * -B-D---- Hi - RN_VMA --> VMA + G13 G 4 DFF * * ------G- Hi Fast SM_AMIGA_0_ + H13 H 3 DFF * * ------GH Hi Fast SM_AMIGA_1_ + B5 B 3 DFF * * -B-----H Hi Fast SM_AMIGA_2_ + B6 B 3 DFF * * -B------ Hi Fast SM_AMIGA_3_ + G12 G 2 DFF * * -B-D--G- Hi Fast SM_AMIGA_4_ + D14 D 2 DFF * * ---D--G- Hi Fast SM_AMIGA_5_ + H5 H 2 DFF * * ---D--GH Hi Fast SM_AMIGA_6_ + G8 G 5 DFF * * ---D--GH Hi Fast SM_AMIGA_7_ + H1 H 3 DFF * * ---D--GH Hi Fast cpu_est_0_ + D6 D 4 TFF * * -B-D--G- Hi Fast cpu_est_1_ + D10 D 3 DFF * * ---D--G- Hi Fast cpu_est_2_ + H9 H 7 DFF * * ------GH Hi Fast inst_AS_030_000_SYNC + D2 D 1 DFF * * -B-D--GH Hi Fast inst_CLK_000_D0 D9 D 1 DFF * * -B-D--GH Hi Fast inst_CLK_000_D1 - H14 H 1 DFF * * -------H Hi Fast inst_CLK_000_D2 - H10 H 1 DFF * * -------H Hi Fast inst_CLK_000_D3 - H9 H 1 DFF * * ------GH Hi Fast inst_CLK_000_D4 - H13 H 1 DFF * * ------GH Hi Fast inst_CLK_000_D5 - B5 B 4 DFF * * -B----G- Hi Fast inst_CLK_OUT_PRE - G9 G 2 DFF * * -B----G- Hi Fast inst_DTACK_SYNC - B2 B 1 DFF * * ---D--G- Hi Fast inst_VPA_D - G5 G 2 DFF * * -B----G- Hi Fast inst_VPA_SYNC + D3 D 1 DFF * * ------GH Hi Fast inst_CLK_000_D2 + H2 H 1 DFF * * ------GH Hi Fast inst_CLK_000_D3 + H10 H 1 DFF * * ------G- Hi Fast inst_CLK_000_D4 + G9 G 1 DFF * * ------GH Hi Fast inst_CLK_000_D5 + H6 H 1 DFF * * ------GH Hi Fast inst_CLK_000_D6 + G1 G 4 DFF * * -B----G- Hi Fast inst_CLK_OUT_PRE + B14 B 2 DFF * * -B------ Hi Fast inst_DTACK_SYNC + B2 B 1 DFF * * -B-D---- Hi Fast inst_VPA_D + B10 B 2 DFF * * -B------ Hi Fast inst_VPA_SYNC ---------------------------------------------------------------------- Power : Hi = High @@ -447,50 +448,50 @@ Signal Source : Fanout List SIZE_1_{ I}: LDS_000{ D} A_31_{ C}: CIIN{ E} IPL_2_{ H}: IPL_030_2_{ B} + FC_1_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} + AS_030{ I}: DSACK_1_{ H} AS_000{ D} UDS_000{ D} + : LDS_000{ D} BG_000{ D} FPU_CS{ H} + :AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H}inst_DTACK_SYNC{ B} + : inst_VPA_SYNC{ B} SIZE_0_{ H}: LDS_000{ D} + DS_030{ B}: UDS_000{ D} LDS_000{ D} A_30_{ C}: CIIN{ E} A_29_{ C}: CIIN{ E} A_28_{ D}: CIIN{ E} - FC_1_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} + nEXP_SPACE{. }: DSACK_0_{ H} DSACK_1_{ H} BG_000{ D} + : DTACK{ D}AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H} + : SM_AMIGA_6_{ H} SM_AMIGA_7_{ G} A_27_{ D}: CIIN{ E} - AS_030{ I}: DSACK_1_{ H} AS_000{ D} UDS_000{ D} - : LDS_000{ D} BG_000{ D} FPU_CS{ H} - :AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H}inst_DTACK_SYNC{ G} - : inst_VPA_SYNC{ G} A_26_{ D}: CIIN{ E} + BG_030{ D}: BG_000{ D} A_25_{ D}: CIIN{ E} - DS_030{ B}: UDS_000{ D} LDS_000{ D} A_24_{ D}: CIIN{ E} A_23_{ I}: CIIN{ E} + BGACK_000{ E}: BGACK_030{ H} FPU_CS{ H}inst_AS_030_000_SYNC{ H} A_22_{ I}: CIIN{ E} - nEXP_SPACE{. }: DSACK_0_{ H} DSACK_1_{ H} BG_000{ D} - :AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H} SM_AMIGA_6_{ H} - : SM_AMIGA_7_{ H} + CLK_030{. }: FPU_CS{ H}inst_AS_030_000_SYNC{ H} A_21_{ B}: CIIN{ E} + CLK_000{. }: BG_000{ D}inst_CLK_000_D0{ D} A_20_{ B}: CIIN{ E} - BG_030{ D}: BG_000{ D} A_19_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} A_18_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} A_17_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} - BGACK_000{ E}: BGACK_030{ H} FPU_CS{ H}inst_AS_030_000_SYNC{ H} A_16_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} - CLK_030{. }: FPU_CS{ H}inst_AS_030_000_SYNC{ H} - CLK_000{. }:inst_CLK_000_D0{ G} VPA{. }: inst_VPA_D{ B} - RST{. }: CLK_DIV_OUT{ G} CLK_EXP{ B} IPL_030_2_{ B} - : DSACK_1_{ H} AS_000{ D} UDS_000{ D} - : LDS_000{ D} BG_000{ D} BGACK_030{ H} + RST{. }: CLK_DIV_OUT{ G} IPL_030_2_{ B} DSACK_1_{ H} + : AS_000{ D} UDS_000{ D} LDS_000{ D} + : BG_000{ D} BGACK_030{ H} CLK_EXP{ B} : FPU_CS{ H} DTACK{ D} E{ G} : VMA{ D} RESET{ B}AMIGA_BUS_ENABLE{ D} - : IPL_030_1_{ B} IPL_030_0_{ B} CLK_OUT_INTreg{ G} - :inst_AS_030_000_SYNC{ H}inst_DTACK_SYNC{ G} inst_VPA_D{ B} - : inst_VPA_SYNC{ G}inst_CLK_000_D0{ G}inst_CLK_000_D1{ D} - :inst_CLK_000_D2{ H}inst_CLK_000_D5{ H} SM_AMIGA_5_{ D} - : SM_AMIGA_6_{ H}inst_CLK_000_D3{ H} SM_AMIGA_4_{ D} - :inst_CLK_000_D4{ H} SM_AMIGA_7_{ H} SM_AMIGA_3_{ B} - : SM_AMIGA_1_{ G} CLK_CNT_N_0_{ B} CLK_CNT_N_1_{ B} - : CLK_CNT_P_0_{ B} CLK_CNT_P_1_{ B} SM_AMIGA_2_{ B} - : SM_AMIGA_0_{ H}inst_CLK_OUT_PRE{ B} cpu_est_0_{ G} + : IPL_030_1_{ B} IPL_030_0_{ B}inst_AS_030_000_SYNC{ H} + :inst_DTACK_SYNC{ B} inst_VPA_D{ B} inst_VPA_SYNC{ B} + :inst_CLK_000_D0{ D}inst_CLK_000_D1{ D}inst_CLK_000_D2{ D} + :inst_CLK_000_D6{ H} SM_AMIGA_5_{ D} SM_AMIGA_6_{ H} + :inst_CLK_000_D3{ H} SM_AMIGA_4_{ G}inst_CLK_000_D5{ G} + : SM_AMIGA_7_{ G} SM_AMIGA_3_{ B} SM_AMIGA_1_{ H} + : CLK_CNT_N_0_{ B} CLK_CNT_N_1_{ B} CLK_CNT_P_0_{ G} + : CLK_CNT_P_1_{ H} SM_AMIGA_2_{ B} SM_AMIGA_0_{ G} + :inst_CLK_000_D4{ H}inst_CLK_OUT_PRE{ G} cpu_est_0_{ H} : cpu_est_1_{ D} cpu_est_2_{ D} RW{ H}:AMIGA_BUS_DATA_DIR{ E} UDS_000{ D} LDS_000{ D} A_0_{ H}: UDS_000{ D} LDS_000{ D} @@ -501,63 +502,63 @@ RN_IPL_030_2_{ C}: IPL_030_2_{ B} DSACK_1_{ I}: DTACK{ D} RN_DSACK_1_{ I}: DSACK_1_{ H} RN_AS_000{ E}: AS_000{ D} DTACK{ D} VMA{ D} - : SM_AMIGA_7_{ H} SM_AMIGA_0_{ H} + : SM_AMIGA_7_{ G} SM_AMIGA_0_{ G} RN_UDS_000{ E}: UDS_000{ D} RN_LDS_000{ E}: LDS_000{ D} + RN_BG_000{ E}: BG_000{ D} RN_BGACK_030{ I}: AS_000{ D} UDS_000{ D} LDS_000{ D} : BGACK_030{ H} DTACK{ D} RN_FPU_CS{ I}: BERR{ E} AVEC_EXP{ C} FPU_CS{ H} - DTACK{ E}:inst_DTACK_SYNC{ G} - RN_E{ H}: E{ G} VMA{ D} inst_VPA_SYNC{ G} + DTACK{ E}:inst_DTACK_SYNC{ B} + RN_E{ H}: E{ G} VMA{ D} inst_VPA_SYNC{ B} : cpu_est_1_{ D} cpu_est_2_{ D} - RN_VMA{ E}: VMA{ D} inst_VPA_SYNC{ G} + RN_VMA{ E}: VMA{ D} inst_VPA_SYNC{ B} RN_AMIGA_BUS_ENABLE{ E}:AMIGA_BUS_ENABLE{ D} RN_IPL_030_1_{ C}: IPL_030_1_{ B} RN_IPL_030_0_{ C}: IPL_030_0_{ B} -CLK_OUT_INTreg{ H}: BG_000{ D} -inst_AS_030_000_SYNC{ I}:inst_AS_030_000_SYNC{ H} SM_AMIGA_6_{ H} SM_AMIGA_7_{ H} -inst_DTACK_SYNC{ H}:inst_DTACK_SYNC{ G} SM_AMIGA_3_{ B} SM_AMIGA_2_{ B} - inst_VPA_D{ C}: VMA{ D}inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G} -inst_VPA_SYNC{ H}: inst_VPA_SYNC{ G} SM_AMIGA_3_{ B} SM_AMIGA_2_{ B} -inst_CLK_000_D0{ H}: IPL_030_2_{ B} BG_000{ D} BGACK_030{ H} - : E{ G} VMA{ D} IPL_030_1_{ B} - : IPL_030_0_{ B}inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G} - :inst_CLK_000_D1{ D} SM_AMIGA_5_{ D} SM_AMIGA_6_{ H} - : SM_AMIGA_4_{ D} SM_AMIGA_7_{ H} SM_AMIGA_3_{ B} - : SM_AMIGA_1_{ G} SM_AMIGA_2_{ B} SM_AMIGA_0_{ H} - : cpu_est_0_{ G} cpu_est_1_{ D} cpu_est_2_{ D} -inst_CLK_000_D1{ E}: IPL_030_2_{ B} BG_000{ D} BGACK_030{ H} - : E{ G} IPL_030_1_{ B} IPL_030_0_{ B} - :inst_CLK_000_D2{ H} cpu_est_0_{ G} cpu_est_1_{ D} - : cpu_est_2_{ D} -inst_CLK_000_D2{ I}: SM_AMIGA_6_{ H}inst_CLK_000_D3{ H} SM_AMIGA_7_{ H} -inst_CLK_000_D5{ I}: DSACK_1_{ H}inst_AS_030_000_SYNC{ H} SM_AMIGA_1_{ G} - : SM_AMIGA_0_{ H} -SM_AMIGA_5_{ E}: AS_000{ D} UDS_000{ D} LDS_000{ D} - : SM_AMIGA_5_{ D} SM_AMIGA_4_{ D} -SM_AMIGA_6_{ I}:AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H} SM_AMIGA_5_{ D} - : SM_AMIGA_6_{ H} SM_AMIGA_7_{ H} -inst_CLK_000_D3{ I}: SM_AMIGA_6_{ H}inst_CLK_000_D4{ H} SM_AMIGA_7_{ H} -SM_AMIGA_4_{ E}: UDS_000{ D} LDS_000{ D} SM_AMIGA_4_{ D} - : SM_AMIGA_3_{ B} -inst_CLK_000_D4{ I}: DSACK_1_{ H}inst_AS_030_000_SYNC{ H}inst_CLK_000_D5{ H} - : SM_AMIGA_1_{ G} SM_AMIGA_0_{ H} -SM_AMIGA_7_{ I}: BG_000{ D}inst_AS_030_000_SYNC{ H} SM_AMIGA_6_{ H} - : SM_AMIGA_7_{ H} -SM_AMIGA_3_{ C}:inst_DTACK_SYNC{ G} inst_VPA_SYNC{ G} SM_AMIGA_3_{ B} - : SM_AMIGA_2_{ B} -SM_AMIGA_1_{ H}: DSACK_1_{ H}inst_AS_030_000_SYNC{ H} SM_AMIGA_1_{ G} - : SM_AMIGA_0_{ H} -CLK_CNT_N_0_{ C}: CLK_CNT_N_0_{ B} CLK_CNT_N_1_{ B}inst_CLK_OUT_PRE{ B} -CLK_CNT_N_1_{ C}: CLK_CNT_N_0_{ B}inst_CLK_OUT_PRE{ B} -CLK_CNT_P_0_{ C}: CLK_CNT_P_0_{ B} CLK_CNT_P_1_{ B}inst_CLK_OUT_PRE{ B} -CLK_CNT_P_1_{ C}: CLK_CNT_P_0_{ B}inst_CLK_OUT_PRE{ B} -SM_AMIGA_2_{ C}: SM_AMIGA_1_{ G} SM_AMIGA_2_{ B} -SM_AMIGA_0_{ I}: SM_AMIGA_7_{ H} SM_AMIGA_0_{ H} -inst_CLK_OUT_PRE{ C}: CLK_DIV_OUT{ G} CLK_EXP{ B} CLK_OUT_INTreg{ G} - cpu_est_0_{ H}: E{ G} VMA{ D} cpu_est_0_{ G} +inst_AS_030_000_SYNC{ I}:inst_AS_030_000_SYNC{ H} SM_AMIGA_6_{ H} SM_AMIGA_7_{ G} +inst_DTACK_SYNC{ C}:inst_DTACK_SYNC{ B} SM_AMIGA_3_{ B} SM_AMIGA_2_{ B} + inst_VPA_D{ C}: VMA{ D}inst_DTACK_SYNC{ B} inst_VPA_SYNC{ B} +inst_VPA_SYNC{ C}: inst_VPA_SYNC{ B} SM_AMIGA_3_{ B} SM_AMIGA_2_{ B} +inst_CLK_000_D0{ E}: IPL_030_2_{ B} BGACK_030{ H} E{ G} + : VMA{ D} IPL_030_1_{ B} IPL_030_0_{ B} + :inst_DTACK_SYNC{ B} inst_VPA_SYNC{ B}inst_CLK_000_D1{ D} + : SM_AMIGA_5_{ D} SM_AMIGA_6_{ H} SM_AMIGA_4_{ G} + : SM_AMIGA_7_{ G} SM_AMIGA_3_{ B} SM_AMIGA_1_{ H} + : SM_AMIGA_2_{ B} SM_AMIGA_0_{ G} cpu_est_0_{ H} : cpu_est_1_{ D} cpu_est_2_{ D} - cpu_est_1_{ E}: E{ G} VMA{ D} inst_VPA_SYNC{ G} +inst_CLK_000_D1{ E}: IPL_030_2_{ B} BGACK_030{ H} E{ G} + : IPL_030_1_{ B} IPL_030_0_{ B}inst_CLK_000_D2{ D} + : cpu_est_0_{ H} cpu_est_1_{ D} cpu_est_2_{ D} +inst_CLK_000_D2{ E}: SM_AMIGA_6_{ H}inst_CLK_000_D3{ H} SM_AMIGA_7_{ G} +inst_CLK_000_D6{ I}: DSACK_1_{ H}inst_AS_030_000_SYNC{ H} SM_AMIGA_1_{ H} + : SM_AMIGA_0_{ G} +SM_AMIGA_5_{ E}: AS_000{ D} UDS_000{ D} LDS_000{ D} + : SM_AMIGA_5_{ D} SM_AMIGA_4_{ G} +SM_AMIGA_6_{ I}:AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H} SM_AMIGA_5_{ D} + : SM_AMIGA_6_{ H} SM_AMIGA_7_{ G} +inst_CLK_000_D3{ I}: SM_AMIGA_6_{ H} SM_AMIGA_7_{ G}inst_CLK_000_D4{ H} +SM_AMIGA_4_{ H}: UDS_000{ D} LDS_000{ D} SM_AMIGA_4_{ G} + : SM_AMIGA_3_{ B} +inst_CLK_000_D5{ H}: DSACK_1_{ H}inst_AS_030_000_SYNC{ H}inst_CLK_000_D6{ H} + : SM_AMIGA_1_{ H} SM_AMIGA_0_{ G} +SM_AMIGA_7_{ H}: BG_000{ D}inst_AS_030_000_SYNC{ H} SM_AMIGA_6_{ H} + : SM_AMIGA_7_{ G} +SM_AMIGA_3_{ C}:inst_DTACK_SYNC{ B} inst_VPA_SYNC{ B} SM_AMIGA_3_{ B} + : SM_AMIGA_2_{ B} +SM_AMIGA_1_{ I}: DSACK_1_{ H}inst_AS_030_000_SYNC{ H} SM_AMIGA_1_{ H} + : SM_AMIGA_0_{ G} +CLK_CNT_N_0_{ C}: CLK_CNT_N_0_{ B} CLK_CNT_N_1_{ B}inst_CLK_OUT_PRE{ G} +CLK_CNT_N_1_{ C}: CLK_CNT_N_0_{ B}inst_CLK_OUT_PRE{ G} +CLK_CNT_P_0_{ H}: CLK_CNT_P_0_{ G} CLK_CNT_P_1_{ H}inst_CLK_OUT_PRE{ G} +CLK_CNT_P_1_{ I}: CLK_CNT_P_0_{ G}inst_CLK_OUT_PRE{ G} +SM_AMIGA_2_{ C}: SM_AMIGA_1_{ H} SM_AMIGA_2_{ B} +SM_AMIGA_0_{ H}: SM_AMIGA_7_{ G} SM_AMIGA_0_{ G} +inst_CLK_000_D4{ I}:inst_CLK_000_D5{ G} +inst_CLK_OUT_PRE{ H}: CLK_DIV_OUT{ G} CLK_EXP{ B} + cpu_est_0_{ I}: E{ G} VMA{ D} cpu_est_0_{ H} + : cpu_est_1_{ D} cpu_est_2_{ D} + cpu_est_1_{ E}: E{ G} VMA{ D} inst_VPA_SYNC{ B} : cpu_est_1_{ D} cpu_est_2_{ D} cpu_est_2_{ E}: E{ G} VMA{ D} cpu_est_1_{ D} : cpu_est_2_{ D} @@ -597,17 +598,16 @@ Equations : | * | S | BS | BR | IPL_030_1_ | * | S | BR | BS | CLK_EXP | * | S | BR | BS | RESET -| * | S | BR | BS | inst_CLK_OUT_PRE | * | S | BR | BS | SM_AMIGA_2_ -| * | S | BR | BS | SM_AMIGA_3_ +| * | S | BR | BS | CLK_CNT_N_0_ +| * | S | BS | BR | CLK_CNT_N_1_ | * | S | BS | BR | inst_VPA_D | * | S | BS | BR | RN_IPL_030_0_ | * | S | BS | BR | RN_IPL_030_1_ | * | S | BS | BR | RN_IPL_030_2_ -| * | S | BR | BS | CLK_CNT_P_0_ -| * | S | BR | BS | CLK_CNT_N_0_ -| * | S | BR | BS | CLK_CNT_P_1_ -| * | S | BR | BS | CLK_CNT_N_1_ +| * | S | BR | BS | SM_AMIGA_3_ +| * | S | BS | BR | inst_VPA_SYNC +| * | S | BS | BR | inst_DTACK_SYNC | | | | | A_29_ | | | | | A_30_ | | | | | A_31_ @@ -645,15 +645,17 @@ Equations : | * | S | BS | BR | AS_000 | * | S | BS | BR | BG_000 | * | S | BS | BR | inst_CLK_000_D1 +| * | S | BS | BR | inst_CLK_000_D0 | * | S | BR | BS | cpu_est_1_ | * | S | BR | BS | cpu_est_2_ | * | S | BS | BR | RN_VMA | * | S | BS | BR | RN_AS_000 -| * | S | BR | BS | SM_AMIGA_4_ +| * | S | BR | BS | SM_AMIGA_5_ +| * | S | BS | BR | inst_CLK_000_D2 | * | S | BS | BR | RN_LDS_000 | * | S | BS | BR | RN_UDS_000 | * | A | | | RN_AMIGA_BUS_ENABLE -| * | S | BR | BS | SM_AMIGA_5_ +| * | S | BS | BR | RN_BG_000 | | | | | BGACK_000 @@ -691,13 +693,13 @@ Equations : +-----+-----+-----+-----+------------------------ | * | S | BS | BR | E | * | S | BS | BR | CLK_DIV_OUT -| * | S | BR | BS | inst_CLK_000_D0 +| * | S | BR | BS | SM_AMIGA_7_ | * | S | BS | BR | RN_E -| * | S | BS | BR | cpu_est_0_ -| * | S | BS | BR | SM_AMIGA_1_ -| * | S | BR | BS | inst_VPA_SYNC -| * | S | BR | BS | inst_DTACK_SYNC -| * | S | BS | BR | CLK_OUT_INTreg +| * | S | BS | BR | SM_AMIGA_4_ +| * | S | BS | BR | inst_CLK_OUT_PRE +| * | S | BS | BR | CLK_CNT_P_0_ +| * | S | BR | BS | inst_CLK_000_D5 +| * | S | BS | BR | SM_AMIGA_0_ | | | | | RW | | | | | SIZE_0_ | | | | | A_0_ @@ -716,17 +718,17 @@ Equations : | * | S | BS | BR | BGACK_030 | * | S | BS | BR | FPU_CS | | | | | DSACK_0_ +| * | S | BR | BS | cpu_est_0_ | * | S | BS | BR | RN_FPU_CS -| * | S | BS | BR | SM_AMIGA_7_ -| * | S | BS | BR | RN_BGACK_030 | * | S | BR | BS | SM_AMIGA_6_ -| * | S | BS | BR | inst_CLK_000_D4 -| * | S | BS | BR | inst_CLK_000_D5 | * | S | BS | BR | inst_AS_030_000_SYNC -| * | S | BR | BS | SM_AMIGA_0_ -| * | S | BS | BR | RN_DSACK_1_ +| * | S | BR | BS | SM_AMIGA_1_ +| * | S | BS | BR | RN_BGACK_030 | * | S | BS | BR | inst_CLK_000_D3 -| * | S | BS | BR | inst_CLK_000_D2 +| * | S | BS | BR | inst_CLK_000_D6 +| * | S | BS | BR | RN_DSACK_1_ +| * | S | BS | BR | inst_CLK_000_D4 +| * | S | BR | BS | CLK_CNT_P_1_ | | | | | AS_030 | | | | | A_22_ | | | | | A_23_ @@ -747,23 +749,23 @@ BLOCK_B_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx B0 IPL_0_ pin 67 mx B17 ... ... -mx B1 RN_IPL_030_1_ mcell B12 mx B18 ... ... -mx B2 CLK_CNT_N_0_ mcell B10 mx B19 ... ... -mx B3 IPL_1_ pin 56 mx B20 SM_AMIGA_4_ mcell D10 -mx B4 IPL_2_ pin 68 mx B21 RST pin 86 -mx B5 CLK_CNT_N_1_ mcell B3 mx B22 inst_VPA_SYNC mcell G5 -mx B6 SM_AMIGA_2_ mcell B9 mx B23 ... ... -mx B7 inst_CLK_000_D1 mcell D9 mx B24 ... ... +mx B0 RST pin 86 mx B17 RN_VMA mcell D1 +mx B1 RN_IPL_030_1_ mcell B12 mx B18 inst_VPA_D mcell B2 +mx B2 RN_E mcell G4 mx B19 AS_030 pin 82 +mx B3 inst_CLK_000_D0 mcell D2 mx B20 inst_DTACK_SYNC mcell B14 +mx B4 cpu_est_1_ mcell D6 mx B21 IPL_1_ pin 56 +mx B5 ... ... mx B22 IPL_2_ pin 68 +mx B6 CLK_CNT_N_0_ mcell B9 mx B23 ... ... +mx B7 inst_CLK_000_D1 mcell D9 mx B24inst_CLK_OUT_PRE mcell G1 mx B8 RN_IPL_030_0_ mcell B8 mx B25 ... ... -mx B9 CLK_CNT_P_1_ mcell B14 mx B26 ... ... +mx B9 DTACK pin 30 mx B26 ... ... mx B10 VPA pin 36 mx B27 RN_IPL_030_2_ mcell B4 -mx B11 CLK_CNT_P_0_ mcell B6 mx B28 SM_AMIGA_3_ mcell B13 -mx B12 inst_DTACK_SYNC mcell G9 mx B29 ... ... -mx B13 inst_CLK_000_D0 mcell G8 mx B30 ... ... -mx B14 ... ... mx B31inst_CLK_OUT_PRE mcell B5 +mx B11 SM_AMIGA_3_ mcell B6 mx B28 CLK_CNT_N_1_ mcell B13 +mx B12 inst_VPA_SYNC mcell B10 mx B29 ... ... +mx B13 ... ... mx B30 ... ... +mx B14 SM_AMIGA_4_ mcell G12 mx B31 SM_AMIGA_2_ mcell B5 mx B15 ... ... mx B32 ... ... -mx B16 ... ... +mx B16 IPL_0_ pin 67 ---------------------------------------------------------------------------- @@ -795,23 +797,23 @@ BLOCK_D_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx D0 A_0_ pin 69 mx D17 SM_AMIGA_5_ mcell D14 -mx D1 ... ... mx D18 inst_VPA_D mcell B2 -mx D2 RN_VMA mcell D1 mx D19 SM_AMIGA_7_ mcell H1 -mx D3 cpu_est_1_ mcell D2 mx D20 RN_BGACK_030 mcell H4 -mx D4 cpu_est_2_ mcell D6 mx D21 RN_E mcell G4 -mx D5 RN_UDS_000 mcell D12 mx D22 BG_030 pin 21 -mx D6 SIZE_1_ pin 79 mx D23 cpu_est_0_ mcell G12 -mx D7 inst_CLK_000_D1 mcell D9 mx D24 RST pin 86 -mx D8 RW pin 71 mx D25 CLK_OUT_INTreg mcell G13 +mx D0 SIZE_0_ pin 70 mx D17 SM_AMIGA_5_ mcell D14 +mx D1 RN_BG_000 mcell D13 mx D18 A_0_ pin 69 +mx D2 RN_E mcell G4 mx D19 cpu_est_0_ mcell H1 +mx D3 inst_CLK_000_D0 mcell D2 mx D20 RN_BGACK_030 mcell H4 +mx D4 BG_030 pin 21 mx D21 RST pin 86 +mx D5 RN_LDS_000 mcell D8 mx D22 ... ... +mx D6 SIZE_1_ pin 79 mx D23 SM_AMIGA_4_ mcell G12 +mx D7 inst_CLK_000_D1 mcell D9 mx D24 RN_AS_000 mcell D5 +mx D8 RW pin 71 mx D25 inst_VPA_D mcell B2 mx D9 AS_030 pin 82 mx D26 ... ... -mx D10RN_AMIGA_BUS_ENABLE mcell D4 mx D27 ... ... -mx D11 RN_AS_000 mcell D5 mx D28 inst_CLK_000_D0 mcell G8 +mx D10RN_AMIGA_BUS_ENABLE mcell D4 mx D27 RN_VMA mcell D1 +mx D11 RN_UDS_000 mcell D12 mx D28 SM_AMIGA_7_ mcell G8 mx D12 DS_030 pin 98 mx D29 CLK_OSZI pin 61 -mx D13 SM_AMIGA_6_ mcell H5 mx D30 SM_AMIGA_4_ mcell D10 -mx D14 SIZE_0_ pin 70 mx D31 ... ... +mx D13 SM_AMIGA_6_ mcell H5 mx D30 cpu_est_2_ mcell D10 +mx D14 CLK_000 pin 11 mx D31 ... ... mx D15 nEXP_SPACE pin 14 mx D32 DSACK_1_ pin 81 -mx D16 RN_LDS_000 mcell D8 +mx D16 cpu_est_1_ mcell D6 ---------------------------------------------------------------------------- @@ -843,23 +845,23 @@ BLOCK_G_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx G0 RST pin 86 mx G17 ... ... -mx G1 ... ... mx G18 ... ... -mx G2 RN_VMA mcell D1 mx G19 inst_CLK_000_D5 mcell H13 -mx G3 cpu_est_1_ mcell D2 mx G20 ... ... -mx G4 SM_AMIGA_1_ mcell G1 mx G21 SM_AMIGA_3_ mcell B13 -mx G5 inst_CLK_000_D4 mcell H9 mx G22 inst_VPA_SYNC mcell G5 -mx G6 SM_AMIGA_2_ mcell B9 mx G23 DTACK pin 30 -mx G7 cpu_est_0_ mcell G12 mx G24 ... ... +mx G0 RST pin 86 mx G17 SM_AMIGA_5_ mcell D14 +mx G1 ... ... mx G18 inst_CLK_000_D4 mcell H10 +mx G2 CLK_CNT_P_1_ mcell H14 mx G19 cpu_est_0_ mcell H1 +mx G3 inst_CLK_000_D0 mcell D2 mx G20 cpu_est_2_ mcell D10 +mx G4 SM_AMIGA_6_ mcell H5 mx G21 inst_CLK_000_D6 mcell H6 +mx G5inst_AS_030_000_SYNC mcell H9 mx G22 CLK_CNT_P_0_ mcell G5 +mx G6 CLK_CNT_N_0_ mcell B9 mx G23 SM_AMIGA_4_ mcell G12 +mx G7 RN_AS_000 mcell D5 mx G24inst_CLK_OUT_PRE mcell G1 mx G8 ... ... mx G25 inst_CLK_000_D1 mcell D9 -mx G9 AS_030 pin 82 mx G26 ... ... -mx G10 inst_VPA_D mcell B2 mx G27 ... ... -mx G11 RN_E mcell G4 mx G28inst_CLK_OUT_PRE mcell B5 -mx G12 inst_DTACK_SYNC mcell G9 mx G29 ... ... -mx G13 inst_CLK_000_D0 mcell G8 mx G30 ... ... -mx G14 CLK_000 pin 11 mx G31 ... ... -mx G15 ... ... mx G32 ... ... -mx G16 cpu_est_2_ mcell D6 +mx G9 inst_CLK_000_D2 mcell D3 mx G26 ... ... +mx G10 CLK_CNT_N_1_ mcell B13 mx G27 inst_CLK_000_D5 mcell G9 +mx G11 RN_E mcell G4 mx G28 SM_AMIGA_1_ mcell H13 +mx G12 SM_AMIGA_0_ mcell G13 mx G29 ... ... +mx G13 SM_AMIGA_7_ mcell G8 mx G30 ... ... +mx G14 inst_CLK_000_D3 mcell H2 mx G31 ... ... +mx G15 nEXP_SPACE pin 14 mx G32 ... ... +mx G16 cpu_est_1_ mcell D6 ---------------------------------------------------------------------------- @@ -867,23 +869,23 @@ BLOCK_H_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx H0 RST pin 86 mx H17 A_18_ pin 95 -mx H1 FC_1_ pin 58 mx H18 inst_CLK_000_D3 mcell H10 -mx H2 inst_CLK_000_D2 mcell H14 mx H19 inst_CLK_000_D5 mcell H13 +mx H0 RST pin 86 mx H17 FC_0_ pin 57 +mx H1 FC_1_ pin 58 mx H18 BGACK_000 pin 28 +mx H2 ... ... mx H19 AS_030 pin 82 mx H3 RN_DSACK_1_ mcell H8 mx H20 CLK_030 pin 64 -mx H4 BGACK_000 pin 28 mx H21 ... ... -mx H5 nEXP_SPACE pin 14 mx H22 ... ... -mx H6 FC_0_ pin 57 mx H23 RN_BGACK_030 mcell H4 -mx H7 SM_AMIGA_0_ mcell H6 mx H24 RN_AS_000 mcell D5 +mx H4 A_18_ pin 95 mx H21 inst_CLK_000_D6 mcell H6 +mx H5inst_AS_030_000_SYNC mcell H9 mx H22 CLK_CNT_P_0_ mcell G5 +mx H6 A_19_ pin 97 mx H23 RN_BGACK_030 mcell H4 +mx H7 SM_AMIGA_1_ mcell H13 mx H24 ... ... mx H8 A_17_ pin 59 mx H25 inst_CLK_000_D1 mcell D9 -mx H9 AS_030 pin 82 mx H26 ... ... -mx H10 SM_AMIGA_7_ mcell H1 mx H27 inst_CLK_000_D4 mcell H9 -mx H11 A_16_ pin 96 mx H28 inst_CLK_000_D0 mcell G8 -mx H12 A_19_ pin 97 mx H29 ... ... +mx H9 inst_CLK_000_D2 mcell D3 mx H26 ... ... +mx H10 SM_AMIGA_7_ mcell G8 mx H27 inst_CLK_000_D5 mcell G9 +mx H11 A_16_ pin 96 mx H28 SM_AMIGA_2_ mcell B5 +mx H12 cpu_est_0_ mcell H1 mx H29 ... ... mx H13 SM_AMIGA_6_ mcell H5 mx H30 RN_FPU_CS mcell H0 -mx H14inst_AS_030_000_SYNC mcell H2 mx H31 ... ... -mx H15 ... ... mx H32 SM_AMIGA_1_ mcell G1 -mx H16 ... ... +mx H14 inst_CLK_000_D3 mcell H2 mx H31 ... ... +mx H15 nEXP_SPACE pin 14 mx H32 ... ... +mx H16 inst_CLK_000_D0 mcell D2 ---------------------------------------------------------------------------- CSM indicates the mux inputs from the Central Switch Matrix. @@ -903,9 +905,6 @@ PostFit_Equations 1 1 1 Pin CLK_DIV_OUT.AR 1 1 1 Pin CLK_DIV_OUT.D 1 1 1 Pin CLK_DIV_OUT.C - 1 1 1 Pin CLK_EXP.AR - 1 1 1 Pin CLK_EXP.D - 1 1 1 Pin CLK_EXP.C 1 0 1 Pin AVEC 0 0 1 Pin AVEC_EXP 1 1 1 Pin AVEC_EXP.OE @@ -934,16 +933,19 @@ PostFit_Equations 8 9 1 Pin LDS_000.D 1 1 1 Pin LDS_000.AP 1 1 1 Pin LDS_000.C - 1 7 1 Pin BG_000.D- + 2 6 1 Pin BG_000.D- 1 1 1 Pin BG_000.AP 1 1 1 Pin BG_000.C 2 4 1 Pin BGACK_030.D 1 1 1 Pin BGACK_030.AP 1 1 1 Pin BGACK_030.C + 1 1 1 Pin CLK_EXP.AR + 1 1 1 Pin CLK_EXP.D + 1 1 1 Pin CLK_EXP.C 2 10 1 Pin FPU_CS.D- 1 1 1 Pin FPU_CS.AP 1 1 1 Pin FPU_CS.C - 1 1 1 Pin DTACK.OE + 1 2 1 Pin DTACK.OE 1 2 1 Pin DTACK.D- 1 1 1 Pin DTACK.AP 1 1 1 Pin DTACK.C @@ -966,9 +968,6 @@ PostFit_Equations 3 4 1 Pin IPL_030_0_.D 1 1 1 Pin IPL_030_0_.AP 1 1 1 Pin IPL_030_0_.C - 1 1 1 Node CLK_OUT_INTreg.AR - 1 1 1 Node CLK_OUT_INTreg.D - 1 1 1 Node CLK_OUT_INTreg.C 7 16 1 Node inst_AS_030_000_SYNC.D 1 1 1 Node inst_AS_030_000_SYNC.AP 1 1 1 Node inst_AS_030_000_SYNC.C @@ -990,9 +989,9 @@ PostFit_Equations 1 1 1 Node inst_CLK_000_D2.D 1 1 1 Node inst_CLK_000_D2.AP 1 1 1 Node inst_CLK_000_D2.C - 1 1 1 Node inst_CLK_000_D5.D - 1 1 1 Node inst_CLK_000_D5.AP - 1 1 1 Node inst_CLK_000_D5.C + 1 1 1 Node inst_CLK_000_D6.D + 1 1 1 Node inst_CLK_000_D6.AP + 1 1 1 Node inst_CLK_000_D6.C 1 1 1 Node SM_AMIGA_5_.AR 2 3 1 Node SM_AMIGA_5_.D 1 1 1 Node SM_AMIGA_5_.C @@ -1005,9 +1004,9 @@ PostFit_Equations 1 1 1 Node SM_AMIGA_4_.AR 2 3 1 Node SM_AMIGA_4_.D 1 1 1 Node SM_AMIGA_4_.C - 1 1 1 Node inst_CLK_000_D4.D - 1 1 1 Node inst_CLK_000_D4.AP - 1 1 1 Node inst_CLK_000_D4.C + 1 1 1 Node inst_CLK_000_D5.D + 1 1 1 Node inst_CLK_000_D5.AP + 1 1 1 Node inst_CLK_000_D5.C 5 9 1 Node SM_AMIGA_7_.D 1 1 1 Node SM_AMIGA_7_.AP 1 1 1 Node SM_AMIGA_7_.C @@ -1020,8 +1019,8 @@ PostFit_Equations 1 1 1 Node CLK_CNT_N_0_.AR 2 2 1 Node CLK_CNT_N_0_.D 1 1 1 Node CLK_CNT_N_0_.C - 1 1 1 Node CLK_CNT_N_1_.AR 1 1 1 Node CLK_CNT_N_1_.D + 1 1 1 Node CLK_CNT_N_1_.AP 1 1 1 Node CLK_CNT_N_1_.C 1 1 1 Node CLK_CNT_P_0_.AR 2 2 1 Node CLK_CNT_P_0_.D @@ -1035,6 +1034,9 @@ PostFit_Equations 1 1 1 Node SM_AMIGA_0_.AR 4 6 1 Node SM_AMIGA_0_.D 1 1 1 Node SM_AMIGA_0_.C + 1 1 1 Node inst_CLK_000_D4.D + 1 1 1 Node inst_CLK_000_D4.AP + 1 1 1 Node inst_CLK_000_D4.C 1 1 1 Node inst_CLK_OUT_PRE.AR 4 4 1 Node inst_CLK_OUT_PRE.D 1 1 1 Node inst_CLK_OUT_PRE.C @@ -1049,7 +1051,7 @@ PostFit_Equations 1 1 1 Node cpu_est_2_.AR 1 1 1 Node cpu_est_2_.C ========= - 210 P-Term Total: 210 + 211 P-Term Total: 211 Total Pins: 59 Total Nodes: 27 Average P-Term/Output: 2 @@ -1067,12 +1069,6 @@ CLK_DIV_OUT.D = (inst_CLK_OUT_PRE.Q); CLK_DIV_OUT.C = (CLK_OSZI); -CLK_EXP.AR = (!RST); - -CLK_EXP.D = (inst_CLK_OUT_PRE.Q); - -CLK_EXP.C = (CLK_OSZI); - AVEC = (1); AVEC_EXP = (0); @@ -1102,7 +1098,7 @@ IPL_030_2_.C = (CLK_OSZI); DSACK_1_.OE = (nEXP_SPACE); !DSACK_1_.D = (!AS_030 & !DSACK_1_.Q - # !inst_CLK_000_D5.Q & inst_CLK_000_D4.Q & SM_AMIGA_1_.Q); + # !inst_CLK_000_D6.Q & inst_CLK_000_D5.Q & SM_AMIGA_1_.Q); DSACK_1_.AP = (!RST); @@ -1144,7 +1140,8 @@ LDS_000.AP = (!RST); LDS_000.C = (CLK_OSZI); -!BG_000.D = (AS_030 & nEXP_SPACE & !BG_030 & CLK_OUT_INTreg.Q & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & SM_AMIGA_7_.Q); +!BG_000.D = (!BG_030 & !BG_000.Q + # AS_030 & nEXP_SPACE & !BG_030 & CLK_000 & SM_AMIGA_7_.Q); BG_000.AP = (!RST); @@ -1157,6 +1154,12 @@ BGACK_030.AP = (!RST); BGACK_030.C = (CLK_OSZI); +CLK_EXP.AR = (!RST); + +CLK_EXP.D = (inst_CLK_OUT_PRE.Q); + +CLK_EXP.C = (CLK_OSZI); + !FPU_CS.D = (!AS_030 & !FPU_CS.Q # FC_1_ & !AS_030 & BGACK_000 & CLK_030 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_); @@ -1164,7 +1167,7 @@ FPU_CS.AP = (!RST); FPU_CS.C = (CLK_OSZI); -DTACK.OE = (!BGACK_030.Q); +DTACK.OE = (!nEXP_SPACE & !BGACK_030.Q); !DTACK.D = (!AS_000.Q & !DSACK_1_.PIN); @@ -1219,18 +1222,12 @@ IPL_030_0_.AP = (!RST); IPL_030_0_.C = (CLK_OSZI); -CLK_OUT_INTreg.AR = (!RST); - -CLK_OUT_INTreg.D = (inst_CLK_OUT_PRE.Q); - -CLK_OUT_INTreg.C = (CLK_OSZI); - inst_AS_030_000_SYNC.D = (AS_030 # !nEXP_SPACE & inst_AS_030_000_SYNC.Q # !CLK_030 & inst_AS_030_000_SYNC.Q # !nEXP_SPACE & SM_AMIGA_6_.Q # inst_AS_030_000_SYNC.Q & !SM_AMIGA_7_.Q - # !inst_CLK_000_D5.Q & inst_CLK_000_D4.Q & SM_AMIGA_1_.Q + # !inst_CLK_000_D6.Q & inst_CLK_000_D5.Q & SM_AMIGA_1_.Q # FC_1_ & BGACK_000 & !A_19_ & !A_18_ & A_17_ & !A_16_ & FC_0_ & inst_AS_030_000_SYNC.Q); inst_AS_030_000_SYNC.AP = (!RST); @@ -1275,11 +1272,11 @@ inst_CLK_000_D2.AP = (!RST); inst_CLK_000_D2.C = (CLK_OSZI); -inst_CLK_000_D5.D = (inst_CLK_000_D4.Q); +inst_CLK_000_D6.D = (inst_CLK_000_D5.Q); -inst_CLK_000_D5.AP = (!RST); +inst_CLK_000_D6.AP = (!RST); -inst_CLK_000_D5.C = (CLK_OSZI); +inst_CLK_000_D6.C = (CLK_OSZI); SM_AMIGA_5_.AR = (!RST); @@ -1308,11 +1305,11 @@ SM_AMIGA_4_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_5_.Q SM_AMIGA_4_.C = (CLK_OSZI); -inst_CLK_000_D4.D = (inst_CLK_000_D3.Q); +inst_CLK_000_D5.D = (inst_CLK_000_D4.Q); -inst_CLK_000_D4.AP = (!RST); +inst_CLK_000_D5.AP = (!RST); -inst_CLK_000_D4.C = (CLK_OSZI); +inst_CLK_000_D5.C = (CLK_OSZI); SM_AMIGA_7_.D = (inst_AS_030_000_SYNC.Q & SM_AMIGA_7_.Q # inst_CLK_000_D2.Q & SM_AMIGA_7_.Q @@ -1336,7 +1333,7 @@ SM_AMIGA_1_.AR = (!RST); SM_AMIGA_1_.D = (inst_CLK_000_D0.Q & SM_AMIGA_1_.Q # inst_CLK_000_D0.Q & SM_AMIGA_2_.Q - # !inst_CLK_000_D5.Q & inst_CLK_000_D4.Q & SM_AMIGA_1_.Q); + # !inst_CLK_000_D6.Q & inst_CLK_000_D5.Q & SM_AMIGA_1_.Q); SM_AMIGA_1_.C = (CLK_OSZI); @@ -1347,10 +1344,10 @@ CLK_CNT_N_0_.D = (CLK_CNT_N_0_.Q & CLK_CNT_N_1_.Q CLK_CNT_N_0_.C = (!CLK_OSZI); -CLK_CNT_N_1_.AR = (!RST); - CLK_CNT_N_1_.D = (CLK_CNT_N_0_.Q); +CLK_CNT_N_1_.AP = (!RST); + CLK_CNT_N_1_.C = (!CLK_OSZI); CLK_CNT_P_0_.AR = (!RST); @@ -1378,11 +1375,17 @@ SM_AMIGA_0_.AR = (!RST); SM_AMIGA_0_.D = (!AS_000.Q & SM_AMIGA_0_.Q # !inst_CLK_000_D0.Q & SM_AMIGA_0_.Q - # !inst_CLK_000_D0.Q & inst_CLK_000_D5.Q & SM_AMIGA_1_.Q - # !inst_CLK_000_D0.Q & !inst_CLK_000_D4.Q & SM_AMIGA_1_.Q); + # !inst_CLK_000_D0.Q & inst_CLK_000_D6.Q & SM_AMIGA_1_.Q + # !inst_CLK_000_D0.Q & !inst_CLK_000_D5.Q & SM_AMIGA_1_.Q); SM_AMIGA_0_.C = (CLK_OSZI); +inst_CLK_000_D4.D = (inst_CLK_000_D3.Q); + +inst_CLK_000_D4.AP = (!RST); + +inst_CLK_000_D4.C = (CLK_OSZI); + inst_CLK_OUT_PRE.AR = (!RST); inst_CLK_OUT_PRE.D = (!CLK_CNT_N_0_.Q & CLK_CNT_N_1_.Q & !CLK_CNT_P_0_.Q & CLK_CNT_P_1_.Q diff --git a/Logic/68030_tk.tal b/Logic/68030_tk.tal index b5607d6..78df47e 100644 --- a/Logic/68030_tk.tal +++ b/Logic/68030_tk.tal @@ -44,7 +44,8 @@ AMIGA_BUS_DATA_DIR .. .. .. .. 1 1 .. .. RN_UDS_000 1 1 0 0 .. .. 1 1 LDS_000 1 1 0 0 .. .. 1 1 RN_LDS_000 1 1 0 0 .. .. 1 1 - BG_000 1 1 0 0 .. .. .. .. + BG_000 1 1 0 0 .. .. 1 1 + RN_BG_000 1 1 0 0 .. .. 1 1 BGACK_030 1 1 0 0 .. .. 1 1 RN_BGACK_030 1 1 0 0 .. .. 1 1 FPU_CS 1 1 0 0 .. .. 1 1 @@ -60,7 +61,6 @@ RN_AMIGA_BUS_ENABLE 1 1 0 0 .. .. 1 1 RN_IPL_030_1_ 1 1 0 0 .. .. 1 1 IPL_030_0_ 1 1 0 0 .. .. 1 1 RN_IPL_030_0_ 1 1 0 0 .. .. 1 1 - CLK_OUT_INTreg .. .. .. .. .. .. 1 1 inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 1 inst_DTACK_SYNC 1 1 .. .. .. .. 1 1 inst_VPA_D 1 1 .. .. .. .. 1 1 @@ -68,12 +68,12 @@ inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 1 inst_CLK_000_D0 1 1 .. .. .. .. 1 1 inst_CLK_000_D1 .. .. .. .. .. .. 1 1 inst_CLK_000_D2 .. .. .. .. .. .. 1 1 - inst_CLK_000_D5 .. .. .. .. .. .. 1 1 + inst_CLK_000_D6 .. .. .. .. .. .. 1 1 SM_AMIGA_5_ .. .. .. .. .. .. 1 1 SM_AMIGA_6_ 1 1 .. .. .. .. 1 1 inst_CLK_000_D3 .. .. .. .. .. .. 1 1 SM_AMIGA_4_ .. .. .. .. .. .. 1 1 - inst_CLK_000_D4 .. .. .. .. .. .. 1 1 + inst_CLK_000_D5 .. .. .. .. .. .. 1 1 SM_AMIGA_7_ 1 1 .. .. .. .. 1 1 SM_AMIGA_3_ .. .. .. .. .. .. 1 1 SM_AMIGA_1_ .. .. .. .. .. .. 1 1 @@ -83,6 +83,7 @@ inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 1 CLK_CNT_P_1_ .. .. .. .. .. .. 1 1 SM_AMIGA_2_ .. .. .. .. .. .. 1 1 SM_AMIGA_0_ .. .. .. .. .. .. 1 1 + inst_CLK_000_D4 .. .. .. .. .. .. 1 1 inst_CLK_OUT_PRE .. .. .. .. .. .. 1 1 cpu_est_0_ .. .. .. .. .. .. 1 1 cpu_est_1_ .. .. .. .. .. .. 1 1 diff --git a/Logic/68030_tk.tt2 b/Logic/68030_tk.tt2 index 4d5bda5..a83d918 100644 --- a/Logic/68030_tk.tt2 +++ b/Logic/68030_tk.tt2 @@ -1,329 +1,330 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sat May 24 16:11:50 2014 +#$ DATE Sat May 24 19:56:20 2014 #$ MODULE 68030_tk -#$ PINS 59 SIZE_1_ A_31_ IPL_2_ SIZE_0_ A_30_ A_29_ A_28_ FC_1_ A_27_ AS_030 A_26_ A_25_ DS_030 A_24_ A_23_ A_22_ nEXP_SPACE A_21_ BERR A_20_ BG_030 A_19_ A_18_ A_17_ BGACK_000 A_16_ CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP AVEC AVEC_EXP VPA RST RW A_0_ AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN IPL_1_ IPL_0_ DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_ -#$ NODES 27 CLK_OUT_INTreg inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D5 SM_AMIGA_5_ SM_AMIGA_6_ inst_CLK_000_D3 SM_AMIGA_4_ inst_CLK_000_D4 SM_AMIGA_7_ SM_AMIGA_3_ SM_AMIGA_1_ CLK_CNT_N_0_ CLK_CNT_N_1_ CLK_CNT_P_0_ CLK_CNT_P_1_ SM_AMIGA_2_ SM_AMIGA_0_ inst_CLK_OUT_PRE cpu_est_0_ cpu_est_1_ cpu_est_2_ +#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 SIZE_0_ DS_030 A_30_ A_29_ A_28_ nEXP_SPACE A_27_ BERR A_26_ BG_030 A_25_ A_24_ A_23_ BGACK_000 A_22_ CLK_030 A_21_ CLK_000 A_20_ CLK_OSZI A_19_ CLK_DIV_OUT A_18_ A_17_ A_16_ AVEC AVEC_EXP VPA RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_ DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 CLK_EXP FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_ +#$ NODES 27 inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D6 SM_AMIGA_5_ SM_AMIGA_6_ inst_CLK_000_D3 SM_AMIGA_4_ inst_CLK_000_D5 SM_AMIGA_7_ SM_AMIGA_3_ SM_AMIGA_1_ CLK_CNT_N_0_ CLK_CNT_N_1_ CLK_CNT_P_0_ CLK_CNT_P_1_ SM_AMIGA_2_ SM_AMIGA_0_ inst_CLK_000_D4 inst_CLK_OUT_PRE cpu_est_0_ cpu_est_1_ cpu_est_2_ .type fr -.i 76 -.o 143 -.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ CLK_OUT_INTreg.Q IPL_030_0_.Q BGACK_030.Q FPU_CS.Q IPL_030_1_.Q VMA.Q AS_000.Q IPL_030_2_.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D5.Q SM_AMIGA_5_.Q SM_AMIGA_6_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q inst_CLK_000_D3.Q SM_AMIGA_4_.Q inst_CLK_000_D4.Q SM_AMIGA_7_.Q SM_AMIGA_3_.Q SM_AMIGA_1_.Q AMIGA_BUS_ENABLE.Q CLK_CNT_N_0_.Q CLK_CNT_N_1_.Q CLK_CNT_P_0_.Q CLK_CNT_P_1_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q inst_CLK_OUT_PRE.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q DSACK_1_.PIN DTACK.PIN -.ob BERR CLK_DIV_OUT CLK_EXP AVEC AVEC_EXP AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN cpu_est_2_.C cpu_est_2_.AR E.C E.AR cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AR CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP inst_VPA_SYNC.C inst_VPA_SYNC.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP AS_000.C AS_000.AP inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP FPU_CS.C FPU_CS.AP DSACK_1_.C DSACK_1_.AP AMIGA_BUS_ENABLE.C DTACK.C DTACK.AP inst_CLK_000_D5.C inst_CLK_000_D5.AP BG_000.C BG_000.AP inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_VPA_D.C inst_VPA_D.AP inst_CLK_000_D0.C inst_CLK_000_D0.AP RESET.C RESET.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_OUT_INTreg.D IPL_030_0_.D BGACK_030.D FPU_CS.D IPL_030_1_.D VMA.D AS_000.D IPL_030_2_.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D inst_VPA_D.D inst_VPA_SYNC.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D5.D SM_AMIGA_5_.D SM_AMIGA_6_.D UDS_000.D LDS_000.D DSACK_1_.D inst_CLK_000_D3.D SM_AMIGA_4_.D RESET.D inst_CLK_000_D4.D DTACK.D SM_AMIGA_7_.D SM_AMIGA_3_.D SM_AMIGA_1_.D AMIGA_BUS_ENABLE.D CLK_CNT_N_0_.D CLK_CNT_N_1_.D CLK_CNT_P_0_.D CLK_CNT_P_1_.D SM_AMIGA_2_.D SM_AMIGA_0_.D inst_CLK_OUT_PRE.D cpu_est_0_.D cpu_est_1_.T cpu_est_2_.D E.D BG_000.D -.p 317 ----------------------------------------------------------------------------- ~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ -----1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----11---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~ -------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1---------------------------------------------------------------- ~~~~~~~~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------- ~~~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------0------------------------------------------------------------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0--------------0000000----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------1111------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1---------------------------------------- ~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1----------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0-----------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0----------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1----------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1---------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0--------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1-------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0---1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -------0------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0---------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1----1------------------0010---1--------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1----------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1----1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1----------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------10------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-----1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------11----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----1------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1----------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1----0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1--0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------10---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------------1-----------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1-----1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1--------------------------------------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1--------------------------------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ------0--------1----------------1-------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -0----0--------11---------------0-------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1---1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0---1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -----1----------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------1------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1---------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0---------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------1--------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ------------------------------------------------1----1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ -------0----------------------------------------0----1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -----1--------1--------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ------1-----------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ ---------------1------------------------------------0-1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ ------1------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ---------------1------------------------------------0--1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1----1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ------0--------0----------------1-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -0----0--------01---------------0-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ------------------------------------------------0---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -----1---------0------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~ ---------------0--------------------------------------1---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ ---------------0---------------------------------------1--0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1--0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1---------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ --------------------------------------------------1---------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ --------------------------------------------0-----0------1--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ ------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------------------1---------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1----------------------------------------0----1------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-1-------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ------------------------------------------------1------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ---------------------------------------------0--0------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -----------------------------------------------00------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -----1-------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1---------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1-------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ------------------------------------------------0--1----------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ---------------------------------------------------0-------1--1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ------------------------------------------------0----------0--1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -----1--------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1-----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ -----------------------------------------------------0---------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ----------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ ----------------------------------------------------------------11----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ----------------------------------------------------------------00----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ ------------------------------------------------------------------11--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ----------------------------------------------------------------0101--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------------------------------------1001--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------------------------------------0110--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------------------------------------1010--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ------------------------------------------------------------------00--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ------------------------------------------------1-------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ------------------------------------------------0-------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ ------------------------------------------0--------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ------------------------------------------1-----1--------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ ------------------------------------------------0--------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ----------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0----------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -------------------------------------------------1---------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------------------1-----------------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10---------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----1------------------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10---------------------00---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------0------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ -------------------------------------------------1-----------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------------------------------11--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------10---------------------1-0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ------------------------------------------------10----------------------00--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ------------------------------------------------0-------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------------------------1------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ------------------------------------------------10---------------------1--1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------------------------------0-1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ------------------------------------------------10----------------------011-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------------------------------------------------01-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ------------------------------------------------10---------------------1-01-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -----1--------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1--------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10---------------------1-10-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ------------------------------------------1-----1----------------------0110-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10----------------------000-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -----1----------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0---------------------------------------------------------------- ~~~~~~~~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------- ~~~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1------------------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0---------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0--------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------0-------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------0------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----10---11-----------------0010---1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------- ~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------00---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-----------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~ ------------------------------------------1-----1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~0~~~ -------------------------------------0----------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0---------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0----0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0--0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -----------------------------------------------00---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -------------------------------------0-----------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0----------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0-----1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0--------------------------------------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0-------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -----0-1------------------------------------0------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ----------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1----0--------1------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------10-----------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------1----------------1-------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------1----------------0-------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -------1------1--------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -----0--------------------------------------0------1-0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -----01-----------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -----0---------1------------------------------------0-0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -----01------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0---------1------------------------------------0--0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0-0---1-0------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -------1------------------------------------0---0-0------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ --------------------------------------------0---0-0--0---1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ---------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -1----0--------0------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------00-----------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------0----------------1-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------0----------------0-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0-----0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -----0---------0--------------------------------------0---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -----0---------0---------------------------------------0--0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1------------------------------------0--------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0----------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -----0--------------------------------------0--------0-----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1---------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -----1-10---------------------------1-----------10----------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------------------------1---------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ----00-1--1----------------------------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1-01----------------------------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-----------------1----------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------1---------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-------------------0--------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1--------------------1-------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------------0---------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ----00-1--1------------------------------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1-01------------------------------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-----------------1------------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------1-----------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-------------------0----------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1--------------------1---------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------------0-----------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0----------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1-----------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0-----1-----------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -------1----------------------------------------0-----------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -----------------------------------------------------0------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0----0------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ------------------------------------------------0------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ----------------------------------------------------------0--0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ---------------------------------------------------0-------1--1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -----0-1------------------------------------0-----------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0-------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -----0--------------------------------------0--------0--------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----00-1--1-------------------------------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1-01-------------------------------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-----------------1-------------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------1------------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-------------------0-----------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1--------------------1----------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------------0------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -----0-----------------------------------------------0---------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ----------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ----------------------------------------------------------------11----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------------------------01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ----------------------------------------------------------------10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ----------------------------------------------------------------00----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------------------------11--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------------------------------------------01--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------------------------10--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------------------------00--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------1-1--------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------------------------------------------0------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ --------------------------------------------------------------0-----0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ------------------------------------------------1--------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------------------0---1-0------1-----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ---------------------------------------------------0-------1---------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------1-----------0--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ --------------------------------------------------------------0------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0-----------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10---------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------0----------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------1---------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------------0------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-0----------------------10---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10----------------------11--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -----------------------------------------0-------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------------------------------------------1-----------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -----------------------------------------------------------------------010--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -----------------------------------------0--------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0----0-1------------1----------0-1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------111-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -----------------------------------------------------------------------0-01-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ------------------------------------------------0-------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------------------------------------------1------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -----------------------------------------------------------------------01-0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ ------------------------------------------------10---------------------10-0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------------------------------------------------------------------10-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -----------------------------------------------------------------------0-10-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -----------------------------------------------------------------------1-00-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ------------------------------------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ------------------------------------------0--------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ----------------------------------------------1-1------------1--------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +.i 77 +.o 147 +.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q IPL_030_0_.Q VMA.Q AS_000.Q IPL_030_1_.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q IPL_030_2_.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D6.Q SM_AMIGA_5_.Q SM_AMIGA_6_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q inst_CLK_000_D3.Q SM_AMIGA_4_.Q inst_CLK_000_D5.Q SM_AMIGA_7_.Q SM_AMIGA_3_.Q SM_AMIGA_1_.Q CLK_CNT_N_0_.Q CLK_CNT_N_1_.Q AMIGA_BUS_ENABLE.Q CLK_CNT_P_0_.Q CLK_CNT_P_1_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q inst_CLK_000_D4.Q inst_CLK_OUT_PRE.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q BG_000.Q DSACK_1_.PIN DTACK.PIN +.ob BERR AVEC AVEC_EXP AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR cpu_est_0_.C cpu_est_0_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP inst_VPA_SYNC.C inst_VPA_SYNC.AP VMA.C VMA.AP BG_000.C BG_000.AP BGACK_030.C BGACK_030.AP AS_000.C AS_000.AP inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR CLK_EXP.C CLK_EXP.AR inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP FPU_CS.C FPU_CS.AP DSACK_1_.C DSACK_1_.AP AMIGA_BUS_ENABLE.C DTACK.C DTACK.AP inst_CLK_000_D5.C inst_CLK_000_D5.AP inst_CLK_000_D6.C inst_CLK_000_D6.AP inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_VPA_D.C inst_VPA_D.AP inst_CLK_000_D0.C inst_CLK_000_D0.AP RESET.C RESET.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D CLK_EXP.D BGACK_030.D FPU_CS.D IPL_030_0_.D VMA.D AS_000.D IPL_030_1_.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D IPL_030_2_.D inst_VPA_D.D inst_VPA_SYNC.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D6.D SM_AMIGA_5_.D SM_AMIGA_6_.D UDS_000.D LDS_000.D DSACK_1_.D inst_CLK_000_D3.D SM_AMIGA_4_.D inst_CLK_000_D5.D SM_AMIGA_7_.D RESET.D SM_AMIGA_3_.D SM_AMIGA_1_.D DTACK.D CLK_CNT_N_0_.D CLK_CNT_N_1_.D AMIGA_BUS_ENABLE.D CLK_CNT_P_0_.D CLK_CNT_P_1_.D SM_AMIGA_2_.D SM_AMIGA_0_.D inst_CLK_000_D4.D inst_CLK_OUT_PRE.D cpu_est_0_.D cpu_est_1_.T cpu_est_2_.D E.D BG_000.D +.p 318 +----------------------------------------------------------------------------- ~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +----1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----11----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1----------------------------------------------------------------- ~~~~~~1~1~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------0-------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0--------------0000000------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------1111-------------------------------------------------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1--------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0----------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0---------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0--------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1--------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-----1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------0-1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------0----------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0-------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1----1------------------0010---1------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1-0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------11------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1--------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-----0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1--0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------10------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1---------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1---1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1-------------------------------------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1-------------------------------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +----1--------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------1------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +0----0--------11---------------0------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1---1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0---1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------1-----------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1----------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0--------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0------1-------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------------------------------------------1----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0---------------------------------------0----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +----1--------1-------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +-----1----------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1-----------------------------------0-1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +-----1-----------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +--------------1-----------------------------------0--1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------1----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-----0--------0----------------1------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +0----0--------01---------------0------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1---------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +----------------------------------------------0---------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +----1---------0-----------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~ +--------------0-------------------------------------1---0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +--------------0--------------------------------------1--0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1--0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1----------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +------------------------------------------------1---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-----------------------------------------0------0------1--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-----------------------------------------1----------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------------------------0----1------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +----------------------------------------------1------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +------------------------------------------0---0------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +---------------------------------------------00------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +----1------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1----------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +----------------------------------------------0--1----------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------------------------------------------0-------1--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +----------------------------------------------0----------0--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +----1-------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------------------------------------------------------11-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-------------0-------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +---------------------------------------------------0-----------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +----------------------------------------------------------------11----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------------------------------------------------------01-01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------------------------------------------------------10-01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------------------------------------------------------01-10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------------------------------------------------------10-10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----------------------------------------------------------------00----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----------------------------------------------1-------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +----------------------------------------------0-------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +---------------------------------------0---------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +---------------------------------------1------1--------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +----------------------------------------------0--------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +--------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-----------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-----------------------------------------------1----------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------------------------------------1-------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10----------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +----1------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1--------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10----------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +----------------------------------------------0-------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------1------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------------------------------11---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +----------------------------------------------10----------------------1-0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------------10-----------------------00---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------------0--------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-----------------------------------------------1-------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------------10----------------------1--1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------------------------------0-1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------------10-----------------------011--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +------------------------------------------------------------------------01--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------------10----------------------1-01--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +----1--------------------------------------------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1---------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10----------------------1-10--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +---------------------------------------1------1-----------------------0110--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10-----------------------000--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +----0---------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +------0-------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +----------0---------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +----------------------------------------------------------0---------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +----1-----------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------- 0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0----------------------------------------------------------------- ~~~~~~0~0~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1-------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0----------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0---------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------0--------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---10---11-----------------0010---1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------00------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~~ +---------------------------------------1------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +----------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~0~~~ +-----------------------------------0----------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0--------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0---0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +-------------------------------------------0--0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------00------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +-----------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-----------------------------------0-----------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0---------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0---1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0-------------------------------------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----0-1----------------------------------0-------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0--1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +--------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1----0--------1-----------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------10----------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------1------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------0------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------1------1-------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----0------------------------------------0-------1-0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------00------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +----01----------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------1-----------------------------------0-0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +----01-----------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +----0---------1-----------------------------------0--0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-0----1-0------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------1----------------------------------0----0-0------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------0----0-0--0---1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +1----0--------0-----------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------00----------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------1------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------0------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0-----0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +----0---------0-------------------------------------0---0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------0--------------------------------------0--0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1----------------------------------0---------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----0------------------------------------0---------0-----0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-10--1-----------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-----------------------------------------1----------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------1---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +---00-1--1---------------------------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1-01---------------------------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-----------------1---------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------1--------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-------------------0-------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1--------------------1------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------------0--------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +---00-1--1-----------------------------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1-01-----------------------------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-----------------1-----------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------1----------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-------------------0---------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1--------------------1--------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------------0----------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0---------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------1-----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------1---------------------------------------0-----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----0------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +----------------------------------------------0------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +--------------------------------------------------------0--0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +-------------------------------------------------0-------1--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +----0-1----------------------------------0------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----0------------------------------------0---------0--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---00-1--1------------------------------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1-01------------------------------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-----------------1------------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------1-----------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-------------------0----------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1--------------------1---------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------------0-----------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------------------------------------------------------11-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------------------------------01-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +-------------------------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +-------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------0-------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----0----------------------------------------------0-----------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------------------------------------------------------------11----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------------------------------01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------------------------------------------------------------10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------------------------------------------------------------00----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------1--1--------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-----------------------------------------------------------0------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +------------------------------------------------------------0-----0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------1--------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-----------------------------------------0----1-0------1-----------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-------------------------------------------------0-------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +----------------------------------------------1-----------0--------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------0------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +--------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10----------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +----------------------------------------------0-----------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------1----------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +--------------------------------------0--------------------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0-0-----------------------10----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10-----------------------11---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +--------------------------------------0---------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-----------------------------------------------1------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +----------------------------------------------------------------------010---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +--------------------------------------0----------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-----0-1------------1-----------0-1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +----------------------------------------------------------------------0-01--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +----------------------------------------------0--------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-----------------------------------------------1-------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----------------------------------------------------------------------01-0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----------------------------------------------10----------------------10-0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------------------------------------------------------------------10--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----------------------------------------------------------------------0-10--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +----------------------------------------------------------------------1-00--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-----------------------------------------------------------------------100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-------0------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +---------------------------------------0-----------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +--------------------------------------------1-1------------1----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ .end diff --git a/Logic/68030_tk.tt3 b/Logic/68030_tk.tt3 index 3caed5f..cfe23f5 100644 --- a/Logic/68030_tk.tt3 +++ b/Logic/68030_tk.tt3 @@ -1,329 +1,330 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sat May 24 16:11:50 2014 +#$ DATE Sat May 24 19:56:20 2014 #$ MODULE 68030_tk -#$ PINS 59 SIZE_1_ A_31_ IPL_2_ SIZE_0_ A_30_ A_29_ A_28_ FC_1_ A_27_ AS_030 A_26_ A_25_ DS_030 A_24_ A_23_ A_22_ nEXP_SPACE A_21_ BERR A_20_ BG_030 A_19_ A_18_ A_17_ BGACK_000 A_16_ CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP AVEC AVEC_EXP VPA RST RW A_0_ AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN IPL_1_ IPL_0_ DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_ -#$ NODES 27 CLK_OUT_INTreg inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D5 SM_AMIGA_5_ SM_AMIGA_6_ inst_CLK_000_D3 SM_AMIGA_4_ inst_CLK_000_D4 SM_AMIGA_7_ SM_AMIGA_3_ SM_AMIGA_1_ CLK_CNT_N_0_ CLK_CNT_N_1_ CLK_CNT_P_0_ CLK_CNT_P_1_ SM_AMIGA_2_ SM_AMIGA_0_ inst_CLK_OUT_PRE cpu_est_0_ cpu_est_1_ cpu_est_2_ +#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 SIZE_0_ DS_030 A_30_ A_29_ A_28_ nEXP_SPACE A_27_ BERR A_26_ BG_030 A_25_ A_24_ A_23_ BGACK_000 A_22_ CLK_030 A_21_ CLK_000 A_20_ CLK_OSZI A_19_ CLK_DIV_OUT A_18_ A_17_ A_16_ AVEC AVEC_EXP VPA RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_ DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 CLK_EXP FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_ +#$ NODES 27 inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D6 SM_AMIGA_5_ SM_AMIGA_6_ inst_CLK_000_D3 SM_AMIGA_4_ inst_CLK_000_D5 SM_AMIGA_7_ SM_AMIGA_3_ SM_AMIGA_1_ CLK_CNT_N_0_ CLK_CNT_N_1_ CLK_CNT_P_0_ CLK_CNT_P_1_ SM_AMIGA_2_ SM_AMIGA_0_ inst_CLK_000_D4 inst_CLK_OUT_PRE cpu_est_0_ cpu_est_1_ cpu_est_2_ .type fr -.i 76 -.o 143 -.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ CLK_OUT_INTreg.Q IPL_030_0_.Q BGACK_030.Q FPU_CS.Q IPL_030_1_.Q VMA.Q AS_000.Q IPL_030_2_.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D5.Q SM_AMIGA_5_.Q SM_AMIGA_6_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q inst_CLK_000_D3.Q SM_AMIGA_4_.Q inst_CLK_000_D4.Q SM_AMIGA_7_.Q SM_AMIGA_3_.Q SM_AMIGA_1_.Q AMIGA_BUS_ENABLE.Q CLK_CNT_N_0_.Q CLK_CNT_N_1_.Q CLK_CNT_P_0_.Q CLK_CNT_P_1_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q inst_CLK_OUT_PRE.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q DSACK_1_.PIN DTACK.PIN -.ob BERR CLK_DIV_OUT CLK_EXP AVEC AVEC_EXP AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN cpu_est_2_.C cpu_est_2_.AR E.C E.AR cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AR CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP inst_VPA_SYNC.C inst_VPA_SYNC.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP AS_000.C AS_000.AP inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP FPU_CS.C FPU_CS.AP DSACK_1_.C DSACK_1_.AP AMIGA_BUS_ENABLE.C DTACK.C DTACK.AP inst_CLK_000_D5.C inst_CLK_000_D5.AP BG_000.C BG_000.AP inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_VPA_D.C inst_VPA_D.AP inst_CLK_000_D0.C inst_CLK_000_D0.AP RESET.C RESET.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_OUT_INTreg.D IPL_030_0_.D BGACK_030.D FPU_CS.D IPL_030_1_.D VMA.D AS_000.D IPL_030_2_.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D inst_VPA_D.D inst_VPA_SYNC.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D5.D SM_AMIGA_5_.D SM_AMIGA_6_.D UDS_000.D LDS_000.D DSACK_1_.D inst_CLK_000_D3.D SM_AMIGA_4_.D RESET.D inst_CLK_000_D4.D DTACK.D SM_AMIGA_7_.D SM_AMIGA_3_.D SM_AMIGA_1_.D AMIGA_BUS_ENABLE.D CLK_CNT_N_0_.D CLK_CNT_N_1_.D CLK_CNT_P_0_.D CLK_CNT_P_1_.D SM_AMIGA_2_.D SM_AMIGA_0_.D inst_CLK_OUT_PRE.D cpu_est_0_.D cpu_est_1_.T cpu_est_2_.D E.D BG_000.D -.p 317 ----------------------------------------------------------------------------- ~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ -----1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----11---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~ -------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1---------------------------------------------------------------- ~~~~~~~~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------- ~~~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------0------------------------------------------------------------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0--------------0000000----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------1111------------------------------------------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1---------------------------------------- ~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1----------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0-----------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0----------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1----------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1---------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0--------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1-------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0---1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -------0------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0---------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1----1------------------0010---1--------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1----------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1----1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1----------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------10------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-----1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------11----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----1------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1----------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1----0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1--0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------10---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------------1-----------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1-----1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1--------------------------------------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1--------------------------------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1-------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ------0--------1----------------1-------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -0----0--------11---------------0-------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1---1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0---1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -----1----------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------1------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1---------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0---------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------1--------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ------------------------------------------------1----1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ -------0----------------------------------------0----1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -----1--------1--------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ------1-----------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ ---------------1------------------------------------0-1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ ------1------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ---------------1------------------------------------0--1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1----1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ------0--------0----------------1-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -0----0--------01---------------0-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ------------------------------------------------0---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -----1---------0------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~ ---------------0--------------------------------------1---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ ---------------0---------------------------------------1--0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1--0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1---------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ --------------------------------------------------1---------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ --------------------------------------------0-----0------1--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ ------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------------------1---------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1----------------------------------------0----1------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-1-------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ------------------------------------------------1------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ---------------------------------------------0--0------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -----------------------------------------------00------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -----1-------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1---------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1-------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ------------------------------------------------0--1----------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ---------------------------------------------------0-------1--1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ------------------------------------------------0----------0--1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -----1--------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1-----0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ -----------------------------------------------------0---------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ----------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ ----------------------------------------------------------------11----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ----------------------------------------------------------------00----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ ------------------------------------------------------------------11--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ----------------------------------------------------------------0101--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------------------------------------1001--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------------------------------------0110--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------------------------------------1010--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ------------------------------------------------------------------00--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ------------------------------------------------1-------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ------------------------------------------------0-------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ ------------------------------------------0--------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ------------------------------------------1-----1--------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ ------------------------------------------------0--------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ----------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0----------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -------------------------------------------------1---------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----------------------------------------1-----------------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10---------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ -----1------------------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10---------------------00---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------0------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ -------------------------------------------------1-----------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------------------------------11--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------10---------------------1-0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ------------------------------------------------10----------------------00--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ------------------------------------------------0-------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------------------------1------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ------------------------------------------------10---------------------1--1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------------------------------0-1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ------------------------------------------------10----------------------011-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------------------------------------------------01-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ------------------------------------------------10---------------------1-01-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -----1--------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1--------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10---------------------1-10-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ------------------------------------------1-----1----------------------0110-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10----------------------000-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -----1----------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0---------------------------------------------------------------- ~~~~~~~~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------- ~~~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1------------------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0---------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0--------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------0-------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------0------------------------------------------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----10---11-----------------0010---1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------- ~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------00---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-----------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~ ------------------------------------------1-----1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~0~~~ -------------------------------------0----------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0---------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0----0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0--0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -----------------------------------------------00---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -------------------------------------0-----------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0----------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0-----1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0--------------------------------------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0-------------10--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -----0-1------------------------------------0------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ----------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1----0--------1------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------10-----------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------1----------------1-------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------1----------------0-------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -------1------1--------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -----0--------------------------------------0------1-0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -----01-----------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -----0---------1------------------------------------0-0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -----01------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0---------1------------------------------------0--0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0-0---1-0------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -------1------------------------------------0---0-0------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ --------------------------------------------0---0-0--0---1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ---------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -1----0--------0------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------00-----------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------0----------------1-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ------0--------0----------------0-------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0-----0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -----0---------0--------------------------------------0---0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -----0---------0---------------------------------------0--0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1------------------------------------0--------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0----------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -----0--------------------------------------0--------0-----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1---------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -----1-10---------------------------1-----------10----------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------------------------1---------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ----00-1--1----------------------------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1-01----------------------------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-----------------1----------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------1---------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-------------------0--------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1--------------------1-------------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------------0---------------1--------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ----00-1--1------------------------------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1-01------------------------------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-----------------1------------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------1-----------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-------------------0----------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1--------------------1---------------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------------0-----------------------01---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0----------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1-----------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0-----1-----------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -------1----------------------------------------0-----------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -----------------------------------------------------0------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0----0------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ------------------------------------------------0------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ----------------------------------------------------------0--0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ---------------------------------------------------0-------1--1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -----0-1------------------------------------0-----------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0-------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -----0--------------------------------------0--------0--------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----00-1--1-------------------------------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1-01-------------------------------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-----------------1-------------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------1------------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1-------------------0-----------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1--------------------1----------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-1--1------------------------0------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -----0-----------------------------------------------0---------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ----------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ----------------------------------------------------------------11----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------------------------01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ----------------------------------------------------------------10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ----------------------------------------------------------------00----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------------------------11--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ------------------------------------------------------------------01--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------------------------10--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------------------------00--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------1-1--------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------------------------------------------0------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ --------------------------------------------------------------0-----0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ------------------------------------------------1--------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------------------0---1-0------1-----------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ---------------------------------------------------0-------1---------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------1-----------0--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ --------------------------------------------------------------0------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0-----------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10---------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------0----------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------1---------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------------0------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-0----------------------10---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------10----------------------11--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -----------------------------------------0-------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------------------------------------------1-----------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -----------------------------------------------------------------------010--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -----------------------------------------0--------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0----0-1------------1----------0-1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------111-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -----------------------------------------------------------------------0-01-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ------------------------------------------------0-------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------------------------------------------1------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -----------------------------------------------------------------------01-0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ ------------------------------------------------10---------------------10-0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------------------------------------------------------------------10-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -----------------------------------------------------------------------0-10-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -----------------------------------------------------------------------1-00-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ------------------------------------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ------------------------------------------0--------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ----------------------------------------------1-1------------1--------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +.i 77 +.o 147 +.ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q FPU_CS.Q IPL_030_0_.Q VMA.Q AS_000.Q IPL_030_1_.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q IPL_030_2_.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D6.Q SM_AMIGA_5_.Q SM_AMIGA_6_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q inst_CLK_000_D3.Q SM_AMIGA_4_.Q inst_CLK_000_D5.Q SM_AMIGA_7_.Q SM_AMIGA_3_.Q SM_AMIGA_1_.Q CLK_CNT_N_0_.Q CLK_CNT_N_1_.Q AMIGA_BUS_ENABLE.Q CLK_CNT_P_0_.Q CLK_CNT_P_1_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q inst_CLK_000_D4.Q inst_CLK_OUT_PRE.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q BG_000.Q DSACK_1_.PIN DTACK.PIN +.ob BERR AVEC AVEC_EXP AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR cpu_est_0_.C cpu_est_0_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR UDS_000.C UDS_000.AP LDS_000.C LDS_000.AP inst_VPA_SYNC.C inst_VPA_SYNC.AP VMA.C VMA.AP BG_000.C BG_000.AP BGACK_030.C BGACK_030.AP AS_000.C AS_000.AP inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR CLK_EXP.C CLK_EXP.AR inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.C inst_DTACK_SYNC.AP FPU_CS.C FPU_CS.AP DSACK_1_.C DSACK_1_.AP AMIGA_BUS_ENABLE.C DTACK.C DTACK.AP inst_CLK_000_D5.C inst_CLK_000_D5.AP inst_CLK_000_D6.C inst_CLK_000_D6.AP inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_VPA_D.C inst_VPA_D.AP inst_CLK_000_D0.C inst_CLK_000_D0.AP RESET.C RESET.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP DSACK_0_ DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D CLK_EXP.D BGACK_030.D FPU_CS.D IPL_030_0_.D VMA.D AS_000.D IPL_030_1_.D inst_AS_030_000_SYNC.D inst_DTACK_SYNC.D IPL_030_2_.D inst_VPA_D.D inst_VPA_SYNC.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D6.D SM_AMIGA_5_.D SM_AMIGA_6_.D UDS_000.D LDS_000.D DSACK_1_.D inst_CLK_000_D3.D SM_AMIGA_4_.D inst_CLK_000_D5.D SM_AMIGA_7_.D RESET.D SM_AMIGA_3_.D SM_AMIGA_1_.D DTACK.D CLK_CNT_N_0_.D CLK_CNT_N_1_.D AMIGA_BUS_ENABLE.D CLK_CNT_P_0_.D CLK_CNT_P_1_.D SM_AMIGA_2_.D SM_AMIGA_0_.D inst_CLK_000_D4.D inst_CLK_OUT_PRE.D cpu_est_0_.D cpu_est_1_.T cpu_est_2_.D E.D BG_000.D +.p 318 +----------------------------------------------------------------------------- ~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +----1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----11----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1----------------------------------------------------------------- ~~~~~~1~1~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------------------------------------------------- ~~~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------0-------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0--------------0000000------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------1111-------------------------------------------------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1--------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0----------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0---------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0--------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1--------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-----1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------0-1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------0----------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0-------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1----1------------------0010---1------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-----1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1-0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------11------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1--------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-----0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1--0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------10------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1---------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1---1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1-------------------------------------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1-------------------------------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1-------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +----1--------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------1------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +0----0--------11---------------0------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1---1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0---1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------1-----------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1----------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0--------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0------1-------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------------------------------------------1----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0---------------------------------------0----1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +----1--------1-------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +-----1----------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1-----------------------------------0-1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +-----1-----------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +--------------1-----------------------------------0--1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------1----1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-----0--------0----------------1------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +0----0--------01---------------0------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1---------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +----------------------------------------------0---------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +----1---------0-----------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~ +--------------0-------------------------------------1---0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +--------------0--------------------------------------1--0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1--0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1----------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +------------------------------------------------1---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-----------------------------------------0------0------1--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-----------------------------------------1----------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------------------------0----1------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--1-------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +----------------------------------------------1------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +------------------------------------------0---0------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +---------------------------------------------00------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +----1------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1----------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +----------------------------------------------0--1----------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------------------------------------------0-------1--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +----------------------------------------------0----------0--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +----1-------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1-----0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------------------------------------------------------11-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-------------0-------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +---------------------------------------------------0-----------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +----------------------------------------------------------------11----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------------------------------------------------------01-01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------------------------------------------------------10-01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------------------------------------------------------01-10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------------------------------------------------------10-10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----------------------------------------------------------------00----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----------------------------------------------1-------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +----------------------------------------------0-------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +---------------------------------------0---------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +---------------------------------------1------1--------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +----------------------------------------------0--------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +--------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-----------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-----------------------------------------------1----------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------------------------------------1-------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10----------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +----1------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1--------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1-------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10----------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +----------------------------------------------0-------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------1------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------------------------------11---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +----------------------------------------------10----------------------1-0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------------10-----------------------00---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------------0--------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-----------------------------------------------1-------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------------10----------------------1--1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------------------------------0-1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------------10-----------------------011--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +------------------------------------------------------------------------01--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------------10----------------------1-01--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +----1--------------------------------------------------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1---------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10----------------------1-10--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +---------------------------------------1------1-----------------------0110--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10-----------------------000--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +----0---------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +------0-------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +----------0---------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +----------------------------------------------------------0---------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +----1-----------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------- 0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0----------------------------------------------------------------- ~~~~~~0~0~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------- ~~~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1-------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0----------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0---------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------0--------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-------------------------------------------------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---10---11-----------------0010---1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------00------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~0~~~~~~~~ +---------------------------------------1------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +----------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~0~~~ +-----------------------------------0----------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0--------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0---0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +-------------------------------------------0--0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------00------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +-----------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-----------------------------------0-----------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0---------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0---1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0-------------------------------------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0-------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------10----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----0-1----------------------------------0-------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0--1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +--------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1----0--------1-----------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------10----------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------1------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------1----------------0------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------1------1-------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----0------------------------------------0-------1-0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------00------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +----01----------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------1-----------------------------------0-0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +----01-----------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +----0---------1-----------------------------------0--0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-0----1-0------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------1----------------------------------0----0-0------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-----------------------------------------0----0-0--0---1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +1----0--------0-----------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------00----------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------1------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0--------0----------------0------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0-----0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +----0---------0-------------------------------------0---0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------0--------------------------------------0--0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1----------------------------------0---------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----0------------------------------------0---------0-----0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-10--1-----------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-----------------------------------------1----------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------1---------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +---00-1--1---------------------------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1-01---------------------------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-----------------1---------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------1--------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-------------------0-------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1--------------------1------------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------------0--------------1--------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +---00-1--1-----------------------------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1-01-----------------------------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-----------------1-----------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------1----------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-------------------0---------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1--------------------1--------------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------------0----------------------01------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0---------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------1-----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------1---------------------------------------0-----------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----0------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +----------------------------------------------0------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +--------------------------------------------------------0--0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +-------------------------------------------------0-------1--1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +----0-1----------------------------------0------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----0------------------------------------0---------0--------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---00-1--1------------------------------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1-01------------------------------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-----------------1------------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------1-----------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1-------------------0----------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1--------------------1---------------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-1--1------------------------0-----------------------1-0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------------------------------------------------------11-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------------------------------01-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +-------------------------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +-------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------0-------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----0----------------------------------------------0-----------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------------------------------------------------------------11----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------------------------------01----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------------------------------------------------------------10----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------------------------------------------------------------00----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------------1--1--------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-----------------------------------------------------------0------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +------------------------------------------------------------0-----0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------1--------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-----------------------------------------0----1-0------1-----------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +-------------------------------------------------0-------1---------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +----------------------------------------------1-----------0--------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------0------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +--------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10----------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +----------------------------------------------0-----------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------1----------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +--------------------------------------0--------------------------------0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0-0-----------------------10----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------10-----------------------11---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +--------------------------------------0---------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-----------------------------------------------1------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +----------------------------------------------------------------------010---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +--------------------------------------0----------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0-----0-1------------1-----------0-1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------111--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +----------------------------------------------------------------------0-01--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +----------------------------------------------0--------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-----------------------------------------------1-------------------------0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----------------------------------------------------------------------01-0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----------------------------------------------10----------------------10-0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------------------------------------------------------------------10--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----------------------------------------------------------------------0-10--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +----------------------------------------------------------------------1-00--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-----------------------------------------------------------------------100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-------0------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +---------------------------------------0-----------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +--------------------------------------------1-1------------1----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ .end diff --git a/Logic/68030_tk.tt4 b/Logic/68030_tk.tt4 index 99616bc..4a1fa7a 100644 --- a/Logic/68030_tk.tt4 +++ b/Logic/68030_tk.tt4 @@ -1,172 +1,174 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sat May 24 16:11:50 2014 +#$ DATE Sat May 24 19:56:20 2014 #$ MODULE BUS68030 -#$ PINS 59 SIZE_1_ A_31_ IPL_2_ SIZE_0_ A_30_ A_29_ A_28_ FC_1_ A_27_ AS_030 - A_26_ A_25_ DS_030 A_24_ A_23_ A_22_ nEXP_SPACE A_21_ BERR A_20_ BG_030 A_19_ - A_18_ A_17_ BGACK_000 A_16_ CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP AVEC - AVEC_EXP VPA RST RW A_0_ AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN IPL_1_ - IPL_0_ DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 - BGACK_030 FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_ -#$ NODES 27 CLK_OUT_INTreg inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D - inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D5 - SM_AMIGA_5_ SM_AMIGA_6_ inst_CLK_000_D3 SM_AMIGA_4_ inst_CLK_000_D4 SM_AMIGA_7_ - SM_AMIGA_3_ SM_AMIGA_1_ CLK_CNT_N_0_ CLK_CNT_N_1_ CLK_CNT_P_0_ CLK_CNT_P_1_ - SM_AMIGA_2_ SM_AMIGA_0_ inst_CLK_OUT_PRE cpu_est_0_ cpu_est_1_ cpu_est_2_ +#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 SIZE_0_ DS_030 A_30_ A_29_ A_28_ + nEXP_SPACE A_27_ BERR A_26_ BG_030 A_25_ A_24_ A_23_ BGACK_000 A_22_ CLK_030 + A_21_ CLK_000 A_20_ CLK_OSZI A_19_ CLK_DIV_OUT A_18_ A_17_ A_16_ AVEC AVEC_EXP + VPA RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_ + DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 + CLK_EXP FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_ +#$ NODES 27 inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC + inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D6 SM_AMIGA_5_ + SM_AMIGA_6_ inst_CLK_000_D3 SM_AMIGA_4_ inst_CLK_000_D5 SM_AMIGA_7_ SM_AMIGA_3_ + SM_AMIGA_1_ CLK_CNT_N_0_ CLK_CNT_N_1_ CLK_CNT_P_0_ CLK_CNT_P_1_ SM_AMIGA_2_ + SM_AMIGA_0_ inst_CLK_000_D4 inst_CLK_OUT_PRE cpu_est_0_ cpu_est_1_ cpu_est_2_ .type f -.i 76 +.i 77 .o 150 .ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ - CLK_OUT_INTreg.Q IPL_030_0_.Q BGACK_030.Q FPU_CS.Q IPL_030_1_.Q VMA.Q AS_000.Q - IPL_030_2_.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q + BGACK_030.Q FPU_CS.Q IPL_030_0_.Q VMA.Q AS_000.Q IPL_030_1_.Q + inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q IPL_030_2_.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q - inst_CLK_000_D5.Q SM_AMIGA_5_.Q SM_AMIGA_6_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q - inst_CLK_000_D3.Q SM_AMIGA_4_.Q inst_CLK_000_D4.Q SM_AMIGA_7_.Q SM_AMIGA_3_.Q - SM_AMIGA_1_.Q AMIGA_BUS_ENABLE.Q CLK_CNT_N_0_.Q CLK_CNT_N_1_.Q CLK_CNT_P_0_.Q - CLK_CNT_P_1_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q inst_CLK_OUT_PRE.Q cpu_est_0_.Q - cpu_est_1_.Q cpu_est_2_.Q E.Q DSACK_1_.PIN DTACK.PIN -.ob BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C CLK_DIV_OUT.AR CLK_EXP.D CLK_EXP.C - CLK_EXP.AR AVEC AVEC_EXP AVEC_EXP.OE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW - CIIN CIIN.OE DSACK_0_ DSACK_0_.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP - DSACK_1_.D% DSACK_1_.C DSACK_1_.AP DSACK_1_.OE AS_000.D AS_000.C AS_000.AP - AS_000.OE UDS_000.D% UDS_000.C UDS_000.AP UDS_000.OE LDS_000.D LDS_000.C - LDS_000.AP LDS_000.OE BG_000.D% BG_000.C BG_000.AP BGACK_030.D BGACK_030.C - BGACK_030.AP FPU_CS.D% FPU_CS.C FPU_CS.AP DTACK.D% DTACK.C DTACK.AP DTACK.OE - E.D.X1 E.D.X2 E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C RESET.AR - AMIGA_BUS_ENABLE.D% AMIGA_BUS_ENABLE.C IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP - IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP CLK_OUT_INTreg.D CLK_OUT_INTreg.C - CLK_OUT_INTreg.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C - inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.D% inst_DTACK_SYNC.C inst_DTACK_SYNC.AP - inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_VPA_SYNC.D% inst_VPA_SYNC.C - inst_VPA_SYNC.AP inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP - inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_CLK_000_D2.D - inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D5.D inst_CLK_000_D5.C - inst_CLK_000_D5.AP SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_6_.D - SM_AMIGA_6_.C SM_AMIGA_6_.AR inst_CLK_000_D3.D inst_CLK_000_D3.C - inst_CLK_000_D3.AP SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR inst_CLK_000_D4.D - inst_CLK_000_D4.C inst_CLK_000_D4.AP SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP - SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C - SM_AMIGA_1_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.D - CLK_CNT_N_1_.C CLK_CNT_N_1_.AR CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR - CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C - SM_AMIGA_2_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR inst_CLK_OUT_PRE.D - inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR - cpu_est_1_.T cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.D.X1 cpu_est_2_.D.X2 - cpu_est_2_.C cpu_est_2_.AR + inst_CLK_000_D6.Q SM_AMIGA_5_.Q SM_AMIGA_6_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q + inst_CLK_000_D3.Q SM_AMIGA_4_.Q inst_CLK_000_D5.Q SM_AMIGA_7_.Q SM_AMIGA_3_.Q + SM_AMIGA_1_.Q CLK_CNT_N_0_.Q CLK_CNT_N_1_.Q AMIGA_BUS_ENABLE.Q CLK_CNT_P_0_.Q + CLK_CNT_P_1_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q inst_CLK_000_D4.Q inst_CLK_OUT_PRE.Q + cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q BG_000.Q DSACK_1_.PIN DTACK.PIN +.ob BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C CLK_DIV_OUT.AR AVEC AVEC_EXP + AVEC_EXP.OE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN CIIN.OE DSACK_0_ + DSACK_0_.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP DSACK_1_.D% DSACK_1_.C + DSACK_1_.AP DSACK_1_.OE AS_000.D AS_000.C AS_000.AP AS_000.OE UDS_000.D% + UDS_000.C UDS_000.AP UDS_000.OE LDS_000.D LDS_000.C LDS_000.AP LDS_000.OE + BG_000.D% BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D + CLK_EXP.C CLK_EXP.AR FPU_CS.D% FPU_CS.C FPU_CS.AP DTACK.D% DTACK.C DTACK.AP + DTACK.OE E.D.X1 E.D.X2 E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C + RESET.AR AMIGA_BUS_ENABLE.D% AMIGA_BUS_ENABLE.C IPL_030_1_.D IPL_030_1_.C + IPL_030_1_.AP IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP inst_AS_030_000_SYNC.D + inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.D% + inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP + inst_VPA_SYNC.D% inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_CLK_000_D0.D + inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_CLK_000_D1.D inst_CLK_000_D1.C + inst_CLK_000_D1.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP + inst_CLK_000_D6.D inst_CLK_000_D6.C inst_CLK_000_D6.AP SM_AMIGA_5_.D + SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR + inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP SM_AMIGA_4_.D + SM_AMIGA_4_.C SM_AMIGA_4_.AR inst_CLK_000_D5.D inst_CLK_000_D5.C + inst_CLK_000_D5.AP SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_3_.D + SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR + CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C + CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.D + CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR + SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR inst_CLK_000_D4.D inst_CLK_000_D4.C + inst_CLK_000_D4.AP inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR + cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.T cpu_est_1_.C cpu_est_1_.AR + cpu_est_2_.D.X1 cpu_est_2_.D.X2 cpu_est_2_.C cpu_est_2_.AR .phase 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 113 ----------------------------------------------------------------------------- 000000001000100100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------0------------------------------------- 010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------1------ 001001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------1---------------------------------------------------------------- 000100100000000000100100010001000100010010010010000100010010010100100100100100100100100100100100100100100100100100100100000000100100100100100100100010 --------------0-------------------------------------------------------------- 000010010000000000010010001000100010001001001001000010001001000010010010010010010010010010010010010010010010010010010010010010010010010010010010010001 ---------------0------------------------------------------------------------- 000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------1111------------------------------------------------- 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0--------------0000000----------------------------------------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1--------------------------------------------------------------------- 000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1----0---------------------------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1-----1--------------------------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1--------------------------------------------10--------------------------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------------------------------0-------------------- 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------0-------1--1-------------- 000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000 -----1----------------------------------------------0------------------------ 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------1---------0------------------------ 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1-------------------------------------- 000000000000000000000000000100010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0--------1----------------0-------------------1------------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----01-----------------------------------------------0---------------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0---------1------------------------------------0-0---------------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0--------0----------------0-------------------------1------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0---------0--------------------------------------0---0------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----11---------------------------------------------------------------------- 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0----0--------11---------------0-------------------1------------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1---------1------------------------------------0------------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------1------------------------------------------------1--------------------- 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------1------------------------------------0--1--------------------- 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0----0--------01---------------0-------------------------1------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1---------0------------------------------------------0------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------0---------------------------------------1--0------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1-10---------------------------1-----------10----------1---------------- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1----------------------------1-------------------------------------- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1--------------------------------------10--------------------------- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----10---11-----------------0010---1----------------------------------------- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0---------------------------------0------------------------------------- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------0--------------------------------0- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------0-------------------------------------- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------10----------------------111-- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------10----------------------000-- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ------------------------------------------------10---------------------1-00-- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------1-- 000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1----------------------------------- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------01-----1----------------------0110-- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1----0-0----------------------10---- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1------1--------------------------------------1----------------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------0------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----------------------------------------------0---------0------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1-------0---------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1--------1--------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1--------------10--------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------1----------0---------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------1-----------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1-------------10--------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1----------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 -------0------------------------------------1-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------0---------------------------------1-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1----1------------------0010---1--------1-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 -------0---------------------------------------------1----------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1---------------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0---------------------------------------0------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------1-1------------1--------------0 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----------------------------------------0----------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------0----0-1------------1----------0-1-- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 -----------1----------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1---1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 ------------------------------------------------1----1----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 --------------------------------------------0-----0------1--1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 -------1----------------------------------------0----1------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 --------------------------------------------------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 ------------------------------------------------0---1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 ------------------------------------------------0---------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 ---------------------------------------------------------1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 -------0----------------------------------------0----1----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 --------------------------------------------1---------------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 --------------------------------------------------1---------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 ---------------------------------------------------------0--1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 ------------------------------------------1-----1--------------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 ------------------------------------------------1---------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 ---------------------------------------------1-1-------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 ------------------------------------------------1------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 ------------------------------------------------1-------------1-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 ------------------------------------------------1-------------------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 ----------------------------------------------------------------11----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 ----------------------------------------------------------------00----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 ------------0---------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000 ----------------------------------------------------------------1------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 ------------------------------------------------------------------11--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 ------------------------------------------------------------------00--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 ------------------------------------------------------------------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 ---------------------------------------------0--0------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 -----------------------------------------------00------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 ------------------------------------------------0-------------------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 ------------------------------------------------0--1----------1-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 ------------------------------------------------0----------0--1-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 ------------------------------------------0--------------------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 ------------------------------------------------0--------------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 ----------------------------------------------------------------0101--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 ----------------------------------------------------------------1001--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 ----------------------------------------------------------------0110--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 ----------------------------------------------------------------1010--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 ------------------------------------------------0----------------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 -------------------------------------------------1---------------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 ------------------------------------------------10---------------------0----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 ------------------------------------------------10----------------------011-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ------------------------------------------------10---------------------1-01-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000 ------------------------------------------------10---------------------1-10-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ------------------------------------------------10---------------------000--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 ------------------------------------------------10---------------------1010-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------------------------------------------------------------------1--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +.p 115 +----------------------------------------------------------------------------- 000001000100100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0---------------------------------------- 010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------1------- 001000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------1----------------------------------------------------------------- 000100000000000100100010001000100010010010010010000100010010010100100100100100100100100100100100100100100100100100100000000100100100100100100100100010 +-------------0--------------------------------------------------------------- 000010000000000010010001000100010001001001001001000010001001000010010010010010010010010010010010010010010010010010010010010010010010010010010010010001 +--------------0-------------------------------------------------------------- 000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------1111-------------------------------------------------- 000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0--------------0000000------------------------------------------------------ 000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1---------------------------------------------------------------------- 000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1--0------------------------------ 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1---1----------------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1-------------------------------------------10----------------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0-------------------------------------------------0---------------------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------0-------1--1---------------- 000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000 +----1---------------------------------------------0-------------------------- 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1----------0-------------------------- 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1----------------------------------------- 000000000000000000000000100010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------1----------------0------------------1-------------------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----01----------------------------------------------0------------------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------1-----------------------------------0-0------------------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------0----------------0------------------------1-------------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------0-------------------------------------0---0-------------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----11----------------------------------------------------------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0----0--------11---------------0------------------1-------------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1---------1-----------------------------------0-------------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----1-----------------------------------------------1----------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------1-----------------------------------0--1----------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0----0--------01---------------0------------------------1-------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1---------0-----------------------------------------0-------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------0--------------------------------------1--0-------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-10--1-----------------------------------------------1------------------ 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0------------------------------------------------------------------0-- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1--------------------------1----------------------------------------- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1-------------------------------------10----------------------------- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---10---11-----------------0010---1------------------------------------------ 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0-------------------------------0---------------------------------------- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0-----------------------------------0- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------0----------------------------0----------------------------------------- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------10-----------------------111--- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------10-----------------------000--- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +----------------------------------------------10----------------------1-00--- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------1--- 000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1-------------------------------------- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------01------1-----------------------0110--- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1-----0-0-----------------------10----- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1------1-------------------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0-------------------------------------------------0------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0----------------------------------------------0-----------0------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1-----0------------------------------ 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1------1----------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1-------------10----------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1--------0------------------------------ 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1---------1----------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1------------10----------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------0----------------------------------1----------------------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------0-------------------------------1----------------------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1----1------------------0010---1------1----------------------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------0--------------------------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------1----------------0------------------ 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0-------------------------------------0---------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------1-1------------1----------------0 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1---------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 +----0----------------------------------------0------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------0-----0-1------------1-----------0-1--- 000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +----------1------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------1------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------1----------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------1---1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +----------------------------------------------1----1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0------0------1--1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +------1---------------------------------------0----1------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +------------------------------------------------1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 +----------------------------------------------0---1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 +----------------------------------------------0---------1-------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +------0---------------------------------------0----1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +-----------------------------------------1----------------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +------------------------------------------------1---------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +-------------------------------------------------------0--1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +---------------------------------------1------1--------------------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +----------------------------------------------1---------1-------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 +------------------------------------------1--1-------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 +----------------------------------------------1------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 +----------------------------------------------1-------------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +----------------------------------------------1-------------------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +-------------------------------------------------------------11-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 +-------------------------------------------------------------00-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 +-----------0----------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000 +-------------------------------------------------------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +----------------------------------------------------------------11----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 +----------------------------------------------------------------00----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 +----------------------------------------------------------------1------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------------------------------------0---0------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +---------------------------------------------00------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------------------------------------------0-------------------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------------------------------------------0--1----------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----------------------------------------------0----------0--1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +---------------------------------------0---------------------------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----------------------------------------------0--------------------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +-------------------------------------------------------1--------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +-------------------------------------------------------------01-01----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------------------------------------------------------10-01----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------------------------------------------------------01-10----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------------------------------------------------------10-10----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +----------------------------------------------0-----------------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +-----------------------------------------------1----------------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +----------------------------------------------10----------------------0------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +----------------------------------------------10-----------------------011--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +----------------------------------------------10----------------------1-01--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000 +----------------------------------------------10----------------------1-10--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +----------------------------------------------10----------------------000---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +----------------------------------------------10----------------------1010--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +------------------------------------------------------------------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 .end diff --git a/Logic/68030_tk.tte b/Logic/68030_tk.tte index c13f147..9eece27 100644 --- a/Logic/68030_tk.tte +++ b/Logic/68030_tk.tte @@ -1,172 +1,174 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sat May 24 16:11:50 2014 +#$ DATE Sat May 24 19:56:20 2014 #$ MODULE BUS68030 -#$ PINS 59 SIZE_1_ A_31_ IPL_2_ SIZE_0_ A_30_ A_29_ A_28_ FC_1_ A_27_ AS_030 - A_26_ A_25_ DS_030 A_24_ A_23_ A_22_ nEXP_SPACE A_21_ BERR A_20_ BG_030 A_19_ - A_18_ A_17_ BGACK_000 A_16_ CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP AVEC - AVEC_EXP VPA RST RW A_0_ AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN IPL_1_ - IPL_0_ DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 - BGACK_030 FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_ -#$ NODES 27 CLK_OUT_INTreg inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D - inst_VPA_SYNC inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D5 - SM_AMIGA_5_ SM_AMIGA_6_ inst_CLK_000_D3 SM_AMIGA_4_ inst_CLK_000_D4 SM_AMIGA_7_ - SM_AMIGA_3_ SM_AMIGA_1_ CLK_CNT_N_0_ CLK_CNT_N_1_ CLK_CNT_P_0_ CLK_CNT_P_1_ - SM_AMIGA_2_ SM_AMIGA_0_ inst_CLK_OUT_PRE cpu_est_0_ cpu_est_1_ cpu_est_2_ +#$ PINS 59 SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 SIZE_0_ DS_030 A_30_ A_29_ A_28_ + nEXP_SPACE A_27_ BERR A_26_ BG_030 A_25_ A_24_ A_23_ BGACK_000 A_22_ CLK_030 + A_21_ CLK_000 A_20_ CLK_OSZI A_19_ CLK_DIV_OUT A_18_ A_17_ A_16_ AVEC AVEC_EXP + VPA RST RW AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN A_0_ IPL_1_ IPL_0_ + DSACK_0_ FC_0_ IPL_030_2_ DSACK_1_ AS_000 UDS_000 LDS_000 BG_000 BGACK_030 + CLK_EXP FPU_CS DTACK E VMA RESET AMIGA_BUS_ENABLE IPL_030_1_ IPL_030_0_ +#$ NODES 27 inst_AS_030_000_SYNC inst_DTACK_SYNC inst_VPA_D inst_VPA_SYNC + inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D6 SM_AMIGA_5_ + SM_AMIGA_6_ inst_CLK_000_D3 SM_AMIGA_4_ inst_CLK_000_D5 SM_AMIGA_7_ SM_AMIGA_3_ + SM_AMIGA_1_ CLK_CNT_N_0_ CLK_CNT_N_1_ CLK_CNT_P_0_ CLK_CNT_P_1_ SM_AMIGA_2_ + SM_AMIGA_0_ inst_CLK_000_D4 inst_CLK_OUT_PRE cpu_est_0_ cpu_est_1_ cpu_est_2_ .type f -.i 76 +.i 77 .o 150 .ilb SIZE_1_ A_31_ IPL_2_ FC_1_ AS_030 DS_030 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST RW SIZE_0_ A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ A_0_ IPL_1_ IPL_0_ FC_0_ - CLK_OUT_INTreg.Q IPL_030_0_.Q BGACK_030.Q FPU_CS.Q IPL_030_1_.Q VMA.Q AS_000.Q - IPL_030_2_.Q inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q inst_VPA_D.Q + BGACK_030.Q FPU_CS.Q IPL_030_0_.Q VMA.Q AS_000.Q IPL_030_1_.Q + inst_AS_030_000_SYNC.Q inst_DTACK_SYNC.Q IPL_030_2_.Q inst_VPA_D.Q inst_VPA_SYNC.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q - inst_CLK_000_D5.Q SM_AMIGA_5_.Q SM_AMIGA_6_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q - inst_CLK_000_D3.Q SM_AMIGA_4_.Q inst_CLK_000_D4.Q SM_AMIGA_7_.Q SM_AMIGA_3_.Q - SM_AMIGA_1_.Q AMIGA_BUS_ENABLE.Q CLK_CNT_N_0_.Q CLK_CNT_N_1_.Q CLK_CNT_P_0_.Q - CLK_CNT_P_1_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q inst_CLK_OUT_PRE.Q cpu_est_0_.Q - cpu_est_1_.Q cpu_est_2_.Q E.Q DSACK_1_.PIN DTACK.PIN -.ob BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C CLK_DIV_OUT.AR CLK_EXP.D CLK_EXP.C - CLK_EXP.AR AVEC AVEC_EXP AVEC_EXP.OE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW - CIIN CIIN.OE DSACK_0_ DSACK_0_.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP - DSACK_1_.D- DSACK_1_.C DSACK_1_.AP DSACK_1_.OE AS_000.D AS_000.C AS_000.AP - AS_000.OE UDS_000.D- UDS_000.C UDS_000.AP UDS_000.OE LDS_000.D LDS_000.C - LDS_000.AP LDS_000.OE BG_000.D- BG_000.C BG_000.AP BGACK_030.D BGACK_030.C - BGACK_030.AP FPU_CS.D- FPU_CS.C FPU_CS.AP DTACK.D- DTACK.C DTACK.AP DTACK.OE - E.D.X1 E.D.X2 E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C RESET.AR - AMIGA_BUS_ENABLE.D- AMIGA_BUS_ENABLE.C IPL_030_1_.D IPL_030_1_.C IPL_030_1_.AP - IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP CLK_OUT_INTreg.D CLK_OUT_INTreg.C - CLK_OUT_INTreg.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C - inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.D- inst_DTACK_SYNC.C inst_DTACK_SYNC.AP - inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_VPA_SYNC.D- inst_VPA_SYNC.C - inst_VPA_SYNC.AP inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP - inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_CLK_000_D2.D - inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D5.D inst_CLK_000_D5.C - inst_CLK_000_D5.AP SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_6_.D - SM_AMIGA_6_.C SM_AMIGA_6_.AR inst_CLK_000_D3.D inst_CLK_000_D3.C - inst_CLK_000_D3.AP SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR inst_CLK_000_D4.D - inst_CLK_000_D4.C inst_CLK_000_D4.AP SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP - SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C - SM_AMIGA_1_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.D - CLK_CNT_N_1_.C CLK_CNT_N_1_.AR CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR - CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C - SM_AMIGA_2_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR inst_CLK_OUT_PRE.D - inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR - cpu_est_1_.T cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.D.X1 cpu_est_2_.D.X2 - cpu_est_2_.C cpu_est_2_.AR + inst_CLK_000_D6.Q SM_AMIGA_5_.Q SM_AMIGA_6_.Q UDS_000.Q LDS_000.Q DSACK_1_.Q + inst_CLK_000_D3.Q SM_AMIGA_4_.Q inst_CLK_000_D5.Q SM_AMIGA_7_.Q SM_AMIGA_3_.Q + SM_AMIGA_1_.Q CLK_CNT_N_0_.Q CLK_CNT_N_1_.Q AMIGA_BUS_ENABLE.Q CLK_CNT_P_0_.Q + CLK_CNT_P_1_.Q SM_AMIGA_2_.Q SM_AMIGA_0_.Q inst_CLK_000_D4.Q inst_CLK_OUT_PRE.Q + cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q BG_000.Q DSACK_1_.PIN DTACK.PIN +.ob BERR BERR.OE CLK_DIV_OUT.D CLK_DIV_OUT.C CLK_DIV_OUT.AR AVEC AVEC_EXP + AVEC_EXP.OE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN CIIN.OE DSACK_0_ + DSACK_0_.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP DSACK_1_.D- DSACK_1_.C + DSACK_1_.AP DSACK_1_.OE AS_000.D AS_000.C AS_000.AP AS_000.OE UDS_000.D- + UDS_000.C UDS_000.AP UDS_000.OE LDS_000.D LDS_000.C LDS_000.AP LDS_000.OE + BG_000.D- BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D + CLK_EXP.C CLK_EXP.AR FPU_CS.D- FPU_CS.C FPU_CS.AP DTACK.D- DTACK.C DTACK.AP + DTACK.OE E.D.X1 E.D.X2 E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C + RESET.AR AMIGA_BUS_ENABLE.D- AMIGA_BUS_ENABLE.C IPL_030_1_.D IPL_030_1_.C + IPL_030_1_.AP IPL_030_0_.D IPL_030_0_.C IPL_030_0_.AP inst_AS_030_000_SYNC.D + inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.D- + inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP + inst_VPA_SYNC.D- inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_CLK_000_D0.D + inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_CLK_000_D1.D inst_CLK_000_D1.C + inst_CLK_000_D1.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP + inst_CLK_000_D6.D inst_CLK_000_D6.C inst_CLK_000_D6.AP SM_AMIGA_5_.D + SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR + inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP SM_AMIGA_4_.D + SM_AMIGA_4_.C SM_AMIGA_4_.AR inst_CLK_000_D5.D inst_CLK_000_D5.C + inst_CLK_000_D5.AP SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_3_.D + SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR + CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C + CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.D + CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR + SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR inst_CLK_000_D4.D inst_CLK_000_D4.C + inst_CLK_000_D4.AP inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR + cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.T cpu_est_1_.C cpu_est_1_.AR + cpu_est_2_.D.X1 cpu_est_2_.D.X2 cpu_est_2_.C cpu_est_2_.AR .phase 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 113 ----------------------------------------------------------------------------- 000000001000100100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------0------------------------------------- 010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------1------ 001001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------1---------------------------------------------------------------- 000100100000000000100100010001000100010010010010000100010010010100100100100100100100100100100100100100100100100100100100000000100100100100100100100010 --------------0-------------------------------------------------------------- 000010010000000000010010001000100010001001001001000010001001000010010010010010010010010010010010010010010010010010010010010010010010010010010010010001 ---------------0------------------------------------------------------------- 000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------1111------------------------------------------------- 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0--------------0000000----------------------------------------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1--------------------------------------------------------------------- 000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1----0---------------------------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1-----1--------------------------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1--------------------------------------------10--------------------------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------------------------------0-------------------- 000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------0-------1--1-------------- 000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000 -----1----------------------------------------------0------------------------ 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------1---------0------------------------ 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1-------------------------------------- 000000000000000000000000000100010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0--------1----------------0-------------------1------------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----01-----------------------------------------------0---------------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0---------1------------------------------------0-0---------------------- 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0--------0----------------0-------------------------1------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0---------0--------------------------------------0---0------------------ 000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----11---------------------------------------------------------------------- 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0----0--------11---------------0-------------------1------------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1---------1------------------------------------0------------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------1------------------------------------------------1--------------------- 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------1------------------------------------0--1--------------------- 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0----0--------01---------------0-------------------------1------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1---------0------------------------------------------0------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------0---------------------------------------1--0------------------ 000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1-10---------------------------1-----------10----------1---------------- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1----------------------------1-------------------------------------- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1--------------------------------------10--------------------------- 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----10---11-----------------0010---1----------------------------------------- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0---------------------------------0------------------------------------- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------0--------------------------------0- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------0-------------------------------------- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------10----------------------111-- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------10----------------------000-- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ------------------------------------------------10---------------------1-00-- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------1-- 000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1----------------------------------- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------01-----1----------------------0110-- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1----0-0----------------------10---- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1------1--------------------------------------1----------------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------0------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----------------------------------------------0---------0------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1-------0---------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1--------1--------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1--------------10--------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------1----------0---------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------1-----------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1-------------10--------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1----------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 -------0------------------------------------1-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------0---------------------------------1-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1----1------------------0010---1--------1-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 -------0---------------------------------------------1----------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1---------------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0---------------------------------------0------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------1-1------------1--------------0 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----------------------------------------0----------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------0----0-1------------1----------0-1-- 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 -----------1----------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------1--------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1---1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 ------------------------------------------------1----1----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 --------------------------------------------0-----0------1--1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 -------1----------------------------------------0----1------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 --------------------------------------------------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 ------------------------------------------------0---1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 ------------------------------------------------0---------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 ---------------------------------------------------------1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 -------0----------------------------------------0----1----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 --------------------------------------------1---------------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 --------------------------------------------------1---------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 ---------------------------------------------------------0--1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 ------------------------------------------1-----1--------------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 ------------------------------------------------1---------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 ---------------------------------------------1-1-------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 ------------------------------------------------1------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 ------------------------------------------------1-------------1-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 ------------------------------------------------1-------------------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 ----------------------------------------------------------------11----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 ----------------------------------------------------------------00----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 ------------0---------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000 ----------------------------------------------------------------1------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 ------------------------------------------------------------------11--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 ------------------------------------------------------------------00--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 ------------------------------------------------------------------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 ---------------------------------------------0--0------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 -----------------------------------------------00------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 ------------------------------------------------0-------------------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 ------------------------------------------------0--1----------1-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 ------------------------------------------------0----------0--1-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 ------------------------------------------0--------------------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 ------------------------------------------------0--------------------1------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 ----------------------------------------------------------------0101--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 ----------------------------------------------------------------1001--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 ----------------------------------------------------------------0110--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 ----------------------------------------------------------------1010--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 ------------------------------------------------0----------------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 -------------------------------------------------1---------------------1----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 ------------------------------------------------10---------------------0----- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 ------------------------------------------------10----------------------011-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ------------------------------------------------10---------------------1-01-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000 ------------------------------------------------10---------------------1-10-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 ------------------------------------------------10---------------------000--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 ------------------------------------------------10---------------------1010-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------------------------------------------------------------------1--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +.p 115 +----------------------------------------------------------------------------- 000001000100100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0---------------------------------------- 010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------1------- 001000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------1----------------------------------------------------------------- 000100000000000100100010001000100010010010010010000100010010010100100100100100100100100100100100100100100100100100100000000100100100100100100100100010 +-------------0--------------------------------------------------------------- 000010000000000010010001000100010001001001001001000010001001000010010010010010010010010010010010010010010010010010010010010010010010010010010010010001 +--------------0-------------------------------------------------------------- 000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------1111-------------------------------------------------- 000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0--------------0000000------------------------------------------------------ 000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1---------------------------------------------------------------------- 000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1--0------------------------------ 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1---1----------------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1-------------------------------------------10----------------------------- 000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0-------------------------------------------------0---------------------- 000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------0-------1--1---------------- 000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000 +----1---------------------------------------------0-------------------------- 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1----------0-------------------------- 000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1----------------------------------------- 000000000000000000000000100010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------1----------------0------------------1-------------------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----01----------------------------------------------0------------------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------1-----------------------------------0-0------------------------ 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0--------0----------------0------------------------1-------------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0---------0-------------------------------------0---0-------------------- 000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----11----------------------------------------------------------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0----0--------11---------------0------------------1-------------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1---------1-----------------------------------0-------------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----1-----------------------------------------------1----------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------1-----------------------------------0--1----------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0----0--------01---------------0------------------------1-------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1---------0-----------------------------------------0-------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------0--------------------------------------1--0-------------------- 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-10--1-----------------------------------------------1------------------ 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0------------------------------------------------------------------0-- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1--------------------------1----------------------------------------- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1-------------------------------------10----------------------------- 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---10---11-----------------0010---1------------------------------------------ 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0-------------------------------0---------------------------------------- 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0-----------------------------------0- 000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------0----------------------------0----------------------------------------- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------10-----------------------111--- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------10-----------------------000--- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +----------------------------------------------10----------------------1-00--- 000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------1--- 000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1-------------------------------------- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------01------1-----------------------0110--- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1-----0-0-----------------------10----- 000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1------1-------------------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0-------------------------------------------------0------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0----------------------------------------------0-----------0------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1-----0------------------------------ 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1------1----------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------1-------------10----------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1--------0------------------------------ 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------1---------1----------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1------------10----------------------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------0----------------------------------1----------------------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------0-------------------------------1----------------------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1----1------------------0010---1------1----------------------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------0--------------------------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------1----------------0------------------ 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0-------------------------------------0---------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------1-1------------1----------------0 000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1---------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 +----0----------------------------------------0------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------0-----0-1------------1-----------0-1--- 000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +----------1------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------1------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------1----------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------1------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------1---1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +----------------------------------------------1----1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0------0------1--1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +------1---------------------------------------0----1------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +------------------------------------------------1---------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 +----------------------------------------------0---1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 +----------------------------------------------0---------1-------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------1-------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +------0---------------------------------------0----1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +-----------------------------------------1----------------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +------------------------------------------------1---------1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +-------------------------------------------------------0--1------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +---------------------------------------1------1--------------------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +----------------------------------------------1---------1-------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 +------------------------------------------1--1-------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 +----------------------------------------------1------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 +----------------------------------------------1-------------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +----------------------------------------------1-------------------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +-------------------------------------------------------------11-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 +-------------------------------------------------------------00-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 +-----------0----------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000 +-------------------------------------------------------------1--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +----------------------------------------------------------------11----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 +----------------------------------------------------------------00----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 +----------------------------------------------------------------1------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------------------------------------0---0------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +---------------------------------------------00------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------------------------------------------0-------------------1---------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------------------------------------------0--1----------1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----------------------------------------------0----------0--1---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +---------------------------------------0---------------------------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----------------------------------------------0--------------------1--------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +-------------------------------------------------------1--------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +-------------------------------------------------------------01-01----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------------------------------------------------------10-01----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------------------------------------------------------01-10----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +-------------------------------------------------------------10-10----------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +----------------------------------------------0-----------------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +-----------------------------------------------1----------------------1------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +----------------------------------------------10----------------------0------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +----------------------------------------------10-----------------------011--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +----------------------------------------------10----------------------1-01--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000 +----------------------------------------------10----------------------1-10--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +----------------------------------------------10----------------------000---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +----------------------------------------------10----------------------1010--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +------------------------------------------------------------------------1---- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 .end diff --git a/Logic/68030_tk.vcl b/Logic/68030_tk.vcl index f4c39da..26fac0d 100644 --- a/Logic/68030_tk.vcl +++ b/Logic/68030_tk.vcl @@ -18,7 +18,7 @@ SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; DATE = 5/24/14; -TIME = 16:11:54; +TIME = 19:56:24; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -144,54 +144,55 @@ BGACK_030 = OUTPUT,83,7,-; FPU_CS = OUTPUT,78,7,-; VMA = OUTPUT,35,3,-; AS_000 = OUTPUT,33,3,-; +BG_000 = OUTPUT,29,3,-; AVEC = OUTPUT,92,0,-; DSACK_0_ = OUTPUT,80,7,-; CLK_DIV_OUT = OUTPUT,65,6,-; AMIGA_BUS_DATA_DIR = OUTPUT,48,4,-; CIIN = OUTPUT,47,4,-; BERR = OUTPUT,41,4,-; -BG_000 = OUTPUT,29,3,-; AVEC_EXP = OUTPUT,22,2,-; AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-; CLK_EXP = OUTPUT,10,1,-; RESET = OUTPUT,3,1,-; inst_CLK_000_D1 = NODE,*,3,-; -inst_CLK_000_D0 = NODE,*,6,-; -RN_FPU_CS = NODE,-1,7,-; -SM_AMIGA_7_ = NODE,*,7,-; +inst_CLK_000_D0 = NODE,*,3,-; +SM_AMIGA_7_ = NODE,*,6,-; cpu_est_1_ = NODE,*,3,-; -inst_CLK_OUT_PRE = NODE,*,1,-; RN_E = NODE,-1,6,-; +cpu_est_0_ = NODE,*,7,-; +RN_FPU_CS = NODE,-1,7,-; +SM_AMIGA_4_ = NODE,*,6,-; +SM_AMIGA_6_ = NODE,*,7,-; +inst_AS_030_000_SYNC = NODE,*,7,-; +inst_CLK_OUT_PRE = NODE,*,6,-; cpu_est_2_ = NODE,*,3,-; -cpu_est_0_ = NODE,*,6,-; SM_AMIGA_2_ = NODE,*,1,-; -SM_AMIGA_1_ = NODE,*,6,-; -SM_AMIGA_3_ = NODE,*,1,-; +SM_AMIGA_1_ = NODE,*,7,-; RN_VMA = NODE,-1,3,-; RN_BGACK_030 = NODE,-1,7,-; RN_AS_000 = NODE,-1,3,-; -SM_AMIGA_4_ = NODE,*,3,-; -SM_AMIGA_6_ = NODE,*,7,-; -inst_VPA_SYNC = NODE,*,6,-; -inst_DTACK_SYNC = NODE,*,6,-; -inst_CLK_000_D4 = NODE,*,7,-; -inst_CLK_000_D5 = NODE,*,7,-; +CLK_CNT_P_0_ = NODE,*,6,-; +CLK_CNT_N_0_ = NODE,*,1,-; +SM_AMIGA_5_ = NODE,*,3,-; +CLK_CNT_N_1_ = NODE,*,1,-; +inst_CLK_000_D5 = NODE,*,6,-; +inst_CLK_000_D3 = NODE,*,7,-; +inst_CLK_000_D6 = NODE,*,7,-; +inst_CLK_000_D2 = NODE,*,3,-; inst_VPA_D = NODE,*,1,-; RN_LDS_000 = NODE,-1,3,-; -inst_AS_030_000_SYNC = NODE,*,7,-; RN_UDS_000 = NODE,-1,3,-; -SM_AMIGA_0_ = NODE,*,7,-; +SM_AMIGA_0_ = NODE,*,6,-; RN_IPL_030_0_ = NODE,-1,1,-; RN_IPL_030_1_ = NODE,-1,1,-; RN_AMIGA_BUS_ENABLE = NODE,-1,3,-; RN_IPL_030_2_ = NODE,-1,1,-; +SM_AMIGA_3_ = NODE,*,1,-; +RN_BG_000 = NODE,-1,3,-; RN_DSACK_1_ = NODE,-1,7,-; -CLK_CNT_P_0_ = NODE,*,1,-; -CLK_CNT_N_0_ = NODE,*,1,-; -SM_AMIGA_5_ = NODE,*,3,-; -CLK_CNT_P_1_ = NODE,*,1,-; -CLK_CNT_N_1_ = NODE,*,1,-; -inst_CLK_000_D3 = NODE,*,7,-; -inst_CLK_000_D2 = NODE,*,7,-; -CLK_OUT_INTreg = NODE,*,6,-; +inst_VPA_SYNC = NODE,*,1,-; +inst_DTACK_SYNC = NODE,*,1,-; +inst_CLK_000_D4 = NODE,*,7,-; +CLK_CNT_P_1_ = NODE,*,7,-; CLK_OSZI = INPUT,61,-,-; diff --git a/Logic/68030_tk.vco b/Logic/68030_tk.vco index 6acbe54..9b675f4 100644 --- a/Logic/68030_tk.vco +++ b/Logic/68030_tk.vco @@ -18,7 +18,7 @@ SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; DATE = 5/24/14; -TIME = 16:11:55; +TIME = 19:56:24; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -134,43 +134,42 @@ Layer = OFF; SIZE_1_ = INPUT,79, H,-; A_31_ = INPUT,4, B,-; IPL_2_ = INPUT,68, G,-; +FC_1_ = INPUT,58, F,-; +AS_030 = INPUT,82, H,-; SIZE_0_ = INPUT,70, G,-; +DS_030 = INPUT,98, A,-; A_30_ = INPUT,5, B,-; A_29_ = INPUT,6, B,-; A_28_ = INPUT,15, C,-; -FC_1_ = INPUT,58, F,-; +nEXP_SPACE = INPUT,14,-,-; A_27_ = INPUT,16, C,-; -AS_030 = INPUT,82, H,-; +BERR = OUTPUT,41, E,-; A_26_ = INPUT,17, C,-; +BG_030 = INPUT,21, C,-; A_25_ = INPUT,18, C,-; -DS_030 = INPUT,98, A,-; A_24_ = INPUT,19, C,-; A_23_ = INPUT,84, H,-; +BGACK_000 = INPUT,28, D,-; A_22_ = INPUT,85, H,-; -nEXP_SPACE = INPUT,14,-,-; +CLK_030 = INPUT,64,-,-; A_21_ = INPUT,94, A,-; -BERR = OUTPUT,41, E,-; +CLK_000 = INPUT,11,-,-; A_20_ = INPUT,93, A,-; -BG_030 = INPUT,21, C,-; +CLK_OSZI = INPUT,61,-,-; A_19_ = INPUT,97, A,-; +CLK_DIV_OUT = OUTPUT,65, G,-; A_18_ = INPUT,95, A,-; A_17_ = INPUT,59, F,-; -BGACK_000 = INPUT,28, D,-; A_16_ = INPUT,96, A,-; -CLK_030 = INPUT,64,-,-; -CLK_000 = INPUT,11,-,-; -CLK_OSZI = INPUT,61,-,-; -CLK_DIV_OUT = OUTPUT,65, G,-; -CLK_EXP = OUTPUT,10, B,-; AVEC = OUTPUT,92, A,-; AVEC_EXP = OUTPUT,22, C,-; VPA = INPUT,36,-,-; RST = INPUT,86,-,-; RW = INPUT,71, G,-; -A_0_ = INPUT,69, G,-; AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-; AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-; CIIN = OUTPUT,47, E,-; +A_0_ = INPUT,69, G,-; IPL_1_ = INPUT,56, F,-; IPL_0_ = INPUT,67, G,-; DSACK_0_ = OUTPUT,80, H,-; @@ -182,6 +181,7 @@ UDS_000 = OUTPUT,32, D,-; LDS_000 = OUTPUT,31, D,-; BG_000 = OUTPUT,29, D,-; BGACK_030 = OUTPUT,83, H,-; +CLK_EXP = OUTPUT,10, B,-; FPU_CS = OUTPUT,78, H,-; DTACK = BIDIR,30, D,-; E = OUTPUT,66, G,-; @@ -190,30 +190,30 @@ RESET = OUTPUT,3, B,-; AMIGA_BUS_ENABLE = OUTPUT,34, D,-; IPL_030_1_ = OUTPUT,7, B,-; IPL_030_0_ = OUTPUT,8, B,-; -CLK_OUT_INTreg = NODE,13, G,-; -inst_AS_030_000_SYNC = NODE,2, H,-; -inst_DTACK_SYNC = NODE,9, G,-; +inst_AS_030_000_SYNC = NODE,9, H,-; +inst_DTACK_SYNC = NODE,14, B,-; inst_VPA_D = NODE,2, B,-; -inst_VPA_SYNC = NODE,5, G,-; -inst_CLK_000_D0 = NODE,8, G,-; +inst_VPA_SYNC = NODE,10, B,-; +inst_CLK_000_D0 = NODE,2, D,-; inst_CLK_000_D1 = NODE,9, D,-; -inst_CLK_000_D2 = NODE,14, H,-; -inst_CLK_000_D5 = NODE,13, H,-; +inst_CLK_000_D2 = NODE,3, D,-; +inst_CLK_000_D6 = NODE,6, H,-; SM_AMIGA_5_ = NODE,14, D,-; SM_AMIGA_6_ = NODE,5, H,-; -inst_CLK_000_D3 = NODE,10, H,-; -SM_AMIGA_4_ = NODE,10, D,-; -inst_CLK_000_D4 = NODE,9, H,-; -SM_AMIGA_7_ = NODE,1, H,-; -SM_AMIGA_3_ = NODE,13, B,-; -SM_AMIGA_1_ = NODE,1, G,-; -CLK_CNT_N_0_ = NODE,10, B,-; -CLK_CNT_N_1_ = NODE,3, B,-; -CLK_CNT_P_0_ = NODE,6, B,-; -CLK_CNT_P_1_ = NODE,14, B,-; -SM_AMIGA_2_ = NODE,9, B,-; -SM_AMIGA_0_ = NODE,6, H,-; -inst_CLK_OUT_PRE = NODE,5, B,-; -cpu_est_0_ = NODE,12, G,-; -cpu_est_1_ = NODE,2, D,-; -cpu_est_2_ = NODE,6, D,-; +inst_CLK_000_D3 = NODE,2, H,-; +SM_AMIGA_4_ = NODE,12, G,-; +inst_CLK_000_D5 = NODE,9, G,-; +SM_AMIGA_7_ = NODE,8, G,-; +SM_AMIGA_3_ = NODE,6, B,-; +SM_AMIGA_1_ = NODE,13, H,-; +CLK_CNT_N_0_ = NODE,9, B,-; +CLK_CNT_N_1_ = NODE,13, B,-; +CLK_CNT_P_0_ = NODE,5, G,-; +CLK_CNT_P_1_ = NODE,14, H,-; +SM_AMIGA_2_ = NODE,5, B,-; +SM_AMIGA_0_ = NODE,13, G,-; +inst_CLK_000_D4 = NODE,10, H,-; +inst_CLK_OUT_PRE = NODE,1, G,-; +cpu_est_0_ = NODE,1, H,-; +cpu_est_1_ = NODE,6, D,-; +cpu_est_2_ = NODE,10, D,-; diff --git a/Logic/68030_tk.xrf b/Logic/68030_tk.xrf index 091f40d..eccdd4d 100644 --- a/Logic/68030_tk.xrf +++ b/Logic/68030_tk.xrf @@ -2,7 +2,7 @@ Signal Name Cross Reference File ispLEVER Classic 1.7.00.05.28.13 -Design '68030_tk' created Sat May 24 16:11:50 2014 +Design '68030_tk' created Sat May 24 19:56:20 2014 LEGEND: '>' Functional Block Port Separator diff --git a/Logic/BUS68030.bl0 b/Logic/BUS68030.bl0 index 754b7ec..087be5c 100644 --- a/Logic/BUS68030.bl0 +++ b/Logic/BUS68030.bl0 @@ -1,134 +1,136 @@ -#$ DATE Sat May 24 16:11:50 2014 +#$ DATE Sat May 24 19:56:20 2014 #$ TOOL EDIF2BLIF version IspLever 1.0 #$ MODULE bus68030 -#$ PINS 74 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ SIZE_0_ A_30_ DSACK_1_ A_29_ A_28_ FC_1_ A_27_ AS_030 A_26_ AS_000 A_25_ DS_030 A_24_ UDS_000 A_23_ LDS_000 A_22_ nEXP_SPACE A_21_ BERR A_20_ BG_030 A_19_ BG_000 A_18_ BGACK_030 A_17_ BGACK_000 A_16_ CLK_030 A_15_ CLK_000 A_14_ CLK_OSZI A_13_ CLK_DIV_OUT A_12_ CLK_EXP A_11_ FPU_CS A_10_ DTACK A_9_ AVEC A_8_ AVEC_EXP A_7_ E A_6_ VPA A_5_ VMA A_4_ RST A_3_ RESET A_2_ RW A_1_ AMIGA_BUS_ENABLE A_0_ AMIGA_BUS_DATA_DIR IPL_030_1_ AMIGA_BUS_ENABLE_LOW IPL_030_0_ CIIN IPL_1_ IPL_0_ DSACK_0_ FC_0_ -#$ NODES 358 CLK_OSZI_c CLK_OUT_INTreg IPL_030DFFSH_0_reg inst_BGACK_030_INTreg inst_FPU_CS_INTreg IPL_030DFFSH_1_reg inst_VMA_INTreg inst_AS_000_INTreg IPL_030DFFSH_2_reg inst_AS_030_000_SYNC \ -# inst_DTACK_SYNC ipl_c_0__n inst_VPA_D inst_VPA_SYNC ipl_c_1__n inst_CLK_000_D0 inst_CLK_000_D1 ipl_c_2__n inst_CLK_000_D2 inst_CLK_000_D5 \ -# SM_AMIGA_5_ dsack_c_1__n SM_AMIGA_6_ vcc_n_n DTACK_c gnd_n_n inst_UDS_000_INTreg inst_LDS_000_INTreg DSACK_INT_1_ AS_000_INT_1_sqmuxa \ -# state_machine_un8_clk_000_d2_n inst_CLK_000_D3 SM_AMIGA_4_ RST_c state_machine_un23_clk_000_d0_n state_machine_un6_clk_000_d4_n RESETDFFRHreg inst_CLK_000_D4 inst_DTACK_DMA RW_c \ -# state_machine_un12_bg_030_n SM_AMIGA_7_ fc_c_0__n SM_AMIGA_3_ state_machine_un6_bgack_000_n fc_c_1__n SM_AMIGA_1_ G_102 AMIGA_BUS_ENABLEDFFreg CLK_CNT_N_0_ \ -# CLK_CNT_N_1_ G_108 CLK_CNT_P_0_ CLK_CNT_P_1_ cpu_est_ns_0_1__n SM_AMIGA_2_ N_126_i SM_AMIGA_0_ N_128_i state_machine_un7_as_000_int_n \ -# N_216_i state_machine_un15_clk_000_d0_n N_217_i state_machine_lds_000_int_5_n N_61_0 state_machine_uds_000_int_5_n N_60_0 un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_129_i inst_CLK_OUT_PRE \ -# un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i N_58_i N_57_i N_55_i N_54_i N_53_i N_50_i CLK_000_D1_i N_49_i \ -# N_48_i N_122_i N_123_i cpu_est_ns_e_0_0__n N_79_i N_226_i N_227_i sm_amiga_ns_0_0__n cpu_est_0_ N_222_i \ -# cpu_est_1_ N_223_i cpu_est_2_ N_225_i cpu_est_3_reg cpu_est_ns_0_2__n N_221_i N_41_i N_127_i N_220_i \ -# cpu_est_ns_1__n N_157_i cpu_est_ns_2__n N_214_i N_203 N_215_i N_204 sm_amiga_ns_0_7__n N_205 N_93_i \ -# N_23 N_94_i N_27 N_47 N_85_i N_48 N_86_i N_49 sm_amiga_ns_0_5__n N_50 \ -# N_84_i N_53 N_130_i N_54 N_55 N_82_i N_57 N_58 N_81_i N_60 \ -# N_61 N_77_i N_68 N_69 N_75_i N_70 state_machine_lds_000_int_5_0_n N_71 state_machine_uds_000_int_5_0_n N_72 \ -# N_73_i N_73 N_27_0 N_75 N_23_0 N_77 N_71_i N_79 N_205_0 N_81 \ -# N_204_0 N_82 N_68_i N_84 N_69_i N_85 state_machine_un15_clk_000_d0_0_n N_86 N_203_0 N_93 \ -# state_machine_un6_bgack_000_0_n N_94 N_214 state_machine_un23_clk_000_d0_0_n N_215 N_238_1 N_216 N_238_2 N_217 N_238_3 \ -# N_220 N_238_4 N_221 N_238_5 N_222 N_238_6 N_223 N_241_1 N_225 N_241_2 \ -# N_226 state_machine_un8_clk_000_d2_1_n N_227 N_53_i_1 N_122 N_53_i_2 N_123 N_53_i_3 N_126 N_53_i_4 \ -# N_127 N_53_i_5 N_128 cpu_est_ns_0_1_1__n N_129 cpu_est_ns_0_2_1__n N_130 state_machine_un12_bg_030_1_n N_238 state_machine_un12_bg_030_2_n \ -# N_241 state_machine_un12_bg_030_3_n RW_i state_machine_un12_bg_030_4_n VMA_INT_i N_73_1 VPA_D_i N_73_2 DTACK_i N_72_1 \ -# BG_030_i N_72_2 CLK_000_D0_i N_70_1 sm_amiga_i_4__n N_70_2 cpu_est_i_3__n N_70_3 sm_amiga_i_1__n sm_amiga_ns_0_1_0__n \ -# state_machine_un6_clk_000_d4_i_n cpu_est_ns_0_1_2__n sm_amiga_i_6__n N_221_1 nEXP_SPACE_i N_215_1 AS_000_INT_i N_75_1 cpu_est_i_1__n N_69_1 \ -# cpu_est_i_0__n N_68_1 AMIGA_BUS_ENABLE_i state_machine_uds_000_int_5_0_m2_un3_n AS_030_i state_machine_uds_000_int_5_0_m2_un1_n cpu_est_i_2__n state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n lds_000_int_0_un3_n \ -# sm_amiga_i_3__n lds_000_int_0_un1_n sm_amiga_i_5__n lds_000_int_0_un0_n state_machine_un8_clk_000_d2_i_n vpa_sync_0_un3_n sm_amiga_i_7__n vpa_sync_0_un1_n a_i_0__n vpa_sync_0_un0_n \ -# size_i_1__n vma_int_0_un3_n dsack_i_1__n vma_int_0_un1_n CLK_000_D2_i vma_int_0_un0_n AS_030_000_SYNC_i bgack_030_int_0_un3_n a_i_30__n bgack_030_int_0_un1_n \ -# a_i_31__n bgack_030_int_0_un0_n a_i_28__n as_000_int_0_un3_n a_i_29__n as_000_int_0_un1_n a_i_26__n as_000_int_0_un0_n a_i_27__n ipl_030_0_0__un3_n \ -# a_i_24__n ipl_030_0_0__un1_n a_i_25__n ipl_030_0_0__un0_n a_i_19__n ipl_030_0_1__un3_n a_i_16__n ipl_030_0_1__un1_n a_i_18__n ipl_030_0_1__un0_n \ -# RST_i ipl_030_0_2__un3_n ipl_030_0_2__un1_n ipl_030_0_2__un0_n cpu_estse_0_un3_n CLK_OSZI_i cpu_estse_0_un1_n cpu_estse_0_un0_n N_70_i cpu_estse_1_un3_n \ -# N_72_i cpu_estse_1_un1_n FPU_CS_INT_i cpu_estse_1_un0_n BGACK_030_INT_i cpu_estse_2_un3_n CLK_000_D5_i cpu_estse_2_un1_n AS_030_c cpu_estse_2_un0_n \ -# as_030_000_sync_0_un3_n as_030_000_sync_0_un1_n DS_030_c as_030_000_sync_0_un0_n dtack_sync_0_un3_n dtack_sync_0_un1_n dtack_sync_0_un0_n size_c_0__n fpu_cs_int_0_un3_n fpu_cs_int_0_un1_n \ -# size_c_1__n fpu_cs_int_0_un0_n dsack_int_0_1__un3_n a_c_0__n dsack_int_0_1__un1_n dsack_int_0_1__un0_n amiga_bus_enable_0_un3_n amiga_bus_enable_0_un1_n amiga_bus_enable_0_un0_n uds_000_int_0_un3_n \ -# uds_000_int_0_un1_n uds_000_int_0_un0_n a_15__n a_14__n a_13__n a_12__n a_11__n a_c_16__n a_10__n a_c_17__n \ -# a_9__n a_c_18__n a_8__n a_c_19__n a_7__n a_c_20__n a_6__n a_c_21__n a_5__n a_c_22__n \ -# a_4__n a_c_23__n a_3__n a_c_24__n a_2__n a_c_25__n a_1__n a_c_26__n a_c_27__n a_c_28__n \ -# a_c_29__n a_c_30__n a_c_31__n nEXP_SPACE_c BG_030_c BG_000DFFSHreg BGACK_000_c CLK_030_c +#$ PINS 74 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ DSACK_1_ FC_1_ AS_030 AS_000 SIZE_0_ DS_030 A_30_ UDS_000 A_29_ LDS_000 A_28_ nEXP_SPACE A_27_ BERR A_26_ BG_030 A_25_ BG_000 A_24_ BGACK_030 A_23_ BGACK_000 A_22_ CLK_030 A_21_ CLK_000 A_20_ CLK_OSZI A_19_ CLK_DIV_OUT A_18_ CLK_EXP A_17_ FPU_CS A_16_ DTACK A_15_ AVEC A_14_ AVEC_EXP A_13_ E A_12_ VPA A_11_ VMA A_10_ RST A_9_ RESET A_8_ RW A_7_ AMIGA_BUS_ENABLE A_6_ AMIGA_BUS_DATA_DIR A_5_ AMIGA_BUS_ENABLE_LOW A_4_ CIIN A_3_ A_2_ A_1_ A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ DSACK_0_ FC_0_ +#$ NODES 365 CLK_000_c CLK_OSZI_c CLK_OUT_INTreg inst_BGACK_030_INTreg inst_FPU_CS_INTreg IPL_030DFFSH_0_reg inst_VMA_INTreg inst_AS_000_INTreg IPL_030DFFSH_1_reg inst_AS_030_000_SYNC \ +# inst_DTACK_SYNC IPL_030DFFSH_2_reg inst_VPA_D inst_VPA_SYNC ipl_c_0__n inst_CLK_000_D0 inst_CLK_000_D1 ipl_c_1__n inst_CLK_000_D2 inst_CLK_000_D6 \ +# ipl_c_2__n SM_AMIGA_5_ SM_AMIGA_6_ vcc_n_n dsack_c_1__n gnd_n_n inst_UDS_000_INTreg DTACK_c inst_LDS_000_INTreg DSACK_INT_1_ \ +# AS_000_INT_1_sqmuxa state_machine_un8_clk_000_d2_n inst_CLK_000_D3 SM_AMIGA_4_ state_machine_un23_clk_000_d0_n state_machine_un6_clk_000_d5_n RST_c inst_CLK_000_D5 SM_AMIGA_7_ RESETDFFRHreg \ +# SM_AMIGA_3_ state_machine_un6_bgack_000_n RW_c SM_AMIGA_1_ inst_DTACK_DMA fc_c_0__n G_102 CLK_CNT_N_0_ fc_c_1__n CLK_CNT_N_1_ \ +# G_108 AMIGA_BUS_ENABLEDFFreg CLK_CNT_P_0_ CLK_CNT_P_1_ SM_AMIGA_2_ SM_AMIGA_0_ state_machine_un10_bg_030_n cpu_est_ns_0_1__n state_machine_un7_as_000_int_n N_129_i \ +# inst_CLK_000_D4 N_131_i state_machine_un15_clk_000_d0_n N_221_i state_machine_lds_000_int_5_n N_222_i state_machine_uds_000_int_5_n N_63_0 un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_62_0 \ +# inst_CLK_OUT_PRE N_132_i un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i N_60_i N_59_i N_57_i N_56_i N_55_i CLK_000_D1_i \ +# N_54_i N_51_i N_50_i N_125_i N_126_i cpu_est_ns_e_0_0__n N_85_i N_123_i N_124_i sm_amiga_ns_0_0__n \ +# cpu_est_0_ N_122_i cpu_est_1_ N_227_i cpu_est_2_ N_228_i cpu_est_3_reg cpu_est_ns_0_2__n N_226_i N_44_i \ +# N_130_i N_225_i cpu_est_ns_1__n N_158_i cpu_est_ns_2__n N_219_i N_204 N_220_i N_205 sm_amiga_ns_0_7__n \ +# N_206 N_215_i N_26 N_216_i N_30 N_49 N_95_i N_50 N_214_i N_51 \ +# sm_amiga_ns_0_5__n N_54 N_94_i N_55 N_133_i N_56 N_57 N_87_i N_59 N_60 \ +# N_86_i N_62 N_63 N_83_i N_68 N_70 N_82_i N_72 state_machine_lds_000_int_5_0_n N_73 \ +# state_machine_uds_000_int_5_0_n N_74 N_80_i N_76 N_30_0 N_78 N_26_0 N_80 N_76_i N_82 \ +# N_206_0 N_83 N_205_0 N_85 N_72_i N_86 N_73_i N_87 state_machine_un15_clk_000_d0_0_n N_94 \ +# N_204_0 N_95 BG_030_c_i N_214 N_70_i N_215 state_machine_un10_bg_030_0_n N_216 state_machine_un6_bgack_000_0_n N_219 \ +# N_220 state_machine_un23_clk_000_d0_0_n N_221 N_236_1 N_222 N_236_2 N_225 N_236_3 N_226 N_236_4 \ +# N_227 N_236_5 N_228 N_236_6 N_122 N_239_1 N_123 N_239_2 N_124 state_machine_un8_clk_000_d2_1_n \ +# N_125 N_55_i_1 N_126 N_55_i_2 N_129 N_55_i_3 N_130 N_55_i_4 N_131 N_55_i_5 \ +# N_132 cpu_est_ns_0_1_1__n N_133 cpu_est_ns_0_2_1__n N_236 N_80_1 N_239 N_80_2 RW_i N_78_1 \ +# VMA_INT_i N_78_2 VPA_D_i N_74_1 DTACK_i N_74_2 CLK_000_D0_i N_74_3 sm_amiga_i_4__n N_70_1 \ +# cpu_est_i_3__n N_70_2 sm_amiga_i_1__n sm_amiga_ns_0_1_0__n state_machine_un6_clk_000_d5_i_n cpu_est_ns_0_1_2__n sm_amiga_i_6__n N_226_1 nEXP_SPACE_i N_220_1 \ +# AS_000_INT_i N_82_1 cpu_est_i_1__n N_73_1 cpu_est_i_0__n N_72_1 AMIGA_BUS_ENABLE_i state_machine_uds_000_int_5_0_m2_un3_n AS_030_i state_machine_uds_000_int_5_0_m2_un1_n \ +# cpu_est_i_2__n state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n vpa_sync_0_un3_n sm_amiga_i_3__n vpa_sync_0_un1_n sm_amiga_i_5__n vpa_sync_0_un0_n state_machine_un8_clk_000_d2_i_n vma_int_0_un3_n \ +# sm_amiga_i_7__n vma_int_0_un1_n a_i_0__n vma_int_0_un0_n size_i_1__n bg_000_0_un3_n dsack_i_1__n bg_000_0_un1_n BGACK_030_INT_i bg_000_0_un0_n \ +# CLK_000_D2_i bgack_030_int_0_un3_n AS_030_000_SYNC_i bgack_030_int_0_un1_n a_i_19__n bgack_030_int_0_un0_n a_i_16__n as_000_int_0_un3_n a_i_18__n as_000_int_0_un1_n \ +# a_i_30__n as_000_int_0_un0_n a_i_31__n ipl_030_0_0__un3_n a_i_28__n ipl_030_0_0__un1_n a_i_29__n ipl_030_0_0__un0_n a_i_26__n ipl_030_0_1__un3_n \ +# a_i_27__n ipl_030_0_1__un1_n a_i_24__n ipl_030_0_1__un0_n a_i_25__n ipl_030_0_2__un3_n RST_i ipl_030_0_2__un1_n ipl_030_0_2__un0_n cpu_estse_0_un3_n \ +# CLK_OSZI_i cpu_estse_0_un1_n cpu_estse_0_un0_n N_74_i cpu_estse_1_un3_n N_78_i cpu_estse_1_un1_n FPU_CS_INT_i cpu_estse_1_un0_n CLK_000_D6_i \ +# cpu_estse_2_un3_n AS_030_c cpu_estse_2_un1_n cpu_estse_2_un0_n as_030_000_sync_0_un3_n DS_030_c as_030_000_sync_0_un1_n as_030_000_sync_0_un0_n dtack_sync_0_un3_n dtack_sync_0_un1_n \ +# size_c_0__n dtack_sync_0_un0_n fpu_cs_int_0_un3_n size_c_1__n fpu_cs_int_0_un1_n fpu_cs_int_0_un0_n a_c_0__n dsack_int_0_1__un3_n dsack_int_0_1__un1_n dsack_int_0_1__un0_n \ +# amiga_bus_enable_0_un3_n amiga_bus_enable_0_un1_n amiga_bus_enable_0_un0_n uds_000_int_0_un3_n uds_000_int_0_un1_n uds_000_int_0_un0_n lds_000_int_0_un3_n lds_000_int_0_un1_n lds_000_int_0_un0_n a_15__n \ +# a_14__n a_13__n a_c_16__n a_12__n a_c_17__n a_11__n a_c_18__n a_10__n a_c_19__n a_9__n \ +# a_c_20__n a_8__n a_c_21__n a_7__n a_c_22__n a_6__n a_c_23__n a_5__n a_c_24__n a_4__n \ +# a_c_25__n a_3__n a_c_26__n a_2__n a_c_27__n a_1__n a_c_28__n a_c_29__n a_c_30__n a_c_31__n \ +# nEXP_SPACE_c BG_030_c BG_000DFFSHreg BGACK_000_c CLK_030_c .model bus68030 .inputs SIZE_1_.BLIF A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF AS_030.BLIF DS_030.BLIF nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF \ CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF VPA.BLIF RST.BLIF RW.BLIF SIZE_0_.BLIF A_30_.BLIF A_29_.BLIF \ A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF A_12_.BLIF A_11_.BLIF \ A_10_.BLIF A_9_.BLIF A_8_.BLIF A_7_.BLIF A_6_.BLIF A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF \ - A_1_.BLIF A_0_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF DSACK_1_.BLIF DTACK.BLIF DSACK_0_.BLIF CLK_OSZI_c.BLIF CLK_OUT_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF inst_BGACK_030_INTreg.BLIF \ - inst_FPU_CS_INTreg.BLIF IPL_030DFFSH_1_reg.BLIF inst_VMA_INTreg.BLIF inst_AS_000_INTreg.BLIF IPL_030DFFSH_2_reg.BLIF inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF ipl_c_0__n.BLIF inst_VPA_D.BLIF \ - inst_VPA_SYNC.BLIF ipl_c_1__n.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF ipl_c_2__n.BLIF inst_CLK_000_D2.BLIF inst_CLK_000_D5.BLIF SM_AMIGA_5_.BLIF dsack_c_1__n.BLIF \ - SM_AMIGA_6_.BLIF vcc_n_n.BLIF DTACK_c.BLIF gnd_n_n.BLIF inst_UDS_000_INTreg.BLIF inst_LDS_000_INTreg.BLIF DSACK_INT_1_.BLIF AS_000_INT_1_sqmuxa.BLIF state_machine_un8_clk_000_d2_n.BLIF \ - inst_CLK_000_D3.BLIF SM_AMIGA_4_.BLIF RST_c.BLIF state_machine_un23_clk_000_d0_n.BLIF state_machine_un6_clk_000_d4_n.BLIF RESETDFFRHreg.BLIF inst_CLK_000_D4.BLIF inst_DTACK_DMA.BLIF RW_c.BLIF \ - state_machine_un12_bg_030_n.BLIF SM_AMIGA_7_.BLIF fc_c_0__n.BLIF SM_AMIGA_3_.BLIF state_machine_un6_bgack_000_n.BLIF fc_c_1__n.BLIF SM_AMIGA_1_.BLIF G_102.BLIF AMIGA_BUS_ENABLEDFFreg.BLIF \ - CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF G_108.BLIF CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.BLIF cpu_est_ns_0_1__n.BLIF SM_AMIGA_2_.BLIF N_126_i.BLIF SM_AMIGA_0_.BLIF \ - N_128_i.BLIF state_machine_un7_as_000_int_n.BLIF N_216_i.BLIF state_machine_un15_clk_000_d0_n.BLIF N_217_i.BLIF state_machine_lds_000_int_5_n.BLIF N_61_0.BLIF state_machine_uds_000_int_5_n.BLIF N_60_0.BLIF \ - un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_129_i.BLIF inst_CLK_OUT_PRE.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF DS_030_c_i.BLIF N_58_i.BLIF N_57_i.BLIF N_55_i.BLIF N_54_i.BLIF \ - N_53_i.BLIF N_50_i.BLIF CLK_000_D1_i.BLIF N_49_i.BLIF N_48_i.BLIF N_122_i.BLIF N_123_i.BLIF cpu_est_ns_e_0_0__n.BLIF N_79_i.BLIF \ - N_226_i.BLIF N_227_i.BLIF sm_amiga_ns_0_0__n.BLIF cpu_est_0_.BLIF N_222_i.BLIF cpu_est_1_.BLIF N_223_i.BLIF cpu_est_2_.BLIF N_225_i.BLIF \ - cpu_est_3_reg.BLIF cpu_est_ns_0_2__n.BLIF N_221_i.BLIF N_41_i.BLIF N_127_i.BLIF N_220_i.BLIF cpu_est_ns_1__n.BLIF N_157_i.BLIF cpu_est_ns_2__n.BLIF \ - N_214_i.BLIF N_203.BLIF N_215_i.BLIF N_204.BLIF sm_amiga_ns_0_7__n.BLIF N_205.BLIF N_93_i.BLIF N_23.BLIF N_94_i.BLIF \ - N_27.BLIF N_47.BLIF N_85_i.BLIF N_48.BLIF N_86_i.BLIF N_49.BLIF sm_amiga_ns_0_5__n.BLIF N_50.BLIF N_84_i.BLIF \ - N_53.BLIF N_130_i.BLIF N_54.BLIF N_55.BLIF N_82_i.BLIF N_57.BLIF N_58.BLIF N_81_i.BLIF N_60.BLIF \ - N_61.BLIF N_77_i.BLIF N_68.BLIF N_69.BLIF N_75_i.BLIF N_70.BLIF state_machine_lds_000_int_5_0_n.BLIF N_71.BLIF state_machine_uds_000_int_5_0_n.BLIF \ - N_72.BLIF N_73_i.BLIF N_73.BLIF N_27_0.BLIF N_75.BLIF N_23_0.BLIF N_77.BLIF N_71_i.BLIF N_79.BLIF \ - N_205_0.BLIF N_81.BLIF N_204_0.BLIF N_82.BLIF N_68_i.BLIF N_84.BLIF N_69_i.BLIF N_85.BLIF state_machine_un15_clk_000_d0_0_n.BLIF \ - N_86.BLIF N_203_0.BLIF N_93.BLIF state_machine_un6_bgack_000_0_n.BLIF N_94.BLIF N_214.BLIF state_machine_un23_clk_000_d0_0_n.BLIF N_215.BLIF N_238_1.BLIF \ - N_216.BLIF N_238_2.BLIF N_217.BLIF N_238_3.BLIF N_220.BLIF N_238_4.BLIF N_221.BLIF N_238_5.BLIF N_222.BLIF \ - N_238_6.BLIF N_223.BLIF N_241_1.BLIF N_225.BLIF N_241_2.BLIF N_226.BLIF state_machine_un8_clk_000_d2_1_n.BLIF N_227.BLIF N_53_i_1.BLIF \ - N_122.BLIF N_53_i_2.BLIF N_123.BLIF N_53_i_3.BLIF N_126.BLIF N_53_i_4.BLIF N_127.BLIF N_53_i_5.BLIF N_128.BLIF \ - cpu_est_ns_0_1_1__n.BLIF N_129.BLIF cpu_est_ns_0_2_1__n.BLIF N_130.BLIF state_machine_un12_bg_030_1_n.BLIF N_238.BLIF state_machine_un12_bg_030_2_n.BLIF N_241.BLIF state_machine_un12_bg_030_3_n.BLIF \ - RW_i.BLIF state_machine_un12_bg_030_4_n.BLIF VMA_INT_i.BLIF N_73_1.BLIF VPA_D_i.BLIF N_73_2.BLIF DTACK_i.BLIF N_72_1.BLIF BG_030_i.BLIF \ - N_72_2.BLIF CLK_000_D0_i.BLIF N_70_1.BLIF sm_amiga_i_4__n.BLIF N_70_2.BLIF cpu_est_i_3__n.BLIF N_70_3.BLIF sm_amiga_i_1__n.BLIF sm_amiga_ns_0_1_0__n.BLIF \ - state_machine_un6_clk_000_d4_i_n.BLIF cpu_est_ns_0_1_2__n.BLIF sm_amiga_i_6__n.BLIF N_221_1.BLIF nEXP_SPACE_i.BLIF N_215_1.BLIF AS_000_INT_i.BLIF N_75_1.BLIF cpu_est_i_1__n.BLIF \ - N_69_1.BLIF cpu_est_i_0__n.BLIF N_68_1.BLIF AMIGA_BUS_ENABLE_i.BLIF state_machine_uds_000_int_5_0_m2_un3_n.BLIF AS_030_i.BLIF state_machine_uds_000_int_5_0_m2_un1_n.BLIF cpu_est_i_2__n.BLIF state_machine_uds_000_int_5_0_m2_un0_n.BLIF \ - sm_amiga_i_2__n.BLIF lds_000_int_0_un3_n.BLIF sm_amiga_i_3__n.BLIF lds_000_int_0_un1_n.BLIF sm_amiga_i_5__n.BLIF lds_000_int_0_un0_n.BLIF state_machine_un8_clk_000_d2_i_n.BLIF vpa_sync_0_un3_n.BLIF sm_amiga_i_7__n.BLIF \ - vpa_sync_0_un1_n.BLIF a_i_0__n.BLIF vpa_sync_0_un0_n.BLIF size_i_1__n.BLIF vma_int_0_un3_n.BLIF dsack_i_1__n.BLIF vma_int_0_un1_n.BLIF CLK_000_D2_i.BLIF vma_int_0_un0_n.BLIF \ - AS_030_000_SYNC_i.BLIF bgack_030_int_0_un3_n.BLIF a_i_30__n.BLIF bgack_030_int_0_un1_n.BLIF a_i_31__n.BLIF bgack_030_int_0_un0_n.BLIF a_i_28__n.BLIF as_000_int_0_un3_n.BLIF a_i_29__n.BLIF \ - as_000_int_0_un1_n.BLIF a_i_26__n.BLIF as_000_int_0_un0_n.BLIF a_i_27__n.BLIF ipl_030_0_0__un3_n.BLIF a_i_24__n.BLIF ipl_030_0_0__un1_n.BLIF a_i_25__n.BLIF ipl_030_0_0__un0_n.BLIF \ - a_i_19__n.BLIF ipl_030_0_1__un3_n.BLIF a_i_16__n.BLIF ipl_030_0_1__un1_n.BLIF a_i_18__n.BLIF ipl_030_0_1__un0_n.BLIF RST_i.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un1_n.BLIF \ - ipl_030_0_2__un0_n.BLIF cpu_estse_0_un3_n.BLIF CLK_OSZI_i.BLIF cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF N_70_i.BLIF cpu_estse_1_un3_n.BLIF N_72_i.BLIF cpu_estse_1_un1_n.BLIF \ - FPU_CS_INT_i.BLIF cpu_estse_1_un0_n.BLIF BGACK_030_INT_i.BLIF cpu_estse_2_un3_n.BLIF CLK_000_D5_i.BLIF cpu_estse_2_un1_n.BLIF AS_030_c.BLIF cpu_estse_2_un0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ - as_030_000_sync_0_un1_n.BLIF DS_030_c.BLIF as_030_000_sync_0_un0_n.BLIF dtack_sync_0_un3_n.BLIF dtack_sync_0_un1_n.BLIF dtack_sync_0_un0_n.BLIF size_c_0__n.BLIF fpu_cs_int_0_un3_n.BLIF fpu_cs_int_0_un1_n.BLIF \ - size_c_1__n.BLIF fpu_cs_int_0_un0_n.BLIF dsack_int_0_1__un3_n.BLIF a_c_0__n.BLIF dsack_int_0_1__un1_n.BLIF dsack_int_0_1__un0_n.BLIF amiga_bus_enable_0_un3_n.BLIF amiga_bus_enable_0_un1_n.BLIF amiga_bus_enable_0_un0_n.BLIF \ - uds_000_int_0_un3_n.BLIF uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF a_15__n.BLIF a_14__n.BLIF a_13__n.BLIF a_12__n.BLIF a_11__n.BLIF a_c_16__n.BLIF \ - a_10__n.BLIF a_c_17__n.BLIF a_9__n.BLIF a_c_18__n.BLIF a_8__n.BLIF a_c_19__n.BLIF a_7__n.BLIF a_c_20__n.BLIF a_6__n.BLIF \ - a_c_21__n.BLIF a_5__n.BLIF a_c_22__n.BLIF a_4__n.BLIF a_c_23__n.BLIF a_3__n.BLIF a_c_24__n.BLIF a_2__n.BLIF a_c_25__n.BLIF \ - a_1__n.BLIF a_c_26__n.BLIF a_c_27__n.BLIF a_c_28__n.BLIF a_c_29__n.BLIF a_c_30__n.BLIF a_c_31__n.BLIF nEXP_SPACE_c.BLIF BG_030_c.BLIF \ - BG_000DFFSHreg.BLIF BGACK_000_c.BLIF CLK_030_c.BLIF DSACK_1_.PIN DTACK.PIN + A_1_.BLIF A_0_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF DSACK_1_.BLIF DTACK.BLIF DSACK_0_.BLIF CLK_000_c.BLIF CLK_OSZI_c.BLIF CLK_OUT_INTreg.BLIF inst_BGACK_030_INTreg.BLIF \ + inst_FPU_CS_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF inst_VMA_INTreg.BLIF inst_AS_000_INTreg.BLIF IPL_030DFFSH_1_reg.BLIF inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF IPL_030DFFSH_2_reg.BLIF inst_VPA_D.BLIF \ + inst_VPA_SYNC.BLIF ipl_c_0__n.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF ipl_c_1__n.BLIF inst_CLK_000_D2.BLIF inst_CLK_000_D6.BLIF ipl_c_2__n.BLIF SM_AMIGA_5_.BLIF \ + SM_AMIGA_6_.BLIF vcc_n_n.BLIF dsack_c_1__n.BLIF gnd_n_n.BLIF inst_UDS_000_INTreg.BLIF DTACK_c.BLIF inst_LDS_000_INTreg.BLIF DSACK_INT_1_.BLIF AS_000_INT_1_sqmuxa.BLIF \ + state_machine_un8_clk_000_d2_n.BLIF inst_CLK_000_D3.BLIF SM_AMIGA_4_.BLIF state_machine_un23_clk_000_d0_n.BLIF state_machine_un6_clk_000_d5_n.BLIF RST_c.BLIF inst_CLK_000_D5.BLIF SM_AMIGA_7_.BLIF RESETDFFRHreg.BLIF \ + SM_AMIGA_3_.BLIF state_machine_un6_bgack_000_n.BLIF RW_c.BLIF SM_AMIGA_1_.BLIF inst_DTACK_DMA.BLIF fc_c_0__n.BLIF G_102.BLIF CLK_CNT_N_0_.BLIF fc_c_1__n.BLIF \ + CLK_CNT_N_1_.BLIF G_108.BLIF AMIGA_BUS_ENABLEDFFreg.BLIF CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_0_.BLIF state_machine_un10_bg_030_n.BLIF cpu_est_ns_0_1__n.BLIF \ + state_machine_un7_as_000_int_n.BLIF N_129_i.BLIF inst_CLK_000_D4.BLIF N_131_i.BLIF state_machine_un15_clk_000_d0_n.BLIF N_221_i.BLIF state_machine_lds_000_int_5_n.BLIF N_222_i.BLIF state_machine_uds_000_int_5_n.BLIF \ + N_63_0.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_62_0.BLIF inst_CLK_OUT_PRE.BLIF N_132_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF DS_030_c_i.BLIF N_60_i.BLIF N_59_i.BLIF \ + N_57_i.BLIF N_56_i.BLIF N_55_i.BLIF CLK_000_D1_i.BLIF N_54_i.BLIF N_51_i.BLIF N_50_i.BLIF N_125_i.BLIF N_126_i.BLIF \ + cpu_est_ns_e_0_0__n.BLIF N_85_i.BLIF N_123_i.BLIF N_124_i.BLIF sm_amiga_ns_0_0__n.BLIF cpu_est_0_.BLIF N_122_i.BLIF cpu_est_1_.BLIF N_227_i.BLIF \ + cpu_est_2_.BLIF N_228_i.BLIF cpu_est_3_reg.BLIF cpu_est_ns_0_2__n.BLIF N_226_i.BLIF N_44_i.BLIF N_130_i.BLIF N_225_i.BLIF cpu_est_ns_1__n.BLIF \ + N_158_i.BLIF cpu_est_ns_2__n.BLIF N_219_i.BLIF N_204.BLIF N_220_i.BLIF N_205.BLIF sm_amiga_ns_0_7__n.BLIF N_206.BLIF N_215_i.BLIF \ + N_26.BLIF N_216_i.BLIF N_30.BLIF N_49.BLIF N_95_i.BLIF N_50.BLIF N_214_i.BLIF N_51.BLIF sm_amiga_ns_0_5__n.BLIF \ + N_54.BLIF N_94_i.BLIF N_55.BLIF N_133_i.BLIF N_56.BLIF N_57.BLIF N_87_i.BLIF N_59.BLIF N_60.BLIF \ + N_86_i.BLIF N_62.BLIF N_63.BLIF N_83_i.BLIF N_68.BLIF N_70.BLIF N_82_i.BLIF N_72.BLIF state_machine_lds_000_int_5_0_n.BLIF \ + N_73.BLIF state_machine_uds_000_int_5_0_n.BLIF N_74.BLIF N_80_i.BLIF N_76.BLIF N_30_0.BLIF N_78.BLIF N_26_0.BLIF N_80.BLIF \ + N_76_i.BLIF N_82.BLIF N_206_0.BLIF N_83.BLIF N_205_0.BLIF N_85.BLIF N_72_i.BLIF N_86.BLIF N_73_i.BLIF \ + N_87.BLIF state_machine_un15_clk_000_d0_0_n.BLIF N_94.BLIF N_204_0.BLIF N_95.BLIF BG_030_c_i.BLIF N_214.BLIF N_70_i.BLIF N_215.BLIF \ + state_machine_un10_bg_030_0_n.BLIF N_216.BLIF state_machine_un6_bgack_000_0_n.BLIF N_219.BLIF N_220.BLIF state_machine_un23_clk_000_d0_0_n.BLIF N_221.BLIF N_236_1.BLIF N_222.BLIF \ + N_236_2.BLIF N_225.BLIF N_236_3.BLIF N_226.BLIF N_236_4.BLIF N_227.BLIF N_236_5.BLIF N_228.BLIF N_236_6.BLIF \ + N_122.BLIF N_239_1.BLIF N_123.BLIF N_239_2.BLIF N_124.BLIF state_machine_un8_clk_000_d2_1_n.BLIF N_125.BLIF N_55_i_1.BLIF N_126.BLIF \ + N_55_i_2.BLIF N_129.BLIF N_55_i_3.BLIF N_130.BLIF N_55_i_4.BLIF N_131.BLIF N_55_i_5.BLIF N_132.BLIF cpu_est_ns_0_1_1__n.BLIF \ + N_133.BLIF cpu_est_ns_0_2_1__n.BLIF N_236.BLIF N_80_1.BLIF N_239.BLIF N_80_2.BLIF RW_i.BLIF N_78_1.BLIF VMA_INT_i.BLIF \ + N_78_2.BLIF VPA_D_i.BLIF N_74_1.BLIF DTACK_i.BLIF N_74_2.BLIF CLK_000_D0_i.BLIF N_74_3.BLIF sm_amiga_i_4__n.BLIF N_70_1.BLIF \ + cpu_est_i_3__n.BLIF N_70_2.BLIF sm_amiga_i_1__n.BLIF sm_amiga_ns_0_1_0__n.BLIF state_machine_un6_clk_000_d5_i_n.BLIF cpu_est_ns_0_1_2__n.BLIF sm_amiga_i_6__n.BLIF N_226_1.BLIF nEXP_SPACE_i.BLIF \ + N_220_1.BLIF AS_000_INT_i.BLIF N_82_1.BLIF cpu_est_i_1__n.BLIF N_73_1.BLIF cpu_est_i_0__n.BLIF N_72_1.BLIF AMIGA_BUS_ENABLE_i.BLIF state_machine_uds_000_int_5_0_m2_un3_n.BLIF \ + AS_030_i.BLIF state_machine_uds_000_int_5_0_m2_un1_n.BLIF cpu_est_i_2__n.BLIF state_machine_uds_000_int_5_0_m2_un0_n.BLIF sm_amiga_i_2__n.BLIF vpa_sync_0_un3_n.BLIF sm_amiga_i_3__n.BLIF vpa_sync_0_un1_n.BLIF sm_amiga_i_5__n.BLIF \ + vpa_sync_0_un0_n.BLIF state_machine_un8_clk_000_d2_i_n.BLIF vma_int_0_un3_n.BLIF sm_amiga_i_7__n.BLIF vma_int_0_un1_n.BLIF a_i_0__n.BLIF vma_int_0_un0_n.BLIF size_i_1__n.BLIF bg_000_0_un3_n.BLIF \ + dsack_i_1__n.BLIF bg_000_0_un1_n.BLIF BGACK_030_INT_i.BLIF bg_000_0_un0_n.BLIF CLK_000_D2_i.BLIF bgack_030_int_0_un3_n.BLIF AS_030_000_SYNC_i.BLIF bgack_030_int_0_un1_n.BLIF a_i_19__n.BLIF \ + bgack_030_int_0_un0_n.BLIF a_i_16__n.BLIF as_000_int_0_un3_n.BLIF a_i_18__n.BLIF as_000_int_0_un1_n.BLIF a_i_30__n.BLIF as_000_int_0_un0_n.BLIF a_i_31__n.BLIF ipl_030_0_0__un3_n.BLIF \ + a_i_28__n.BLIF ipl_030_0_0__un1_n.BLIF a_i_29__n.BLIF ipl_030_0_0__un0_n.BLIF a_i_26__n.BLIF ipl_030_0_1__un3_n.BLIF a_i_27__n.BLIF ipl_030_0_1__un1_n.BLIF a_i_24__n.BLIF \ + ipl_030_0_1__un0_n.BLIF a_i_25__n.BLIF ipl_030_0_2__un3_n.BLIF RST_i.BLIF ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF cpu_estse_0_un3_n.BLIF CLK_OSZI_i.BLIF cpu_estse_0_un1_n.BLIF \ + cpu_estse_0_un0_n.BLIF N_74_i.BLIF cpu_estse_1_un3_n.BLIF N_78_i.BLIF cpu_estse_1_un1_n.BLIF FPU_CS_INT_i.BLIF cpu_estse_1_un0_n.BLIF CLK_000_D6_i.BLIF cpu_estse_2_un3_n.BLIF \ + AS_030_c.BLIF cpu_estse_2_un1_n.BLIF cpu_estse_2_un0_n.BLIF as_030_000_sync_0_un3_n.BLIF DS_030_c.BLIF as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF dtack_sync_0_un3_n.BLIF dtack_sync_0_un1_n.BLIF \ + size_c_0__n.BLIF dtack_sync_0_un0_n.BLIF fpu_cs_int_0_un3_n.BLIF size_c_1__n.BLIF fpu_cs_int_0_un1_n.BLIF fpu_cs_int_0_un0_n.BLIF a_c_0__n.BLIF dsack_int_0_1__un3_n.BLIF dsack_int_0_1__un1_n.BLIF \ + dsack_int_0_1__un0_n.BLIF amiga_bus_enable_0_un3_n.BLIF amiga_bus_enable_0_un1_n.BLIF amiga_bus_enable_0_un0_n.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un1_n.BLIF \ + lds_000_int_0_un0_n.BLIF a_15__n.BLIF a_14__n.BLIF a_13__n.BLIF a_c_16__n.BLIF a_12__n.BLIF a_c_17__n.BLIF a_11__n.BLIF a_c_18__n.BLIF \ + a_10__n.BLIF a_c_19__n.BLIF a_9__n.BLIF a_c_20__n.BLIF a_8__n.BLIF a_c_21__n.BLIF a_7__n.BLIF a_c_22__n.BLIF a_6__n.BLIF \ + a_c_23__n.BLIF a_5__n.BLIF a_c_24__n.BLIF a_4__n.BLIF a_c_25__n.BLIF a_3__n.BLIF a_c_26__n.BLIF a_2__n.BLIF a_c_27__n.BLIF \ + a_1__n.BLIF a_c_28__n.BLIF a_c_29__n.BLIF a_c_30__n.BLIF a_c_31__n.BLIF nEXP_SPACE_c.BLIF BG_030_c.BLIF BG_000DFFSHreg.BLIF BGACK_000_c.BLIF \ + CLK_030_c.BLIF DSACK_1_.PIN DTACK.PIN .outputs IPL_030_2_ AS_000 UDS_000 LDS_000 BERR BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS AVEC \ - AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ cpu_est_2_.D \ - cpu_est_2_.C cpu_est_2_.AR cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C cpu_est_1_.AR \ - SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C \ - SM_AMIGA_0_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AR CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.D \ - CLK_CNT_P_1_.C CLK_CNT_P_1_.AR IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP \ - SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C \ - SM_AMIGA_4_.AR inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C inst_UDS_000_INTreg.AP inst_LDS_000_INTreg.D inst_LDS_000_INTreg.C inst_LDS_000_INTreg.AP inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_VMA_INTreg.D \ - inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP inst_AS_000_INTreg.D inst_AS_000_INTreg.C inst_AS_000_INTreg.AP inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR \ - CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.D inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_FPU_CS_INTreg.D inst_FPU_CS_INTreg.C \ - inst_FPU_CS_INTreg.AP DSACK_INT_1_.D DSACK_INT_1_.C DSACK_INT_1_.AP AMIGA_BUS_ENABLEDFFreg.D AMIGA_BUS_ENABLEDFFreg.C inst_DTACK_DMA.D inst_DTACK_DMA.C inst_DTACK_DMA.AP inst_CLK_000_D5.D inst_CLK_000_D5.C \ - inst_CLK_000_D5.AP BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.D \ - inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR \ - inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP G_102.X1 G_102.X2 G_108.X1 G_108.X2 DSACK_1_ DTACK DSACK_0_ CLK_OSZI_c ipl_c_0__n ipl_c_1__n ipl_c_2__n \ - dsack_c_1__n vcc_n_n DTACK_c gnd_n_n AS_000_INT_1_sqmuxa state_machine_un8_clk_000_d2_n RST_c state_machine_un23_clk_000_d0_n state_machine_un6_clk_000_d4_n RW_c state_machine_un12_bg_030_n \ - fc_c_0__n state_machine_un6_bgack_000_n fc_c_1__n cpu_est_ns_0_1__n N_126_i N_128_i state_machine_un7_as_000_int_n N_216_i state_machine_un15_clk_000_d0_n N_217_i state_machine_lds_000_int_5_n \ - N_61_0 state_machine_uds_000_int_5_n N_60_0 un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_129_i un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i N_58_i N_57_i N_55_i N_54_i \ - N_53_i N_50_i CLK_000_D1_i N_49_i N_48_i N_122_i N_123_i cpu_est_ns_e_0_0__n N_79_i N_226_i N_227_i \ - sm_amiga_ns_0_0__n N_222_i N_223_i N_225_i cpu_est_ns_0_2__n N_221_i N_41_i N_127_i N_220_i cpu_est_ns_1__n N_157_i \ - cpu_est_ns_2__n N_214_i N_203 N_215_i N_204 sm_amiga_ns_0_7__n N_205 N_93_i N_23 N_94_i N_27 \ - N_47 N_85_i N_48 N_86_i N_49 sm_amiga_ns_0_5__n N_50 N_84_i N_53 N_130_i N_54 \ - N_55 N_82_i N_57 N_58 N_81_i N_60 N_61 N_77_i N_68 N_69 N_75_i \ - N_70 state_machine_lds_000_int_5_0_n N_71 state_machine_uds_000_int_5_0_n N_72 N_73_i N_73 N_27_0 N_75 N_23_0 N_77 \ - N_71_i N_79 N_205_0 N_81 N_204_0 N_82 N_68_i N_84 N_69_i N_85 state_machine_un15_clk_000_d0_0_n \ - N_86 N_203_0 N_93 state_machine_un6_bgack_000_0_n N_94 N_214 state_machine_un23_clk_000_d0_0_n N_215 N_238_1 N_216 N_238_2 \ - N_217 N_238_3 N_220 N_238_4 N_221 N_238_5 N_222 N_238_6 N_223 N_241_1 N_225 \ - N_241_2 N_226 state_machine_un8_clk_000_d2_1_n N_227 N_53_i_1 N_122 N_53_i_2 N_123 N_53_i_3 N_126 N_53_i_4 \ - N_127 N_53_i_5 N_128 cpu_est_ns_0_1_1__n N_129 cpu_est_ns_0_2_1__n N_130 state_machine_un12_bg_030_1_n N_238 state_machine_un12_bg_030_2_n N_241 \ - state_machine_un12_bg_030_3_n RW_i state_machine_un12_bg_030_4_n VMA_INT_i N_73_1 VPA_D_i N_73_2 DTACK_i N_72_1 BG_030_i N_72_2 \ - CLK_000_D0_i N_70_1 sm_amiga_i_4__n N_70_2 cpu_est_i_3__n N_70_3 sm_amiga_i_1__n sm_amiga_ns_0_1_0__n state_machine_un6_clk_000_d4_i_n cpu_est_ns_0_1_2__n sm_amiga_i_6__n \ - N_221_1 nEXP_SPACE_i N_215_1 AS_000_INT_i N_75_1 cpu_est_i_1__n N_69_1 cpu_est_i_0__n N_68_1 AMIGA_BUS_ENABLE_i state_machine_uds_000_int_5_0_m2_un3_n \ - AS_030_i state_machine_uds_000_int_5_0_m2_un1_n cpu_est_i_2__n state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n lds_000_int_0_un3_n sm_amiga_i_3__n lds_000_int_0_un1_n sm_amiga_i_5__n lds_000_int_0_un0_n state_machine_un8_clk_000_d2_i_n \ - vpa_sync_0_un3_n sm_amiga_i_7__n vpa_sync_0_un1_n a_i_0__n vpa_sync_0_un0_n size_i_1__n vma_int_0_un3_n dsack_i_1__n vma_int_0_un1_n CLK_000_D2_i vma_int_0_un0_n \ - AS_030_000_SYNC_i bgack_030_int_0_un3_n a_i_30__n bgack_030_int_0_un1_n a_i_31__n bgack_030_int_0_un0_n a_i_28__n as_000_int_0_un3_n a_i_29__n as_000_int_0_un1_n a_i_26__n \ - as_000_int_0_un0_n a_i_27__n ipl_030_0_0__un3_n a_i_24__n ipl_030_0_0__un1_n a_i_25__n ipl_030_0_0__un0_n a_i_19__n ipl_030_0_1__un3_n a_i_16__n ipl_030_0_1__un1_n \ - a_i_18__n ipl_030_0_1__un0_n RST_i ipl_030_0_2__un3_n ipl_030_0_2__un1_n ipl_030_0_2__un0_n cpu_estse_0_un3_n CLK_OSZI_i cpu_estse_0_un1_n cpu_estse_0_un0_n N_70_i \ - cpu_estse_1_un3_n N_72_i cpu_estse_1_un1_n FPU_CS_INT_i cpu_estse_1_un0_n BGACK_030_INT_i cpu_estse_2_un3_n CLK_000_D5_i cpu_estse_2_un1_n AS_030_c cpu_estse_2_un0_n \ - as_030_000_sync_0_un3_n as_030_000_sync_0_un1_n DS_030_c as_030_000_sync_0_un0_n dtack_sync_0_un3_n dtack_sync_0_un1_n dtack_sync_0_un0_n size_c_0__n fpu_cs_int_0_un3_n fpu_cs_int_0_un1_n size_c_1__n \ - fpu_cs_int_0_un0_n dsack_int_0_1__un3_n a_c_0__n dsack_int_0_1__un1_n dsack_int_0_1__un0_n amiga_bus_enable_0_un3_n amiga_bus_enable_0_un1_n amiga_bus_enable_0_un0_n uds_000_int_0_un3_n uds_000_int_0_un1_n uds_000_int_0_un0_n \ - a_15__n a_14__n a_13__n a_12__n a_11__n a_c_16__n a_10__n a_c_17__n a_9__n a_c_18__n a_8__n \ - a_c_19__n a_7__n a_c_20__n a_6__n a_c_21__n a_5__n a_c_22__n a_4__n a_c_23__n a_3__n a_c_24__n \ - a_2__n a_c_25__n a_1__n a_c_26__n a_c_27__n a_c_28__n a_c_29__n a_c_30__n a_c_31__n nEXP_SPACE_c BG_030_c \ - BGACK_000_c CLK_030_c DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE \ - AVEC_EXP.OE CIIN.OE + AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ cpu_est_1_.D \ + cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR \ + SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C \ + SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.D \ + CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP \ + IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C \ + SM_AMIGA_6_.AR inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C inst_UDS_000_INTreg.AP inst_LDS_000_INTreg.D inst_LDS_000_INTreg.C inst_LDS_000_INTreg.AP inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_VMA_INTreg.D \ + inst_VMA_INTreg.C inst_VMA_INTreg.AP BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP inst_AS_000_INTreg.D inst_AS_000_INTreg.C inst_AS_000_INTreg.AP \ + inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.D inst_DTACK_SYNC.C \ + inst_DTACK_SYNC.AP inst_FPU_CS_INTreg.D inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP DSACK_INT_1_.D DSACK_INT_1_.C DSACK_INT_1_.AP AMIGA_BUS_ENABLEDFFreg.D AMIGA_BUS_ENABLEDFFreg.C inst_DTACK_DMA.D inst_DTACK_DMA.C \ + inst_DTACK_DMA.AP inst_CLK_000_D5.D inst_CLK_000_D5.C inst_CLK_000_D5.AP inst_CLK_000_D6.D inst_CLK_000_D6.C inst_CLK_000_D6.AP inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_CLK_000_D2.D \ + inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP \ + RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP G_102.X1 G_102.X2 G_108.X1 G_108.X2 DSACK_1_ DTACK DSACK_0_ CLK_000_c \ + CLK_OSZI_c ipl_c_0__n ipl_c_1__n ipl_c_2__n vcc_n_n dsack_c_1__n gnd_n_n DTACK_c AS_000_INT_1_sqmuxa state_machine_un8_clk_000_d2_n state_machine_un23_clk_000_d0_n \ + state_machine_un6_clk_000_d5_n RST_c state_machine_un6_bgack_000_n RW_c fc_c_0__n fc_c_1__n state_machine_un10_bg_030_n cpu_est_ns_0_1__n state_machine_un7_as_000_int_n N_129_i N_131_i \ + state_machine_un15_clk_000_d0_n N_221_i state_machine_lds_000_int_5_n N_222_i state_machine_uds_000_int_5_n N_63_0 un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_62_0 N_132_i un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i \ + N_60_i N_59_i N_57_i N_56_i N_55_i CLK_000_D1_i N_54_i N_51_i N_50_i N_125_i N_126_i \ + cpu_est_ns_e_0_0__n N_85_i N_123_i N_124_i sm_amiga_ns_0_0__n N_122_i N_227_i N_228_i cpu_est_ns_0_2__n N_226_i N_44_i \ + N_130_i N_225_i cpu_est_ns_1__n N_158_i cpu_est_ns_2__n N_219_i N_204 N_220_i N_205 sm_amiga_ns_0_7__n N_206 \ + N_215_i N_26 N_216_i N_30 N_49 N_95_i N_50 N_214_i N_51 sm_amiga_ns_0_5__n N_54 \ + N_94_i N_55 N_133_i N_56 N_57 N_87_i N_59 N_60 N_86_i N_62 N_63 \ + N_83_i N_68 N_70 N_82_i N_72 state_machine_lds_000_int_5_0_n N_73 state_machine_uds_000_int_5_0_n N_74 N_80_i N_76 \ + N_30_0 N_78 N_26_0 N_80 N_76_i N_82 N_206_0 N_83 N_205_0 N_85 N_72_i \ + N_86 N_73_i N_87 state_machine_un15_clk_000_d0_0_n N_94 N_204_0 N_95 BG_030_c_i N_214 N_70_i N_215 \ + state_machine_un10_bg_030_0_n N_216 state_machine_un6_bgack_000_0_n N_219 N_220 state_machine_un23_clk_000_d0_0_n N_221 N_236_1 N_222 N_236_2 N_225 \ + N_236_3 N_226 N_236_4 N_227 N_236_5 N_228 N_236_6 N_122 N_239_1 N_123 N_239_2 \ + N_124 state_machine_un8_clk_000_d2_1_n N_125 N_55_i_1 N_126 N_55_i_2 N_129 N_55_i_3 N_130 N_55_i_4 N_131 \ + N_55_i_5 N_132 cpu_est_ns_0_1_1__n N_133 cpu_est_ns_0_2_1__n N_236 N_80_1 N_239 N_80_2 RW_i N_78_1 \ + VMA_INT_i N_78_2 VPA_D_i N_74_1 DTACK_i N_74_2 CLK_000_D0_i N_74_3 sm_amiga_i_4__n N_70_1 cpu_est_i_3__n \ + N_70_2 sm_amiga_i_1__n sm_amiga_ns_0_1_0__n state_machine_un6_clk_000_d5_i_n cpu_est_ns_0_1_2__n sm_amiga_i_6__n N_226_1 nEXP_SPACE_i N_220_1 AS_000_INT_i N_82_1 \ + cpu_est_i_1__n N_73_1 cpu_est_i_0__n N_72_1 AMIGA_BUS_ENABLE_i state_machine_uds_000_int_5_0_m2_un3_n AS_030_i state_machine_uds_000_int_5_0_m2_un1_n cpu_est_i_2__n state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n \ + vpa_sync_0_un3_n sm_amiga_i_3__n vpa_sync_0_un1_n sm_amiga_i_5__n vpa_sync_0_un0_n state_machine_un8_clk_000_d2_i_n vma_int_0_un3_n sm_amiga_i_7__n vma_int_0_un1_n a_i_0__n vma_int_0_un0_n \ + size_i_1__n bg_000_0_un3_n dsack_i_1__n bg_000_0_un1_n BGACK_030_INT_i bg_000_0_un0_n CLK_000_D2_i bgack_030_int_0_un3_n AS_030_000_SYNC_i bgack_030_int_0_un1_n a_i_19__n \ + bgack_030_int_0_un0_n a_i_16__n as_000_int_0_un3_n a_i_18__n as_000_int_0_un1_n a_i_30__n as_000_int_0_un0_n a_i_31__n ipl_030_0_0__un3_n a_i_28__n ipl_030_0_0__un1_n \ + a_i_29__n ipl_030_0_0__un0_n a_i_26__n ipl_030_0_1__un3_n a_i_27__n ipl_030_0_1__un1_n a_i_24__n ipl_030_0_1__un0_n a_i_25__n ipl_030_0_2__un3_n RST_i \ + ipl_030_0_2__un1_n ipl_030_0_2__un0_n cpu_estse_0_un3_n CLK_OSZI_i cpu_estse_0_un1_n cpu_estse_0_un0_n N_74_i cpu_estse_1_un3_n N_78_i cpu_estse_1_un1_n FPU_CS_INT_i \ + cpu_estse_1_un0_n CLK_000_D6_i cpu_estse_2_un3_n AS_030_c cpu_estse_2_un1_n cpu_estse_2_un0_n as_030_000_sync_0_un3_n DS_030_c as_030_000_sync_0_un1_n as_030_000_sync_0_un0_n dtack_sync_0_un3_n \ + dtack_sync_0_un1_n size_c_0__n dtack_sync_0_un0_n fpu_cs_int_0_un3_n size_c_1__n fpu_cs_int_0_un1_n fpu_cs_int_0_un0_n a_c_0__n dsack_int_0_1__un3_n dsack_int_0_1__un1_n dsack_int_0_1__un0_n \ + amiga_bus_enable_0_un3_n amiga_bus_enable_0_un1_n amiga_bus_enable_0_un0_n uds_000_int_0_un3_n uds_000_int_0_un1_n uds_000_int_0_un0_n lds_000_int_0_un3_n lds_000_int_0_un1_n lds_000_int_0_un0_n a_15__n a_14__n \ + a_13__n a_c_16__n a_12__n a_c_17__n a_11__n a_c_18__n a_10__n a_c_19__n a_9__n a_c_20__n a_8__n \ + a_c_21__n a_7__n a_c_22__n a_6__n a_c_23__n a_5__n a_c_24__n a_4__n a_c_25__n a_3__n a_c_26__n \ + a_2__n a_c_27__n a_1__n a_c_28__n a_c_29__n a_c_30__n a_c_31__n nEXP_SPACE_c BG_030_c BGACK_000_c CLK_030_c \ + DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE .names DSACK_INT_1_.BLIF DSACK_1_ 1 1 .names DSACK_1_.PIN dsack_c_1__n @@ -139,7 +141,7 @@ 1 1 .names DTACK.PIN DTACK_c 1 1 -.names BGACK_030_INT_i.BLIF DTACK.OE +.names N_68.BLIF DTACK.OE 1 1 .names inst_AS_000_INTreg.BLIF AS_000 1 1 @@ -165,283 +167,293 @@ 1 1 .names FPU_CS_INT_i.BLIF AVEC_EXP.OE 1 1 -.names N_241.BLIF CIIN +.names N_239.BLIF CIIN 1 1 -.names N_238.BLIF CIIN.OE +.names N_236.BLIF CIIN.OE 1 1 -.names N_50_i.BLIF N_129_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 +.names N_131.BLIF N_131_i +0 1 +.names SM_AMIGA_1_.BLIF state_machine_un6_clk_000_d5_n.BLIF N_57_i 11 1 -.names CLK_000_D0_i.BLIF N_54_i.BLIF N_60_0 -11 1 -.names CLK_OSZI_c.BLIF cpu_est_1_.C +.names CLK_OSZI_c.BLIF cpu_est_3_reg.C 1 1 +.names cpu_est_3_reg.BLIF cpu_est_i_3__n +0 1 +.names CLK_CNT_P_0_.BLIF G_108.X1 +1 1 +.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF N_59_i +11 1 +.names RST_i.BLIF cpu_est_3_reg.AR +1 1 +.names DS_030_c_i.BLIF N_49.BLIF N_60_i +11 1 +.names CLK_CNT_P_1_.BLIF G_108.X2 +1 1 +.names N_51_i.BLIF N_132_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 +11 1 +.names CLK_000_D0_i.BLIF N_56_i.BLIF N_62_0 +11 1 .names inst_CLK_000_D0.BLIF CLK_000_D0_i 0 1 +.names CLK_OSZI_c.BLIF cpu_est_0_.C +1 1 +.names inst_CLK_OUT_PRE.BLIF CLK_OUT_INTreg.D +1 1 .names SM_AMIGA_4_.BLIF sm_amiga_i_4__n 0 1 -.names RST_i.BLIF cpu_est_1_.AR +.names inst_CLK_000_D4.BLIF inst_CLK_000_D5.D 1 1 -.names inst_CLK_000_D0.BLIF SM_AMIGA_4_.BLIF N_61_0 +.names inst_CLK_000_D0.BLIF SM_AMIGA_4_.BLIF N_63_0 11 1 -.names BG_030_c.BLIF BG_030_i -0 1 +.names RST_i.BLIF cpu_est_0_.AR +1 1 .names DTACK_c.BLIF DTACK_i 0 1 .names inst_VPA_D.BLIF VPA_D_i 0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 .names inst_VMA_INTreg.BLIF VMA_INT_i 0 1 .names RW_c.BLIF RW_i 0 1 -.names RST_i.BLIF SM_AMIGA_3_.AR +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C 1 1 -.names N_54.BLIF N_221_i.BLIF N_41_i +.names N_215_i.BLIF N_216_i.BLIF SM_AMIGA_1_.D 11 1 -.names N_122_i.BLIF N_123_i.BLIF cpu_est_ns_e_0_0__n +.names N_219_i.BLIF N_220_i.BLIF sm_amiga_ns_0_7__n +11 1 +.names RST_i.BLIF SM_AMIGA_5_.AR +1 1 +.names N_130_i.BLIF N_225_i.BLIF N_158_i +11 1 +.names N_56.BLIF N_226_i.BLIF N_44_i +11 1 +.names N_125_i.BLIF N_126_i.BLIF cpu_est_ns_e_0_0__n 11 1 .names RW_c.BLIF state_machine_uds_000_int_5_0_m2_un3_n 0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C +1 1 .names SM_AMIGA_5_.BLIF RW_c.BLIF state_machine_uds_000_int_5_0_m2_un1_n 11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 .names SM_AMIGA_4_.BLIF state_machine_uds_000_int_5_0_m2_un3_n.BLIF state_machine_uds_000_int_5_0_m2_un0_n 11 1 -.names state_machine_uds_000_int_5_0_m2_un1_n.BLIF state_machine_uds_000_int_5_0_m2_un0_n.BLIF N_47 +.names RST_i.BLIF SM_AMIGA_4_.AR +1 1 +.names state_machine_uds_000_int_5_0_m2_un1_n.BLIF state_machine_uds_000_int_5_0_m2_un0_n.BLIF N_49 1- 1 -1 1 -.names RST_i.BLIF SM_AMIGA_2_.AR -1 1 .names inst_AS_000_INTreg.BLIF AS_000_INT_i 0 1 -.names inst_AS_000_INTreg.BLIF inst_CLK_000_D0.BLIF N_48_i +.names inst_AS_000_INTreg.BLIF inst_CLK_000_D0.BLIF N_50_i 11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF N_49_i +.names AS_030_i.BLIF N_57.BLIF N_51_i 11 1 -.names AS_030_i.BLIF N_55.BLIF N_50_i -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C +.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C 1 1 +.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF N_54_i +11 1 .names SM_AMIGA_6_.BLIF sm_amiga_i_6__n 0 1 +.names RST_i.BLIF SM_AMIGA_3_.AR +1 1 .names nEXP_SPACE_c.BLIF nEXP_SPACE_i 0 1 -.names RST_i.BLIF SM_AMIGA_1_.AR -1 1 -.names SM_AMIGA_6_.BLIF nEXP_SPACE_c.BLIF N_54_i +.names SM_AMIGA_6_.BLIF nEXP_SPACE_c.BLIF N_56_i 11 1 .names SM_AMIGA_1_.BLIF sm_amiga_i_1__n 0 1 -.names state_machine_un6_clk_000_d4_n.BLIF state_machine_un6_clk_000_d4_i_n +.names state_machine_un6_clk_000_d5_n.BLIF state_machine_un6_clk_000_d5_i_n 0 1 -.names SM_AMIGA_1_.BLIF state_machine_un6_clk_000_d4_n.BLIF N_55_i +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +1 1 +.names BGACK_000_c.BLIF N_54.BLIF state_machine_un6_bgack_000_0_n +11 1 +.names BG_030_c_i.BLIF N_70_i.BLIF state_machine_un10_bg_030_0_n +11 1 +.names RST_i.BLIF SM_AMIGA_2_.AR +1 1 +.names AS_030_i.BLIF N_60.BLIF N_204_0 +11 1 +.names N_72_i.BLIF N_73_i.BLIF state_machine_un15_clk_000_d0_0_n +11 1 +.names AS_030_i.BLIF N_74_i.BLIF N_205_0 +11 1 +.names AS_030_i.BLIF N_76_i.BLIF N_206_0 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C +1 1 +.names AS_030_i.BLIF N_78_i.BLIF N_26_0 +11 1 +.names N_80_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF N_30_0 +11 1 +.names RST_i.BLIF SM_AMIGA_1_.AR +1 1 +.names a_i_0__n.BLIF N_60_i.BLIF state_machine_uds_000_int_5_0_n +11 1 +.names N_60_i.BLIF N_82_i.BLIF state_machine_lds_000_int_5_0_n +11 1 +.names N_83_i.BLIF N_85_i.BLIF SM_AMIGA_6_.D +11 1 +.names inst_CLK_000_D0.BLIF N_86_i.BLIF SM_AMIGA_5_.D 11 1 .names CLK_OSZI_c.BLIF SM_AMIGA_0_.C 1 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF N_57_i +.names CLK_000_D0_i.BLIF N_87_i.BLIF SM_AMIGA_4_.D +11 1 +.names N_94_i.BLIF N_133_i.BLIF SM_AMIGA_3_.D 11 1 .names RST_i.BLIF SM_AMIGA_0_.AR 1 1 -.names N_68_i.BLIF N_69_i.BLIF state_machine_un15_clk_000_d0_0_n +.names N_95_i.BLIF N_214_i.BLIF sm_amiga_ns_0_5__n 11 1 -.names AS_030_i.BLIF N_70_i.BLIF N_204_0 +.names AS_030_c.BLIF AS_030_i +0 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_227 11 1 -.names AS_030_i.BLIF N_71_i.BLIF N_205_0 -11 1 -.names AS_030_i.BLIF N_72_i.BLIF N_23_0 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_228 11 1 .names CLK_OSZI_i.BLIF CLK_CNT_N_0_.C 1 1 -.names N_73_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF N_27_0 +.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_122 11 1 -.names a_i_0__n.BLIF N_58_i.BLIF state_machine_uds_000_int_5_0_n +.names CLK_000_D0_i.BLIF N_132.BLIF N_123 11 1 .names RST_i.BLIF CLK_CNT_N_0_.AR 1 1 -.names N_58_i.BLIF N_75_i.BLIF state_machine_lds_000_int_5_0_n +.names N_50_i.BLIF SM_AMIGA_0_.BLIF N_124 11 1 -.names N_77_i.BLIF N_79_i.BLIF SM_AMIGA_6_.D +.names N_54.BLIF cpu_est_0_.BLIF N_125 11 1 -.names inst_CLK_000_D0.BLIF N_81_i.BLIF SM_AMIGA_5_.D +.names N_54_i.BLIF cpu_est_i_0__n.BLIF N_126 11 1 .names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D 1 1 -.names CLK_000_D0_i.BLIF N_82_i.BLIF SM_AMIGA_4_.D -11 1 -.names N_84_i.BLIF N_130_i.BLIF SM_AMIGA_3_.D +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_129 11 1 .names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C 1 1 -.names N_85_i.BLIF N_86_i.BLIF sm_amiga_ns_0_5__n +.names N_129.BLIF cpu_est_i_3__n.BLIF N_130 11 1 -.names N_93_i.BLIF N_94_i.BLIF SM_AMIGA_1_.D -11 1 -.names RST_i.BLIF CLK_CNT_N_1_.AR -1 1 -.names N_214_i.BLIF N_215_i.BLIF sm_amiga_ns_0_7__n -11 1 -.names N_127_i.BLIF N_220_i.BLIF N_157_i -11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_223 -11 1 -.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_225 -11 1 -.names CLK_OSZI_c.BLIF CLK_CNT_P_0_.C -1 1 -.names CLK_000_D0_i.BLIF N_129.BLIF N_226 -11 1 -.names N_48_i.BLIF SM_AMIGA_0_.BLIF N_227 -11 1 -.names RST_i.BLIF CLK_CNT_P_0_.AR -1 1 -.names N_49.BLIF cpu_est_0_.BLIF N_122 -11 1 -.names N_49_i.BLIF cpu_est_i_0__n.BLIF N_123 -11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.D -1 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_126 -11 1 -.names N_126.BLIF cpu_est_i_3__n.BLIF N_127 -11 1 -.names CLK_OSZI_c.BLIF CLK_CNT_P_1_.C -1 1 .names cpu_est_1_.BLIF cpu_est_i_1__n 0 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_128 -11 1 -.names RST_i.BLIF CLK_CNT_P_1_.AR +.names RST_i.BLIF CLK_CNT_N_1_.AP 1 1 -.names SM_AMIGA_6_.BLIF nEXP_SPACE_i.BLIF N_129 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_131 11 1 -.names CLK_000_D0_i.BLIF state_machine_un23_clk_000_d0_n.BLIF N_130 +.names SM_AMIGA_6_.BLIF nEXP_SPACE_i.BLIF N_132 11 1 -.names BGACK_000_c.BLIF N_49.BLIF state_machine_un6_bgack_000_0_n +.names CLK_000_D0_i.BLIF state_machine_un23_clk_000_d0_n.BLIF N_133 11 1 -.names AS_030_i.BLIF N_58.BLIF N_203_0 -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_0_reg.C +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names CLK_OSZI_c.BLIF CLK_CNT_P_0_.C 1 1 +.names sm_amiga_i_4__n.BLIF sm_amiga_i_5__n.BLIF N_87 +11 1 .names SM_AMIGA_3_.BLIF sm_amiga_i_3__n 0 1 -.names N_61.BLIF sm_amiga_i_3__n.BLIF N_84 -11 1 -.names RST_i.BLIF IPL_030DFFSH_0_reg.AP +.names RST_i.BLIF CLK_CNT_P_0_.AR 1 1 -.names CLK_000_D0_i.BLIF SM_AMIGA_2_.BLIF N_85 +.names N_63.BLIF sm_amiga_i_3__n.BLIF N_94 11 1 -.names N_130.BLIF SM_AMIGA_3_.BLIF N_86 +.names CLK_000_D0_i.BLIF SM_AMIGA_2_.BLIF N_95 11 1 -.names CLK_000_D0_i.BLIF N_55.BLIF N_93 +.names N_133.BLIF SM_AMIGA_3_.BLIF N_214 +11 1 +.names CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.D +1 1 +.names CLK_000_D0_i.BLIF N_57.BLIF N_215 11 1 .names SM_AMIGA_2_.BLIF sm_amiga_i_2__n 0 1 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_1_reg.C +.names CLK_OSZI_c.BLIF CLK_CNT_P_1_.C 1 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_94 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_216 11 1 -.names N_48.BLIF SM_AMIGA_0_.BLIF N_214 +.names N_50.BLIF SM_AMIGA_0_.BLIF N_219 11 1 -.names RST_i.BLIF IPL_030DFFSH_1_reg.AP +.names RST_i.BLIF CLK_CNT_P_1_.AR 1 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_216 +.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_221 11 1 .names cpu_est_2_.BLIF cpu_est_i_2__n 0 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_217 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_222 11 1 -.names N_57.BLIF cpu_est_2_.BLIF N_220 +.names N_59.BLIF cpu_est_2_.BLIF N_225 11 1 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_2_reg.C +.names CLK_OSZI_c.BLIF IPL_030DFFSH_0_reg.C 1 1 .names AMIGA_BUS_ENABLEDFFreg.BLIF AMIGA_BUS_ENABLE_i 0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names RST_i.BLIF IPL_030DFFSH_2_reg.AP +.names RST_i.BLIF IPL_030DFFSH_0_reg.AP 1 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_222 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_68 11 1 .names AS_030_i.BLIF sm_amiga_i_5__n.BLIF AS_000_INT_1_sqmuxa 11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C +.names CLK_OSZI_c.BLIF IPL_030DFFSH_1_reg.C 1 1 .names dsack_c_1__n.BLIF dsack_i_1__n 0 1 .names AS_000_INT_i.BLIF dsack_i_1__n.BLIF state_machine_un7_as_000_int_n 11 1 -.names RST_i.BLIF SM_AMIGA_7_.AP +.names RST_i.BLIF IPL_030DFFSH_1_reg.AP 1 1 -.names CLK_030_c.BLIF N_53_i.BLIF N_71 +.names CLK_030_c.BLIF N_55_i.BLIF N_76 11 1 .names a_c_0__n.BLIF a_i_0__n 0 1 +.names AS_030.BLIF AS_030_c +1 1 .names size_c_1__n.BLIF size_i_1__n 0 1 .names SM_AMIGA_7_.BLIF sm_amiga_i_7__n 0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +.names CLK_OSZI_c.BLIF IPL_030DFFSH_2_reg.C 1 1 -.names N_60.BLIF sm_amiga_i_7__n.BLIF N_77 +.names DS_030.BLIF DS_030_c +1 1 +.names N_62.BLIF sm_amiga_i_7__n.BLIF N_83 11 1 .names state_machine_un8_clk_000_d2_n.BLIF state_machine_un8_clk_000_d2_i_n 0 1 -.names RST_i.BLIF SM_AMIGA_6_.AR +.names RST_i.BLIF IPL_030DFFSH_2_reg.AP 1 1 -.names SM_AMIGA_7_.BLIF state_machine_un8_clk_000_d2_i_n.BLIF N_79 +.names SM_AMIGA_7_.BLIF state_machine_un8_clk_000_d2_i_n.BLIF N_85 11 1 -.names AS_030.BLIF AS_030_c -1 1 -.names sm_amiga_i_5__n.BLIF sm_amiga_i_6__n.BLIF N_81 -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names DS_030.BLIF DS_030_c -1 1 -.names sm_amiga_i_4__n.BLIF sm_amiga_i_5__n.BLIF N_82 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -.names RST_c.BLIF RST_i -0 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names RST_i.BLIF SM_AMIGA_5_.AR -1 1 .names SIZE_0_.BLIF size_c_0__n 1 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 +.names sm_amiga_i_5__n.BLIF sm_amiga_i_6__n.BLIF N_86 +11 1 .names SIZE_1_.BLIF size_c_1__n 1 1 -.names a_c_19__n.BLIF a_i_19__n +.names RST_c.BLIF RST_i 0 1 .names A_0_.BLIF a_c_0__n 1 1 .names a_c_24__n.BLIF a_i_24__n 0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C +1 1 .names A_16_.BLIF a_c_16__n 1 1 .names a_c_25__n.BLIF a_i_25__n 0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 .names A_17_.BLIF a_c_17__n 1 1 .names a_c_26__n.BLIF a_i_26__n 0 1 +.names RST_i.BLIF SM_AMIGA_7_.AP +1 1 .names A_18_.BLIF a_c_18__n 1 1 .names a_c_27__n.BLIF a_i_27__n 0 1 -.names RST_i.BLIF SM_AMIGA_4_.AR -1 1 .names A_19_.BLIF a_c_19__n 1 1 .names a_c_28__n.BLIF a_i_28__n @@ -454,648 +466,657 @@ 1 1 .names a_c_30__n.BLIF a_i_30__n 0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +1 1 .names A_22_.BLIF a_c_22__n 1 1 .names a_c_31__n.BLIF a_i_31__n 0 1 -.names CLK_OSZI_c.BLIF inst_UDS_000_INTreg.C -1 1 .names A_23_.BLIF a_c_23__n 1 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +.names a_c_16__n.BLIF a_i_16__n 0 1 +.names RST_i.BLIF SM_AMIGA_6_.AR +1 1 .names A_24_.BLIF a_c_24__n 1 1 -.names inst_CLK_000_D2.BLIF CLK_000_D2_i +.names a_c_18__n.BLIF a_i_18__n 0 1 -.names RST_i.BLIF inst_UDS_000_INTreg.AP -1 1 .names A_25_.BLIF a_c_25__n 1 1 -.names state_machine_un7_as_000_int_n.BLIF inst_DTACK_DMA.D +.names a_c_19__n.BLIF a_i_19__n 0 1 .names A_26_.BLIF a_c_26__n 1 1 -.names state_machine_un12_bg_030_n.BLIF BG_000DFFSHreg.D +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i 0 1 .names A_27_.BLIF a_c_27__n 1 1 +.names inst_CLK_000_D2.BLIF CLK_000_D2_i +0 1 +.names CLK_OSZI_c.BLIF inst_UDS_000_INTreg.C +1 1 +.names A_28_.BLIF a_c_28__n +1 1 +.names state_machine_un7_as_000_int_n.BLIF inst_DTACK_DMA.D +0 1 +.names A_29_.BLIF a_c_29__n +1 1 .names G_102.BLIF CLK_CNT_N_0_.D 0 1 -.names A_28_.BLIF a_c_28__n +.names RST_i.BLIF inst_UDS_000_INTreg.AP +1 1 +.names A_30_.BLIF a_c_30__n 1 1 .names CLK_OSZI_c.BLIF CLK_OSZI_i 0 1 -.names CLK_OSZI_c.BLIF inst_LDS_000_INTreg.C -1 1 -.names A_29_.BLIF a_c_29__n +.names A_31_.BLIF a_c_31__n 1 1 .names G_108.BLIF CLK_CNT_P_0_.D 0 1 -.names A_30_.BLIF a_c_30__n -1 1 -.names N_203.BLIF lds_000_int_0_un3_n -0 1 -.names RST_i.BLIF inst_LDS_000_INTreg.AP -1 1 -.names A_31_.BLIF a_c_31__n -1 1 -.names state_machine_lds_000_int_5_n.BLIF N_203.BLIF lds_000_int_0_un1_n -11 1 .names nEXP_SPACE.BLIF nEXP_SPACE_c 1 1 -.names inst_LDS_000_INTreg.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF inst_LDS_000_INTreg.D -1- 1 --1 1 +.names N_74.BLIF N_74_i +0 1 +.names N_205.BLIF vpa_sync_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF inst_LDS_000_INTreg.C +1 1 .names BG_030.BLIF BG_030_c 1 1 -.names N_70.BLIF N_70_i -0 1 -.names CLK_OSZI_c.BLIF inst_VPA_SYNC.C -1 1 -.names BG_000DFFSHreg.BLIF BG_000 -1 1 -.names N_204.BLIF vpa_sync_0_un3_n -0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -.names N_70_i.BLIF N_204.BLIF vpa_sync_0_un1_n +.names N_74_i.BLIF N_205.BLIF vpa_sync_0_un1_n 11 1 -.names RST_i.BLIF inst_VPA_SYNC.AP -1 1 -.names BGACK_000.BLIF BGACK_000_c +.names BG_000DFFSHreg.BLIF BG_000 1 1 .names inst_VPA_SYNC.BLIF vpa_sync_0_un3_n.BLIF vpa_sync_0_un0_n 11 1 -.names CLK_030.BLIF CLK_030_c +.names RST_i.BLIF inst_LDS_000_INTreg.AP +1 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030 1 1 .names vpa_sync_0_un1_n.BLIF vpa_sync_0_un0_n.BLIF inst_VPA_SYNC.D 1- 1 -1 1 -.names CLK_000.BLIF inst_CLK_000_D0.D +.names BGACK_000.BLIF BGACK_000_c 1 1 .names state_machine_un15_clk_000_d0_n.BLIF vma_int_0_un3_n 0 1 -.names CLK_OSZI.BLIF CLK_OSZI_c +.names CLK_030.BLIF CLK_030_c 1 1 -.names N_48_i.BLIF state_machine_un15_clk_000_d0_n.BLIF vma_int_0_un1_n +.names N_50_i.BLIF state_machine_un15_clk_000_d0_n.BLIF vma_int_0_un1_n 11 1 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT +.names CLK_000.BLIF CLK_000_c 1 1 .names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n 11 1 -.names CLK_OUT_INTreg.BLIF CLK_EXP +.names CLK_OSZI_c.BLIF inst_VPA_SYNC.C +1 1 +.names CLK_OSZI.BLIF CLK_OSZI_c 1 1 .names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D 1- 1 -1 1 -.names RST_i.BLIF inst_VMA_INTreg.AP +.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT 1 1 +.names state_machine_un10_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names RST_i.BLIF inst_VPA_SYNC.AP +1 1 +.names CLK_OUT_INTreg.BLIF CLK_EXP +1 1 +.names BG_030_c.BLIF state_machine_un10_bg_030_n.BLIF bg_000_0_un1_n +11 1 .names inst_FPU_CS_INTreg.BLIF FPU_CS 1 1 +.names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ +1 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF BG_000DFFSHreg.D +1- 1 +-1 1 +.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ +1 1 .names state_machine_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n 0 1 -.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C +1 1 +.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ 1 1 .names BGACK_000_c.BLIF state_machine_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n 11 1 -.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ +.names IPL_0_.BLIF ipl_c_0__n 1 1 .names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n 11 1 -.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ +.names RST_i.BLIF inst_VMA_INTreg.AP +1 1 +.names IPL_1_.BLIF ipl_c_1__n 1 1 .names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF inst_BGACK_030_INTreg.D 1- 1 -1 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -.names IPL_0_.BLIF ipl_c_0__n +.names IPL_2_.BLIF ipl_c_2__n 1 1 .names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n 0 1 -.names IPL_1_.BLIF ipl_c_1__n -1 1 .names inst_AS_000_INTreg.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n 11 1 -.names RST_i.BLIF inst_BGACK_030_INTreg.AP -1 1 -.names IPL_2_.BLIF ipl_c_2__n -1 1 .names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n 11 1 +.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C +1 1 .names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF inst_AS_000_INTreg.D 1- 1 -1 1 -.names N_49.BLIF ipl_030_0_0__un3_n -0 1 -.names IPL_030DFFSH_0_reg.BLIF N_49.BLIF ipl_030_0_0__un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_AS_000_INTreg.C -1 1 .names vcc_n_n.BLIF AVEC 1 1 +.names N_54.BLIF ipl_030_0_0__un3_n +0 1 +.names RST_i.BLIF BG_000DFFSHreg.AP +1 1 +.names IPL_030DFFSH_0_reg.BLIF N_54.BLIF ipl_030_0_0__un1_n +11 1 +.names cpu_est_3_reg.BLIF E +1 1 .names ipl_c_0__n.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n 11 1 +.names VPA.BLIF inst_VPA_D.D +1 1 .names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF IPL_030DFFSH_0_reg.D 1- 1 -1 1 -.names RST_i.BLIF inst_AS_000_INTreg.AP -1 1 -.names cpu_est_3_reg.BLIF E -1 1 -.names N_49.BLIF ipl_030_0_1__un3_n -0 1 -.names VPA.BLIF inst_VPA_D.D -1 1 -.names IPL_030DFFSH_1_reg.BLIF N_49.BLIF ipl_030_0_1__un1_n -11 1 .names inst_VMA_INTreg.BLIF VMA 1 1 +.names N_54.BLIF ipl_030_0_1__un3_n +0 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +.names RST.BLIF RST_c +1 1 +.names IPL_030DFFSH_1_reg.BLIF N_54.BLIF ipl_030_0_1__un1_n +11 1 +.names RESETDFFRHreg.BLIF RESET +1 1 .names ipl_c_1__n.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n 11 1 -.names RST.BLIF RST_c +.names RST_i.BLIF inst_BGACK_030_INTreg.AP +1 1 +.names RW.BLIF RW_c 1 1 .names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF IPL_030DFFSH_1_reg.D 1- 1 -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE.C -1 1 -.names RESETDFFRHreg.BLIF RESET -1 1 -.names N_49.BLIF ipl_030_0_2__un3_n -0 1 -.names RW.BLIF RW_c -1 1 -.names IPL_030DFFSH_2_reg.BLIF N_49.BLIF ipl_030_0_2__un1_n -11 1 -.names RST_i.BLIF inst_CLK_OUT_PRE.AR -1 1 .names FC_0_.BLIF fc_c_0__n 1 1 +.names N_54.BLIF ipl_030_0_2__un3_n +0 1 +.names FC_1_.BLIF fc_c_1__n +1 1 +.names IPL_030DFFSH_2_reg.BLIF N_54.BLIF ipl_030_0_2__un1_n +11 1 +.names AMIGA_BUS_ENABLEDFFreg.BLIF AMIGA_BUS_ENABLE +1 1 .names ipl_c_2__n.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n 11 1 -.names FC_1_.BLIF fc_c_1__n +.names CLK_OSZI_c.BLIF inst_AS_000_INTreg.C +1 1 +.names RW_i.BLIF AMIGA_BUS_DATA_DIR 1 1 .names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF IPL_030DFFSH_2_reg.D 1- 1 -1 1 -.names AMIGA_BUS_ENABLEDFFreg.BLIF AMIGA_BUS_ENABLE -1 1 -.names N_49.BLIF cpu_estse_0_un3_n -0 1 -.names RW_i.BLIF AMIGA_BUS_DATA_DIR -1 1 -.names cpu_est_1_.BLIF N_49.BLIF cpu_estse_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C -1 1 .names vcc_n_n.BLIF AMIGA_BUS_ENABLE_LOW 1 1 +.names N_54.BLIF cpu_estse_0_un3_n +0 1 +.names RST_i.BLIF inst_AS_000_INTreg.AP +1 1 +.names cpu_est_1_.BLIF N_54.BLIF cpu_estse_0_un1_n +11 1 +.names N_50_i.BLIF N_130.BLIF N_73_1 +11 1 .names cpu_est_ns_1__n.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n 11 1 +.names N_73_1.BLIF cpu_est_2_.BLIF N_73 +11 1 .names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D 1- 1 -1 1 -.names RST_i.BLIF CLK_OUT_INTreg.AR -1 1 -.names N_68_1.BLIF VPA_D_i.BLIF N_68 +.names CLK_000_D0_i.BLIF N_131.BLIF N_72_1 11 1 -.names N_49.BLIF cpu_estse_1_un3_n +.names N_54.BLIF cpu_estse_1_un3_n 0 1 -.names N_70_1.BLIF N_70_2.BLIF N_70_3 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE.C +1 1 +.names N_72_1.BLIF VPA_D_i.BLIF N_72 11 1 -.names cpu_est_2_.BLIF N_49.BLIF cpu_estse_1_un1_n +.names cpu_est_2_.BLIF N_54.BLIF cpu_estse_1_un1_n 11 1 -.names N_70_3.BLIF VPA_D_i.BLIF N_70 +.names N_74_1.BLIF N_74_2.BLIF N_74_3 11 1 .names cpu_est_ns_2__n.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n 11 1 -.names N_227_i.BLIF N_79_i.BLIF sm_amiga_ns_0_1_0__n +.names RST_i.BLIF inst_CLK_OUT_PRE.AR +1 1 +.names N_74_3.BLIF VPA_D_i.BLIF N_74 11 1 .names cpu_estse_1_un1_n.BLIF cpu_estse_1_un0_n.BLIF cpu_est_2_.D 1- 1 -1 1 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -.names sm_amiga_ns_0_1_0__n.BLIF N_226_i.BLIF sm_amiga_ns_0_0__n +.names AS_030_c.BLIF CLK_000_c.BLIF N_70_1 11 1 -.names N_49.BLIF cpu_estse_2_un3_n +.names N_54.BLIF cpu_estse_2_un3_n 0 1 -.names N_225_i.BLIF N_222_i.BLIF cpu_est_ns_0_1_2__n +.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF N_70_2 11 1 -.names cpu_est_3_reg.BLIF N_49.BLIF cpu_estse_2_un1_n +.names cpu_est_3_reg.BLIF N_54.BLIF cpu_estse_2_un1_n 11 1 -.names RST_i.BLIF inst_AS_030_000_SYNC.AP +.names N_70_1.BLIF N_70_2.BLIF N_70 +11 1 +.names N_158_i.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n +11 1 +.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C 1 1 -.names cpu_est_ns_0_1_2__n.BLIF N_223_i.BLIF cpu_est_ns_0_2__n -11 1 -.names N_157_i.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n -11 1 -.names AMIGA_BUS_ENABLE_i.BLIF AS_030_i.BLIF N_221_1 +.names N_124_i.BLIF N_85_i.BLIF sm_amiga_ns_0_1_0__n 11 1 .names cpu_estse_2_un1_n.BLIF cpu_estse_2_un0_n.BLIF cpu_est_3_reg.D 1- 1 -1 1 -.names N_221_1.BLIF sm_amiga_i_6__n.BLIF N_221 +.names sm_amiga_ns_0_1_0__n.BLIF N_123_i.BLIF sm_amiga_ns_0_0__n 11 1 .names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF state_machine_un23_clk_000_d0_0_n 11 1 -.names CLK_000_D0_i.BLIF SM_AMIGA_1_.BLIF N_215_1 -11 1 -.names inst_CLK_000_D5.BLIF CLK_000_D5_i -0 1 -.names CLK_OSZI_c.BLIF inst_DTACK_SYNC.C +.names RST_i.BLIF CLK_OUT_INTreg.AR 1 1 -.names N_215_1.BLIF state_machine_un6_clk_000_d4_i_n.BLIF N_215 +.names N_228_i.BLIF N_122_i.BLIF cpu_est_ns_0_1_2__n 11 1 -.names inst_CLK_000_D4.BLIF CLK_000_D5_i.BLIF state_machine_un6_clk_000_d4_n -11 1 -.names a_i_0__n.BLIF size_c_0__n.BLIF N_75_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +.names inst_CLK_000_D6.BLIF CLK_000_D6_i 0 1 -.names RST_i.BLIF inst_DTACK_SYNC.AP -1 1 -.names N_75_1.BLIF size_i_1__n.BLIF N_75 +.names cpu_est_ns_0_1_2__n.BLIF N_227_i.BLIF cpu_est_ns_0_2__n +11 1 +.names inst_CLK_000_D5.BLIF CLK_000_D6_i.BLIF state_machine_un6_clk_000_d5_n +11 1 +.names AMIGA_BUS_ENABLE_i.BLIF AS_030_i.BLIF N_226_1 11 1 .names inst_FPU_CS_INTreg.BLIF FPU_CS_INT_i 0 1 -.names N_48_i.BLIF N_127.BLIF N_69_1 +.names N_226_1.BLIF sm_amiga_i_6__n.BLIF N_226 11 1 .names G_108.BLIF G_102.BLIF inst_CLK_OUT_PRE.D 11 1 -.names N_69_1.BLIF cpu_est_2_.BLIF N_69 -11 1 -.names N_27.BLIF as_030_000_sync_0_un3_n -0 1 -.names CLK_000_D0_i.BLIF N_128.BLIF N_68_1 -11 1 -.names un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_27.BLIF as_030_000_sync_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_FPU_CS_INTreg.C +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C 1 1 -.names N_216_i.BLIF N_217_i.BLIF cpu_est_ns_0_2_1__n +.names CLK_000_D0_i.BLIF SM_AMIGA_1_.BLIF N_220_1 +11 1 +.names N_30.BLIF as_030_000_sync_0_un3_n +0 1 +.names N_220_1.BLIF state_machine_un6_clk_000_d5_i_n.BLIF N_220 +11 1 +.names un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_30.BLIF as_030_000_sync_0_un1_n +11 1 +.names RST_i.BLIF inst_AS_030_000_SYNC.AP +1 1 +.names a_i_0__n.BLIF size_c_0__n.BLIF N_82_1 11 1 .names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n 11 1 -.names cpu_est_ns_0_1_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_ns_0_1__n +.names N_82_1.BLIF size_i_1__n.BLIF N_82 11 1 .names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF inst_AS_030_000_SYNC.D 1- 1 -1 1 -.names RST_i.BLIF inst_FPU_CS_INTreg.AP +.names a_i_19__n.BLIF a_i_16__n.BLIF N_55_i_3 +11 1 +.names N_78.BLIF N_78_i +0 1 +.names N_55_i_1.BLIF N_55_i_2.BLIF N_55_i_4 +11 1 +.names N_26.BLIF dtack_sync_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF inst_DTACK_SYNC.C 1 1 -.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF state_machine_un12_bg_030_1_n +.names N_55_i_3.BLIF a_i_18__n.BLIF N_55_i_5 11 1 -.names N_72.BLIF N_72_i -0 1 -.names AS_030_c.BLIF BG_030_i.BLIF state_machine_un12_bg_030_2_n +.names N_78_i.BLIF N_26.BLIF dtack_sync_0_un1_n 11 1 -.names N_23.BLIF dtack_sync_0_un3_n -0 1 -.names CLK_OUT_INTreg.BLIF N_49_i.BLIF state_machine_un12_bg_030_3_n -11 1 -.names N_72_i.BLIF N_23.BLIF dtack_sync_0_un1_n -11 1 -.names state_machine_un12_bg_030_1_n.BLIF state_machine_un12_bg_030_2_n.BLIF state_machine_un12_bg_030_4_n +.names N_55_i_4.BLIF N_55_i_5.BLIF N_55_i 11 1 .names inst_DTACK_SYNC.BLIF dtack_sync_0_un3_n.BLIF dtack_sync_0_un0_n 11 1 -.names CLK_OSZI_c.BLIF DSACK_INT_1_.C +.names RST_i.BLIF inst_DTACK_SYNC.AP 1 1 -.names state_machine_un12_bg_030_4_n.BLIF state_machine_un12_bg_030_3_n.BLIF state_machine_un12_bg_030_n +.names N_129_i.BLIF N_131_i.BLIF cpu_est_ns_0_1_1__n 11 1 .names dtack_sync_0_un1_n.BLIF dtack_sync_0_un0_n.BLIF inst_DTACK_SYNC.D 1- 1 -1 1 -.names CLK_030_c.BLIF N_53.BLIF N_73_1 +.names N_221_i.BLIF N_222_i.BLIF cpu_est_ns_0_2_1__n 11 1 -.names N_205.BLIF fpu_cs_int_0_un3_n +.names N_206.BLIF fpu_cs_int_0_un3_n 0 1 -.names RST_i.BLIF DSACK_INT_1_.AP -1 1 -.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF N_73_2 +.names cpu_est_ns_0_1_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_ns_0_1__n 11 1 -.names AS_030_c.BLIF N_205.BLIF fpu_cs_int_0_un1_n +.names AS_030_c.BLIF N_206.BLIF fpu_cs_int_0_un1_n 11 1 -.names N_73_1.BLIF N_73_2.BLIF N_73 +.names CLK_030_c.BLIF N_55.BLIF N_80_1 11 1 .names inst_FPU_CS_INTreg.BLIF fpu_cs_int_0_un3_n.BLIF fpu_cs_int_0_un0_n 11 1 -.names inst_CLK_000_D0.BLIF DTACK_i.BLIF N_72_1 +.names CLK_OSZI_c.BLIF inst_FPU_CS_INTreg.C +1 1 +.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF N_80_2 11 1 .names fpu_cs_int_0_un1_n.BLIF fpu_cs_int_0_un0_n.BLIF inst_FPU_CS_INTreg.D 1- 1 -1 1 -.names SM_AMIGA_3_.BLIF inst_VPA_D.BLIF N_72_2 +.names N_80_1.BLIF N_80_2.BLIF N_80 11 1 -.names N_50.BLIF dsack_int_0_1__un3_n +.names N_51.BLIF dsack_int_0_1__un3_n 0 1 -.names CLK_OSZI_c.BLIF AMIGA_BUS_ENABLEDFFreg.C +.names RST_i.BLIF inst_FPU_CS_INTreg.AP 1 1 -.names N_72_1.BLIF N_72_2.BLIF N_72 +.names inst_CLK_000_D0.BLIF DTACK_i.BLIF N_78_1 11 1 -.names N_55.BLIF N_50.BLIF dsack_int_0_1__un1_n +.names N_57.BLIF N_51.BLIF dsack_int_0_1__un1_n 11 1 -.names inst_CLK_000_D0.BLIF N_57_i.BLIF N_70_1 +.names SM_AMIGA_3_.BLIF inst_VPA_D.BLIF N_78_2 11 1 .names DSACK_INT_1_.BLIF dsack_int_0_1__un3_n.BLIF dsack_int_0_1__un0_n 11 1 -.names SM_AMIGA_3_.BLIF VMA_INT_i.BLIF N_70_2 +.names N_78_1.BLIF N_78_2.BLIF N_78 11 1 .names dsack_int_0_1__un1_n.BLIF dsack_int_0_1__un0_n.BLIF DSACK_INT_1_.D 1- 1 -1 1 -.names N_238_1.BLIF N_238_2.BLIF N_238_5 +.names inst_CLK_000_D0.BLIF N_59_i.BLIF N_74_1 11 1 .names RST_c.BLIF amiga_bus_enable_0_un3_n 0 1 -.names CLK_OSZI_c.BLIF inst_DTACK_DMA.C +.names CLK_OSZI_c.BLIF DSACK_INT_1_.C 1 1 -.names N_238_3.BLIF N_238_4.BLIF N_238_6 +.names SM_AMIGA_3_.BLIF VMA_INT_i.BLIF N_74_2 11 1 -.names N_41_i.BLIF RST_c.BLIF amiga_bus_enable_0_un1_n -11 1 -.names N_238_5.BLIF N_238_6.BLIF N_238 +.names N_44_i.BLIF RST_c.BLIF amiga_bus_enable_0_un1_n 11 1 +.names state_machine_un23_clk_000_d0_0_n.BLIF state_machine_un23_clk_000_d0_n +0 1 .names AMIGA_BUS_ENABLEDFFreg.BLIF amiga_bus_enable_0_un3_n.BLIF amiga_bus_enable_0_un0_n 11 1 -.names RST_i.BLIF inst_DTACK_DMA.AP +.names RST_i.BLIF DSACK_INT_1_.AP 1 1 -.names a_c_20__n.BLIF a_c_21__n.BLIF N_241_1 +.names a_i_24__n.BLIF a_i_25__n.BLIF N_236_1 11 1 .names amiga_bus_enable_0_un1_n.BLIF amiga_bus_enable_0_un0_n.BLIF AMIGA_BUS_ENABLEDFFreg.D 1- 1 -1 1 -.names a_c_22__n.BLIF a_c_23__n.BLIF N_241_2 +.names a_i_26__n.BLIF a_i_27__n.BLIF N_236_2 11 1 -.names N_203.BLIF uds_000_int_0_un3_n +.names N_204.BLIF uds_000_int_0_un3_n 0 1 -.names N_241_1.BLIF N_241_2.BLIF N_241 +.names a_i_28__n.BLIF a_i_29__n.BLIF N_236_3 11 1 -.names state_machine_uds_000_int_5_n.BLIF N_203.BLIF uds_000_int_0_un1_n +.names state_machine_uds_000_int_5_n.BLIF N_204.BLIF uds_000_int_0_un1_n 11 1 -.names inst_CLK_000_D4.BLIF inst_CLK_000_D5.D -1 1 -.names inst_CLK_000_D3.BLIF AS_030_000_SYNC_i.BLIF state_machine_un8_clk_000_d2_1_n +.names a_i_30__n.BLIF a_i_31__n.BLIF N_236_4 11 1 .names inst_UDS_000_INTreg.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n 11 1 -.names state_machine_un8_clk_000_d2_1_n.BLIF CLK_000_D2_i.BLIF state_machine_un8_clk_000_d2_n +.names CLK_OSZI_c.BLIF AMIGA_BUS_ENABLEDFFreg.C +1 1 +.names N_236_1.BLIF N_236_2.BLIF N_236_5 11 1 .names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INTreg.D 1- 1 -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D5.C +.names N_236_3.BLIF N_236_4.BLIF N_236_6 +11 1 +.names N_204.BLIF lds_000_int_0_un3_n +0 1 +.names N_236_5.BLIF N_236_6.BLIF N_236 +11 1 +.names state_machine_lds_000_int_5_n.BLIF N_204.BLIF lds_000_int_0_un1_n +11 1 +.names a_c_20__n.BLIF a_c_21__n.BLIF N_239_1 +11 1 +.names inst_LDS_000_INTreg.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names CLK_OSZI_c.BLIF inst_DTACK_DMA.C 1 1 -.names a_c_17__n.BLIF BGACK_000_c.BLIF N_53_i_1 +.names a_c_22__n.BLIF a_c_23__n.BLIF N_239_2 +11 1 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF inst_LDS_000_INTreg.D +1- 1 +-1 1 +.names N_239_1.BLIF N_239_2.BLIF N_239 11 1 .names vcc_n_n 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_53_i_2 +.names RST_i.BLIF inst_DTACK_DMA.AP +1 1 +.names inst_CLK_000_D3.BLIF AS_030_000_SYNC_i.BLIF state_machine_un8_clk_000_d2_1_n 11 1 .names gnd_n_n -.names RST_i.BLIF inst_CLK_000_D5.AP -1 1 -.names a_i_19__n.BLIF a_i_16__n.BLIF N_53_i_3 +.names state_machine_un8_clk_000_d2_1_n.BLIF CLK_000_D2_i.BLIF state_machine_un8_clk_000_d2_n 11 1 .names A_15_.BLIF a_15__n 1 1 -.names N_53_i_1.BLIF N_53_i_2.BLIF N_53_i_4 +.names a_c_17__n.BLIF BGACK_000_c.BLIF N_55_i_1 11 1 .names A_14_.BLIF a_14__n 1 1 -.names N_53_i_3.BLIF a_i_18__n.BLIF N_53_i_5 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_55_i_2 11 1 .names A_13_.BLIF a_13__n 1 1 -.names N_53_i_4.BLIF N_53_i_5.BLIF N_53_i -11 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_D5.C +1 1 +.names state_machine_uds_000_int_5_0_n.BLIF state_machine_uds_000_int_5_n +0 1 .names A_12_.BLIF a_12__n 1 1 -.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C -1 1 -.names N_126_i.BLIF N_128_i.BLIF cpu_est_ns_0_1_1__n -11 1 +.names N_80.BLIF N_80_i +0 1 .names A_11_.BLIF a_11__n 1 1 -.names N_27_0.BLIF N_27 +.names RST_i.BLIF inst_CLK_000_D5.AP +1 1 +.names N_30_0.BLIF N_30 0 1 .names A_10_.BLIF a_10__n 1 1 -.names RST_i.BLIF BG_000DFFSHreg.AP -1 1 -.names N_23_0.BLIF N_23 +.names N_26_0.BLIF N_26 0 1 .names A_9_.BLIF a_9__n 1 1 -.names N_71.BLIF N_71_i +.names N_76.BLIF N_76_i 0 1 .names A_8_.BLIF a_8__n 1 1 -.names N_205_0.BLIF N_205 +.names inst_CLK_000_D5.BLIF inst_CLK_000_D6.D +1 1 +.names N_206_0.BLIF N_206 0 1 .names A_7_.BLIF a_7__n 1 1 -.names inst_CLK_000_D3.BLIF inst_CLK_000_D4.D -1 1 -.names N_204_0.BLIF N_204 +.names N_205_0.BLIF N_205 0 1 .names A_6_.BLIF a_6__n 1 1 -.names N_68.BLIF N_68_i +.names CLK_OSZI_c.BLIF inst_CLK_000_D6.C +1 1 +.names N_72.BLIF N_72_i 0 1 .names A_5_.BLIF a_5__n 1 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D4.C -1 1 -.names N_69.BLIF N_69_i +.names N_73.BLIF N_73_i 0 1 .names A_4_.BLIF a_4__n 1 1 +.names RST_i.BLIF inst_CLK_000_D6.AP +1 1 .names state_machine_un15_clk_000_d0_0_n.BLIF state_machine_un15_clk_000_d0_n 0 1 .names A_3_.BLIF a_3__n 1 1 -.names RST_i.BLIF inst_CLK_000_D4.AP -1 1 -.names N_203_0.BLIF N_203 +.names N_204_0.BLIF N_204 0 1 .names A_2_.BLIF a_2__n 1 1 -.names state_machine_un6_bgack_000_0_n.BLIF state_machine_un6_bgack_000_n +.names BG_030_c.BLIF BG_030_c_i 0 1 .names A_1_.BLIF a_1__n 1 1 -.names state_machine_un23_clk_000_d0_0_n.BLIF state_machine_un23_clk_000_d0_n +.names inst_CLK_000_D3.BLIF inst_CLK_000_D4.D +1 1 +.names N_70.BLIF N_70_i +0 1 +.names state_machine_un10_bg_030_0_n.BLIF state_machine_un10_bg_030_n +0 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_D4.C +1 1 +.names state_machine_un6_bgack_000_0_n.BLIF state_machine_un6_bgack_000_n +0 1 +.names N_219.BLIF N_219_i +0 1 +.names RST_i.BLIF inst_CLK_000_D4.AP +1 1 +.names N_220.BLIF N_220_i +0 1 +.names sm_amiga_ns_0_7__n.BLIF SM_AMIGA_0_.D +0 1 +.names N_215.BLIF N_215_i 0 1 .names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D 1 1 -.names a_i_24__n.BLIF a_i_25__n.BLIF N_238_1 -11 1 -.names a_i_26__n.BLIF a_i_27__n.BLIF N_238_2 -11 1 +.names N_216.BLIF N_216_i +0 1 +.names N_95.BLIF N_95_i +0 1 .names CLK_OSZI_c.BLIF inst_CLK_000_D2.C 1 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF N_238_3 -11 1 -.names a_i_30__n.BLIF a_i_31__n.BLIF N_238_4 -11 1 +.names N_214.BLIF N_214_i +0 1 +.names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D +0 1 .names RST_i.BLIF inst_CLK_000_D2.AP 1 1 -.names sm_amiga_ns_0_7__n.BLIF SM_AMIGA_0_.D -0 1 -.names N_93.BLIF N_93_i -0 1 .names N_94.BLIF N_94_i 0 1 +.names N_133.BLIF N_133_i +0 1 +.names N_87.BLIF N_87_i +0 1 .names inst_CLK_000_D2.BLIF inst_CLK_000_D3.D 1 1 -.names N_85.BLIF N_85_i -0 1 .names N_86.BLIF N_86_i 0 1 +.names N_83.BLIF N_83_i +0 1 .names CLK_OSZI_c.BLIF inst_CLK_000_D3.C 1 1 -.names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D -0 1 -.names N_84.BLIF N_84_i -0 1 -.names RST_i.BLIF inst_CLK_000_D3.AP -1 1 -.names N_130.BLIF N_130_i -0 1 .names N_82.BLIF N_82_i 0 1 -.names N_81.BLIF N_81_i -0 1 -.names N_77.BLIF N_77_i -0 1 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 -.names N_75.BLIF N_75_i -0 1 .names state_machine_lds_000_int_5_0_n.BLIF state_machine_lds_000_int_5_n 0 1 -.names RST_i.BLIF inst_VPA_D.AP +.names RST_i.BLIF inst_CLK_000_D3.AP 1 1 -.names state_machine_uds_000_int_5_0_n.BLIF state_machine_uds_000_int_5_n +.names N_50_i.BLIF N_50 0 1 -.names N_73.BLIF N_73_i +.names N_125.BLIF N_125_i 0 1 -.names N_123.BLIF N_123_i +.names N_126.BLIF N_126_i 0 1 .names cpu_est_ns_e_0_0__n.BLIF cpu_est_0_.D 0 1 +.names CLK_OSZI_c.BLIF inst_VPA_D.C +1 1 +.names N_85.BLIF N_85_i +0 1 +.names N_123.BLIF N_123_i +0 1 +.names RST_i.BLIF inst_VPA_D.AP +1 1 +.names N_124.BLIF N_124_i +0 1 +.names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D +0 1 +.names N_122.BLIF N_122_i +0 1 +.names CLK_000_c.BLIF inst_CLK_000_D0.D +1 1 +.names N_227.BLIF N_227_i +0 1 +.names N_228.BLIF N_228_i +0 1 .names CLK_OSZI_c.BLIF inst_CLK_000_D0.C 1 1 -.names N_79.BLIF N_79_i +.names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n 0 1 .names N_226.BLIF N_226_i 0 1 .names RST_i.BLIF inst_CLK_000_D0.AP 1 1 -.names N_227.BLIF N_227_i -0 1 -.names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D -0 1 -.names N_222.BLIF N_222_i -0 1 -.names vcc_n_n.BLIF RESETDFFRHreg.D -1 1 -.names N_223.BLIF N_223_i +.names N_130.BLIF N_130_i 0 1 .names N_225.BLIF N_225_i 0 1 -.names CLK_OSZI_c.BLIF RESETDFFRHreg.C -1 1 -.names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n -0 1 .names N_221.BLIF N_221_i 0 1 +.names vcc_n_n.BLIF RESETDFFRHreg.D +1 1 +.names N_222.BLIF N_222_i +0 1 +.names N_63_0.BLIF N_63 +0 1 +.names CLK_OSZI_c.BLIF RESETDFFRHreg.C +1 1 +.names N_62_0.BLIF N_62 +0 1 +.names N_132.BLIF N_132_i +0 1 .names RST_i.BLIF RESETDFFRHreg.AR 1 1 -.names N_127.BLIF N_127_i -0 1 -.names N_220.BLIF N_220_i -0 1 -.names N_214.BLIF N_214_i -0 1 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D -1 1 -.names N_215.BLIF N_215_i -0 1 -.names N_61_0.BLIF N_61 -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C -1 1 -.names N_60_0.BLIF N_60 -0 1 -.names N_129.BLIF N_129_i -0 1 -.names RST_i.BLIF inst_CLK_000_D1.AP -1 1 .names un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 0 1 .names DS_030_c.BLIF DS_030_c_i 0 1 -.names CLK_OSZI_c.BLIF cpu_est_2_.C +.names CLK_OSZI_c.BLIF cpu_est_1_.C 1 1 -.names N_58_i.BLIF N_58 +.names N_60_i.BLIF N_60 0 1 -.names CLK_CNT_N_0_.BLIF G_102.X1 +.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D +1 1 +.names N_59_i.BLIF N_59 +0 1 +.names RST_i.BLIF cpu_est_1_.AR 1 1 .names N_57_i.BLIF N_57 0 1 -.names RST_i.BLIF cpu_est_2_.AR +.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C 1 1 +.names N_56_i.BLIF N_56 +0 1 .names N_55_i.BLIF N_55 0 1 -.names CLK_CNT_N_1_.BLIF G_102.X2 -1 1 -.names N_54_i.BLIF N_54 -0 1 -.names N_53_i.BLIF N_53 -0 1 -.names N_50_i.BLIF N_50 -0 1 -.names CLK_OSZI_c.BLIF cpu_est_3_reg.C -1 1 -.names CLK_CNT_P_0_.BLIF G_108.X1 +.names RST_i.BLIF inst_CLK_000_D1.AP 1 1 .names inst_CLK_000_D1.BLIF CLK_000_D1_i 0 1 -.names N_49_i.BLIF N_49 -0 1 -.names RST_i.BLIF cpu_est_3_reg.AR +.names CLK_OSZI_c.BLIF cpu_est_2_.C 1 1 -.names CLK_CNT_P_1_.BLIF G_108.X2 +.names N_54_i.BLIF N_54 +0 1 +.names N_51_i.BLIF N_51 +0 1 +.names RST_i.BLIF cpu_est_2_.AR +1 1 +.names CLK_CNT_N_0_.BLIF G_102.X1 1 1 -.names N_48_i.BLIF N_48 -0 1 -.names N_122.BLIF N_122_i -0 1 .names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n 0 1 -.names inst_CLK_OUT_PRE.BLIF CLK_OUT_INTreg.D +.names N_129.BLIF N_129_i +0 1 +.names CLK_CNT_N_1_.BLIF G_102.X2 1 1 -.names N_126.BLIF N_126_i -0 1 -.names CLK_OSZI_c.BLIF cpu_est_0_.C -1 1 -.names N_128.BLIF N_128_i -0 1 -.names N_216.BLIF N_216_i -0 1 -.names RST_i.BLIF cpu_est_0_.AR -1 1 -.names N_217.BLIF N_217_i -0 1 -.names DS_030_c_i.BLIF N_47.BLIF N_58_i -11 1 .end diff --git a/Logic/BUS68030.bl1 b/Logic/BUS68030.bl1 index 2128399..57665da 100644 --- a/Logic/BUS68030.bl1 +++ b/Logic/BUS68030.bl1 @@ -1,75 +1,74 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Sat May 24 16:11:50 2014 +#$ DATE Sat May 24 19:56:20 2014 #$ MODULE bus68030 -#$ PINS 74 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ SIZE_0_ A_30_ DSACK_1_ A_29_ A_28_ FC_1_ \ -# A_27_ AS_030 A_26_ AS_000 A_25_ DS_030 A_24_ UDS_000 A_23_ LDS_000 A_22_ nEXP_SPACE A_21_ \ -# BERR A_20_ BG_030 A_19_ BG_000 A_18_ BGACK_030 A_17_ BGACK_000 A_16_ CLK_030 A_15_ CLK_000 \ -# A_14_ CLK_OSZI A_13_ CLK_DIV_OUT A_12_ CLK_EXP A_11_ FPU_CS A_10_ DTACK A_9_ AVEC A_8_ \ -# AVEC_EXP A_7_ E A_6_ VPA A_5_ VMA A_4_ RST A_3_ RESET A_2_ RW A_1_ AMIGA_BUS_ENABLE A_0_ \ -# AMIGA_BUS_DATA_DIR IPL_030_1_ AMIGA_BUS_ENABLE_LOW IPL_030_0_ CIIN IPL_1_ IPL_0_ \ +#$ PINS 74 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ DSACK_1_ FC_1_ AS_030 AS_000 SIZE_0_ DS_030 \ +# A_30_ UDS_000 A_29_ LDS_000 A_28_ nEXP_SPACE A_27_ BERR A_26_ BG_030 A_25_ BG_000 A_24_ \ +# BGACK_030 A_23_ BGACK_000 A_22_ CLK_030 A_21_ CLK_000 A_20_ CLK_OSZI A_19_ CLK_DIV_OUT \ +# A_18_ CLK_EXP A_17_ FPU_CS A_16_ DTACK A_15_ AVEC A_14_ AVEC_EXP A_13_ E A_12_ VPA A_11_ VMA \ +# A_10_ RST A_9_ RESET A_8_ RW A_7_ AMIGA_BUS_ENABLE A_6_ AMIGA_BUS_DATA_DIR A_5_ \ +# AMIGA_BUS_ENABLE_LOW A_4_ CIIN A_3_ A_2_ A_1_ A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ \ # DSACK_0_ FC_0_ -#$ NODES 358 CLK_OSZI_c CLK_OUT_INTreg IPL_030DFFSH_0_reg inst_BGACK_030_INTreg \ -# inst_FPU_CS_INTreg IPL_030DFFSH_1_reg inst_VMA_INTreg inst_AS_000_INTreg \ -# IPL_030DFFSH_2_reg inst_AS_030_000_SYNC inst_DTACK_SYNC ipl_c_0__n inst_VPA_D \ -# inst_VPA_SYNC ipl_c_1__n inst_CLK_000_D0 inst_CLK_000_D1 ipl_c_2__n inst_CLK_000_D2 \ -# inst_CLK_000_D5 SM_AMIGA_5_ dsack_c_1__n SM_AMIGA_6_ vcc_n_n DTACK_c gnd_n_n \ -# inst_UDS_000_INTreg inst_LDS_000_INTreg DSACK_INT_1_ AS_000_INT_1_sqmuxa \ -# state_machine_un8_clk_000_d2_n inst_CLK_000_D3 SM_AMIGA_4_ RST_c \ -# state_machine_un23_clk_000_d0_n state_machine_un6_clk_000_d4_n RESETDFFRHreg \ -# inst_CLK_000_D4 inst_DTACK_DMA RW_c state_machine_un12_bg_030_n SM_AMIGA_7_ \ -# fc_c_0__n SM_AMIGA_3_ state_machine_un6_bgack_000_n fc_c_1__n SM_AMIGA_1_ G_102 \ -# AMIGA_BUS_ENABLEDFFreg CLK_CNT_N_0_ CLK_CNT_N_1_ G_108 CLK_CNT_P_0_ CLK_CNT_P_1_ \ -# cpu_est_ns_0_1__n SM_AMIGA_2_ N_126_i SM_AMIGA_0_ N_128_i \ -# state_machine_un7_as_000_int_n N_216_i state_machine_un15_clk_000_d0_n N_217_i \ -# state_machine_lds_000_int_5_n N_61_0 state_machine_uds_000_int_5_n N_60_0 \ -# un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_129_i inst_CLK_OUT_PRE \ -# un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i N_58_i N_57_i N_55_i N_54_i N_53_i \ -# N_50_i CLK_000_D1_i N_49_i N_48_i N_122_i N_123_i cpu_est_ns_e_0_0__n N_79_i N_226_i \ -# N_227_i sm_amiga_ns_0_0__n cpu_est_0_ N_222_i cpu_est_1_ N_223_i cpu_est_2_ N_225_i \ -# cpu_est_3_reg cpu_est_ns_0_2__n N_221_i N_41_i N_127_i N_220_i cpu_est_ns_1__n \ -# N_157_i cpu_est_ns_2__n N_214_i N_203 N_215_i N_204 sm_amiga_ns_0_7__n N_205 N_93_i \ -# N_23 N_94_i N_27 N_47 N_85_i N_48 N_86_i N_49 sm_amiga_ns_0_5__n N_50 N_84_i N_53 N_130_i \ -# N_54 N_55 N_82_i N_57 N_58 N_81_i N_60 N_61 N_77_i N_68 N_69 N_75_i N_70 \ -# state_machine_lds_000_int_5_0_n N_71 state_machine_uds_000_int_5_0_n N_72 N_73_i \ -# N_73 N_27_0 N_75 N_23_0 N_77 N_71_i N_79 N_205_0 N_81 N_204_0 N_82 N_68_i N_84 N_69_i N_85 \ -# state_machine_un15_clk_000_d0_0_n N_86 N_203_0 N_93 \ -# state_machine_un6_bgack_000_0_n N_94 N_214 state_machine_un23_clk_000_d0_0_n N_215 \ -# N_238_1 N_216 N_238_2 N_217 N_238_3 N_220 N_238_4 N_221 N_238_5 N_222 N_238_6 N_223 \ -# N_241_1 N_225 N_241_2 N_226 state_machine_un8_clk_000_d2_1_n N_227 N_53_i_1 N_122 \ -# N_53_i_2 N_123 N_53_i_3 N_126 N_53_i_4 N_127 N_53_i_5 N_128 cpu_est_ns_0_1_1__n N_129 \ -# cpu_est_ns_0_2_1__n N_130 state_machine_un12_bg_030_1_n N_238 \ -# state_machine_un12_bg_030_2_n N_241 state_machine_un12_bg_030_3_n RW_i \ -# state_machine_un12_bg_030_4_n VMA_INT_i N_73_1 VPA_D_i N_73_2 DTACK_i N_72_1 BG_030_i \ -# N_72_2 CLK_000_D0_i N_70_1 sm_amiga_i_4__n N_70_2 cpu_est_i_3__n N_70_3 \ -# sm_amiga_i_1__n sm_amiga_ns_0_1_0__n state_machine_un6_clk_000_d4_i_n \ -# cpu_est_ns_0_1_2__n sm_amiga_i_6__n N_221_1 nEXP_SPACE_i N_215_1 AS_000_INT_i N_75_1 \ -# cpu_est_i_1__n N_69_1 cpu_est_i_0__n N_68_1 AMIGA_BUS_ENABLE_i \ +#$ NODES 365 CLK_000_c CLK_OSZI_c CLK_OUT_INTreg inst_BGACK_030_INTreg \ +# inst_FPU_CS_INTreg IPL_030DFFSH_0_reg inst_VMA_INTreg inst_AS_000_INTreg \ +# IPL_030DFFSH_1_reg inst_AS_030_000_SYNC inst_DTACK_SYNC IPL_030DFFSH_2_reg \ +# inst_VPA_D inst_VPA_SYNC ipl_c_0__n inst_CLK_000_D0 inst_CLK_000_D1 ipl_c_1__n \ +# inst_CLK_000_D2 inst_CLK_000_D6 ipl_c_2__n SM_AMIGA_5_ SM_AMIGA_6_ vcc_n_n \ +# dsack_c_1__n gnd_n_n inst_UDS_000_INTreg DTACK_c inst_LDS_000_INTreg DSACK_INT_1_ \ +# AS_000_INT_1_sqmuxa state_machine_un8_clk_000_d2_n inst_CLK_000_D3 SM_AMIGA_4_ \ +# state_machine_un23_clk_000_d0_n state_machine_un6_clk_000_d5_n RST_c \ +# inst_CLK_000_D5 SM_AMIGA_7_ RESETDFFRHreg SM_AMIGA_3_ \ +# state_machine_un6_bgack_000_n RW_c SM_AMIGA_1_ inst_DTACK_DMA fc_c_0__n G_102 \ +# CLK_CNT_N_0_ fc_c_1__n CLK_CNT_N_1_ G_108 AMIGA_BUS_ENABLEDFFreg CLK_CNT_P_0_ \ +# CLK_CNT_P_1_ SM_AMIGA_2_ SM_AMIGA_0_ state_machine_un10_bg_030_n cpu_est_ns_0_1__n \ +# state_machine_un7_as_000_int_n N_129_i inst_CLK_000_D4 N_131_i \ +# state_machine_un15_clk_000_d0_n N_221_i state_machine_lds_000_int_5_n N_222_i \ +# state_machine_uds_000_int_5_n N_63_0 un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_62_0 \ +# inst_CLK_OUT_PRE N_132_i un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i N_60_i N_59_i \ +# N_57_i N_56_i N_55_i CLK_000_D1_i N_54_i N_51_i N_50_i N_125_i N_126_i \ +# cpu_est_ns_e_0_0__n N_85_i N_123_i N_124_i sm_amiga_ns_0_0__n cpu_est_0_ N_122_i \ +# cpu_est_1_ N_227_i cpu_est_2_ N_228_i cpu_est_3_reg cpu_est_ns_0_2__n N_226_i N_44_i \ +# N_130_i N_225_i cpu_est_ns_1__n N_158_i cpu_est_ns_2__n N_219_i N_204 N_220_i N_205 \ +# sm_amiga_ns_0_7__n N_206 N_215_i N_26 N_216_i N_30 N_49 N_95_i N_50 N_214_i N_51 \ +# sm_amiga_ns_0_5__n N_54 N_94_i N_55 N_133_i N_56 N_57 N_87_i N_59 N_60 N_86_i N_62 N_63 \ +# N_83_i N_68 N_70 N_82_i N_72 state_machine_lds_000_int_5_0_n N_73 \ +# state_machine_uds_000_int_5_0_n N_74 N_80_i N_76 N_30_0 N_78 N_26_0 N_80 N_76_i N_82 \ +# N_206_0 N_83 N_205_0 N_85 N_72_i N_86 N_73_i N_87 state_machine_un15_clk_000_d0_0_n \ +# N_94 N_204_0 N_95 BG_030_c_i N_214 N_70_i N_215 state_machine_un10_bg_030_0_n N_216 \ +# state_machine_un6_bgack_000_0_n N_219 N_220 state_machine_un23_clk_000_d0_0_n \ +# N_221 N_236_1 N_222 N_236_2 N_225 N_236_3 N_226 N_236_4 N_227 N_236_5 N_228 N_236_6 N_122 \ +# N_239_1 N_123 N_239_2 N_124 state_machine_un8_clk_000_d2_1_n N_125 N_55_i_1 N_126 \ +# N_55_i_2 N_129 N_55_i_3 N_130 N_55_i_4 N_131 N_55_i_5 N_132 cpu_est_ns_0_1_1__n N_133 \ +# cpu_est_ns_0_2_1__n N_236 N_80_1 N_239 N_80_2 RW_i N_78_1 VMA_INT_i N_78_2 VPA_D_i \ +# N_74_1 DTACK_i N_74_2 CLK_000_D0_i N_74_3 sm_amiga_i_4__n N_70_1 cpu_est_i_3__n N_70_2 \ +# sm_amiga_i_1__n sm_amiga_ns_0_1_0__n state_machine_un6_clk_000_d5_i_n \ +# cpu_est_ns_0_1_2__n sm_amiga_i_6__n N_226_1 nEXP_SPACE_i N_220_1 AS_000_INT_i N_82_1 \ +# cpu_est_i_1__n N_73_1 cpu_est_i_0__n N_72_1 AMIGA_BUS_ENABLE_i \ # state_machine_uds_000_int_5_0_m2_un3_n AS_030_i \ # state_machine_uds_000_int_5_0_m2_un1_n cpu_est_i_2__n \ -# state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n lds_000_int_0_un3_n \ -# sm_amiga_i_3__n lds_000_int_0_un1_n sm_amiga_i_5__n lds_000_int_0_un0_n \ -# state_machine_un8_clk_000_d2_i_n vpa_sync_0_un3_n sm_amiga_i_7__n \ -# vpa_sync_0_un1_n a_i_0__n vpa_sync_0_un0_n size_i_1__n vma_int_0_un3_n dsack_i_1__n \ -# vma_int_0_un1_n CLK_000_D2_i vma_int_0_un0_n AS_030_000_SYNC_i \ -# bgack_030_int_0_un3_n a_i_30__n bgack_030_int_0_un1_n a_i_31__n \ -# bgack_030_int_0_un0_n a_i_28__n as_000_int_0_un3_n a_i_29__n as_000_int_0_un1_n \ -# a_i_26__n as_000_int_0_un0_n a_i_27__n ipl_030_0_0__un3_n a_i_24__n \ -# ipl_030_0_0__un1_n a_i_25__n ipl_030_0_0__un0_n a_i_19__n ipl_030_0_1__un3_n \ -# a_i_16__n ipl_030_0_1__un1_n a_i_18__n ipl_030_0_1__un0_n RST_i ipl_030_0_2__un3_n \ -# ipl_030_0_2__un1_n ipl_030_0_2__un0_n cpu_estse_0_un3_n CLK_OSZI_i \ -# cpu_estse_0_un1_n cpu_estse_0_un0_n N_70_i cpu_estse_1_un3_n N_72_i \ -# cpu_estse_1_un1_n FPU_CS_INT_i cpu_estse_1_un0_n BGACK_030_INT_i cpu_estse_2_un3_n \ -# CLK_000_D5_i cpu_estse_2_un1_n AS_030_c cpu_estse_2_un0_n as_030_000_sync_0_un3_n \ -# as_030_000_sync_0_un1_n DS_030_c as_030_000_sync_0_un0_n dtack_sync_0_un3_n \ -# dtack_sync_0_un1_n dtack_sync_0_un0_n size_c_0__n fpu_cs_int_0_un3_n \ -# fpu_cs_int_0_un1_n size_c_1__n fpu_cs_int_0_un0_n dsack_int_0_1__un3_n a_c_0__n \ -# dsack_int_0_1__un1_n dsack_int_0_1__un0_n amiga_bus_enable_0_un3_n \ -# amiga_bus_enable_0_un1_n amiga_bus_enable_0_un0_n uds_000_int_0_un3_n \ -# uds_000_int_0_un1_n uds_000_int_0_un0_n a_15__n a_14__n a_13__n a_12__n a_11__n \ -# a_c_16__n a_10__n a_c_17__n a_9__n a_c_18__n a_8__n a_c_19__n a_7__n a_c_20__n a_6__n \ -# a_c_21__n a_5__n a_c_22__n a_4__n a_c_23__n a_3__n a_c_24__n a_2__n a_c_25__n a_1__n \ -# a_c_26__n a_c_27__n a_c_28__n a_c_29__n a_c_30__n a_c_31__n nEXP_SPACE_c BG_030_c \ -# BG_000DFFSHreg BGACK_000_c CLK_030_c +# state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n vpa_sync_0_un3_n \ +# sm_amiga_i_3__n vpa_sync_0_un1_n sm_amiga_i_5__n vpa_sync_0_un0_n \ +# state_machine_un8_clk_000_d2_i_n vma_int_0_un3_n sm_amiga_i_7__n vma_int_0_un1_n \ +# a_i_0__n vma_int_0_un0_n size_i_1__n bg_000_0_un3_n dsack_i_1__n bg_000_0_un1_n \ +# BGACK_030_INT_i bg_000_0_un0_n CLK_000_D2_i bgack_030_int_0_un3_n \ +# AS_030_000_SYNC_i bgack_030_int_0_un1_n a_i_19__n bgack_030_int_0_un0_n a_i_16__n \ +# as_000_int_0_un3_n a_i_18__n as_000_int_0_un1_n a_i_30__n as_000_int_0_un0_n \ +# a_i_31__n ipl_030_0_0__un3_n a_i_28__n ipl_030_0_0__un1_n a_i_29__n \ +# ipl_030_0_0__un0_n a_i_26__n ipl_030_0_1__un3_n a_i_27__n ipl_030_0_1__un1_n \ +# a_i_24__n ipl_030_0_1__un0_n a_i_25__n ipl_030_0_2__un3_n RST_i ipl_030_0_2__un1_n \ +# ipl_030_0_2__un0_n cpu_estse_0_un3_n CLK_OSZI_i cpu_estse_0_un1_n \ +# cpu_estse_0_un0_n N_74_i cpu_estse_1_un3_n N_78_i cpu_estse_1_un1_n FPU_CS_INT_i \ +# cpu_estse_1_un0_n CLK_000_D6_i cpu_estse_2_un3_n AS_030_c cpu_estse_2_un1_n \ +# cpu_estse_2_un0_n as_030_000_sync_0_un3_n DS_030_c as_030_000_sync_0_un1_n \ +# as_030_000_sync_0_un0_n dtack_sync_0_un3_n dtack_sync_0_un1_n size_c_0__n \ +# dtack_sync_0_un0_n fpu_cs_int_0_un3_n size_c_1__n fpu_cs_int_0_un1_n \ +# fpu_cs_int_0_un0_n a_c_0__n dsack_int_0_1__un3_n dsack_int_0_1__un1_n \ +# dsack_int_0_1__un0_n amiga_bus_enable_0_un3_n amiga_bus_enable_0_un1_n \ +# amiga_bus_enable_0_un0_n uds_000_int_0_un3_n uds_000_int_0_un1_n \ +# uds_000_int_0_un0_n lds_000_int_0_un3_n lds_000_int_0_un1_n lds_000_int_0_un0_n \ +# a_15__n a_14__n a_13__n a_c_16__n a_12__n a_c_17__n a_11__n a_c_18__n a_10__n a_c_19__n \ +# a_9__n a_c_20__n a_8__n a_c_21__n a_7__n a_c_22__n a_6__n a_c_23__n a_5__n a_c_24__n \ +# a_4__n a_c_25__n a_3__n a_c_26__n a_2__n a_c_27__n a_1__n a_c_28__n a_c_29__n a_c_30__n \ +# a_c_31__n nEXP_SPACE_c BG_030_c BG_000DFFSHreg BGACK_000_c CLK_030_c .model bus68030 .inputs SIZE_1_.BLIF A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF AS_030.BLIF DS_030.BLIF \ nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ @@ -79,194 +78,197 @@ A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF \ A_14_.BLIF A_13_.BLIF A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF \ A_7_.BLIF A_6_.BLIF A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF A_1_.BLIF \ A_0_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF DSACK_1_.BLIF DTACK.BLIF \ -DSACK_0_.BLIF CLK_OSZI_c.BLIF CLK_OUT_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF \ -inst_BGACK_030_INTreg.BLIF inst_FPU_CS_INTreg.BLIF IPL_030DFFSH_1_reg.BLIF \ -inst_VMA_INTreg.BLIF inst_AS_000_INTreg.BLIF IPL_030DFFSH_2_reg.BLIF \ -inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF ipl_c_0__n.BLIF inst_VPA_D.BLIF \ -inst_VPA_SYNC.BLIF ipl_c_1__n.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \ -ipl_c_2__n.BLIF inst_CLK_000_D2.BLIF inst_CLK_000_D5.BLIF SM_AMIGA_5_.BLIF \ -dsack_c_1__n.BLIF SM_AMIGA_6_.BLIF vcc_n_n.BLIF DTACK_c.BLIF gnd_n_n.BLIF \ -inst_UDS_000_INTreg.BLIF inst_LDS_000_INTreg.BLIF DSACK_INT_1_.BLIF \ -AS_000_INT_1_sqmuxa.BLIF state_machine_un8_clk_000_d2_n.BLIF \ -inst_CLK_000_D3.BLIF SM_AMIGA_4_.BLIF RST_c.BLIF \ -state_machine_un23_clk_000_d0_n.BLIF state_machine_un6_clk_000_d4_n.BLIF \ -RESETDFFRHreg.BLIF inst_CLK_000_D4.BLIF inst_DTACK_DMA.BLIF RW_c.BLIF \ -state_machine_un12_bg_030_n.BLIF SM_AMIGA_7_.BLIF fc_c_0__n.BLIF \ -SM_AMIGA_3_.BLIF state_machine_un6_bgack_000_n.BLIF fc_c_1__n.BLIF \ -SM_AMIGA_1_.BLIF G_102.BLIF AMIGA_BUS_ENABLEDFFreg.BLIF CLK_CNT_N_0_.BLIF \ -CLK_CNT_N_1_.BLIF G_108.BLIF CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.BLIF \ -cpu_est_ns_0_1__n.BLIF SM_AMIGA_2_.BLIF N_126_i.BLIF SM_AMIGA_0_.BLIF \ -N_128_i.BLIF state_machine_un7_as_000_int_n.BLIF N_216_i.BLIF \ -state_machine_un15_clk_000_d0_n.BLIF N_217_i.BLIF \ -state_machine_lds_000_int_5_n.BLIF N_61_0.BLIF \ -state_machine_uds_000_int_5_n.BLIF N_60_0.BLIF \ -un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_129_i.BLIF inst_CLK_OUT_PRE.BLIF \ -un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF DS_030_c_i.BLIF N_58_i.BLIF N_57_i.BLIF \ -N_55_i.BLIF N_54_i.BLIF N_53_i.BLIF N_50_i.BLIF CLK_000_D1_i.BLIF N_49_i.BLIF \ -N_48_i.BLIF N_122_i.BLIF N_123_i.BLIF cpu_est_ns_e_0_0__n.BLIF N_79_i.BLIF \ -N_226_i.BLIF N_227_i.BLIF sm_amiga_ns_0_0__n.BLIF cpu_est_0_.BLIF N_222_i.BLIF \ -cpu_est_1_.BLIF N_223_i.BLIF cpu_est_2_.BLIF N_225_i.BLIF cpu_est_3_reg.BLIF \ -cpu_est_ns_0_2__n.BLIF N_221_i.BLIF N_41_i.BLIF N_127_i.BLIF N_220_i.BLIF \ -cpu_est_ns_1__n.BLIF N_157_i.BLIF cpu_est_ns_2__n.BLIF N_214_i.BLIF N_203.BLIF \ -N_215_i.BLIF N_204.BLIF sm_amiga_ns_0_7__n.BLIF N_205.BLIF N_93_i.BLIF \ -N_23.BLIF N_94_i.BLIF N_27.BLIF N_47.BLIF N_85_i.BLIF N_48.BLIF N_86_i.BLIF \ -N_49.BLIF sm_amiga_ns_0_5__n.BLIF N_50.BLIF N_84_i.BLIF N_53.BLIF N_130_i.BLIF \ -N_54.BLIF N_55.BLIF N_82_i.BLIF N_57.BLIF N_58.BLIF N_81_i.BLIF N_60.BLIF \ -N_61.BLIF N_77_i.BLIF N_68.BLIF N_69.BLIF N_75_i.BLIF N_70.BLIF \ -state_machine_lds_000_int_5_0_n.BLIF N_71.BLIF \ -state_machine_uds_000_int_5_0_n.BLIF N_72.BLIF N_73_i.BLIF N_73.BLIF \ -N_27_0.BLIF N_75.BLIF N_23_0.BLIF N_77.BLIF N_71_i.BLIF N_79.BLIF N_205_0.BLIF \ -N_81.BLIF N_204_0.BLIF N_82.BLIF N_68_i.BLIF N_84.BLIF N_69_i.BLIF N_85.BLIF \ -state_machine_un15_clk_000_d0_0_n.BLIF N_86.BLIF N_203_0.BLIF N_93.BLIF \ -state_machine_un6_bgack_000_0_n.BLIF N_94.BLIF N_214.BLIF \ -state_machine_un23_clk_000_d0_0_n.BLIF N_215.BLIF N_238_1.BLIF N_216.BLIF \ -N_238_2.BLIF N_217.BLIF N_238_3.BLIF N_220.BLIF N_238_4.BLIF N_221.BLIF \ -N_238_5.BLIF N_222.BLIF N_238_6.BLIF N_223.BLIF N_241_1.BLIF N_225.BLIF \ -N_241_2.BLIF N_226.BLIF state_machine_un8_clk_000_d2_1_n.BLIF N_227.BLIF \ -N_53_i_1.BLIF N_122.BLIF N_53_i_2.BLIF N_123.BLIF N_53_i_3.BLIF N_126.BLIF \ -N_53_i_4.BLIF N_127.BLIF N_53_i_5.BLIF N_128.BLIF cpu_est_ns_0_1_1__n.BLIF \ -N_129.BLIF cpu_est_ns_0_2_1__n.BLIF N_130.BLIF \ -state_machine_un12_bg_030_1_n.BLIF N_238.BLIF \ -state_machine_un12_bg_030_2_n.BLIF N_241.BLIF \ -state_machine_un12_bg_030_3_n.BLIF RW_i.BLIF \ -state_machine_un12_bg_030_4_n.BLIF VMA_INT_i.BLIF N_73_1.BLIF VPA_D_i.BLIF \ -N_73_2.BLIF DTACK_i.BLIF N_72_1.BLIF BG_030_i.BLIF N_72_2.BLIF \ -CLK_000_D0_i.BLIF N_70_1.BLIF sm_amiga_i_4__n.BLIF N_70_2.BLIF \ -cpu_est_i_3__n.BLIF N_70_3.BLIF sm_amiga_i_1__n.BLIF sm_amiga_ns_0_1_0__n.BLIF \ -state_machine_un6_clk_000_d4_i_n.BLIF cpu_est_ns_0_1_2__n.BLIF \ -sm_amiga_i_6__n.BLIF N_221_1.BLIF nEXP_SPACE_i.BLIF N_215_1.BLIF \ -AS_000_INT_i.BLIF N_75_1.BLIF cpu_est_i_1__n.BLIF N_69_1.BLIF \ -cpu_est_i_0__n.BLIF N_68_1.BLIF AMIGA_BUS_ENABLE_i.BLIF \ +DSACK_0_.BLIF CLK_000_c.BLIF CLK_OSZI_c.BLIF CLK_OUT_INTreg.BLIF \ +inst_BGACK_030_INTreg.BLIF inst_FPU_CS_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF \ +inst_VMA_INTreg.BLIF inst_AS_000_INTreg.BLIF IPL_030DFFSH_1_reg.BLIF \ +inst_AS_030_000_SYNC.BLIF inst_DTACK_SYNC.BLIF IPL_030DFFSH_2_reg.BLIF \ +inst_VPA_D.BLIF inst_VPA_SYNC.BLIF ipl_c_0__n.BLIF inst_CLK_000_D0.BLIF \ +inst_CLK_000_D1.BLIF ipl_c_1__n.BLIF inst_CLK_000_D2.BLIF inst_CLK_000_D6.BLIF \ +ipl_c_2__n.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_6_.BLIF vcc_n_n.BLIF \ +dsack_c_1__n.BLIF gnd_n_n.BLIF inst_UDS_000_INTreg.BLIF DTACK_c.BLIF \ +inst_LDS_000_INTreg.BLIF DSACK_INT_1_.BLIF AS_000_INT_1_sqmuxa.BLIF \ +state_machine_un8_clk_000_d2_n.BLIF inst_CLK_000_D3.BLIF SM_AMIGA_4_.BLIF \ +state_machine_un23_clk_000_d0_n.BLIF state_machine_un6_clk_000_d5_n.BLIF \ +RST_c.BLIF inst_CLK_000_D5.BLIF SM_AMIGA_7_.BLIF RESETDFFRHreg.BLIF \ +SM_AMIGA_3_.BLIF state_machine_un6_bgack_000_n.BLIF RW_c.BLIF SM_AMIGA_1_.BLIF \ +inst_DTACK_DMA.BLIF fc_c_0__n.BLIF G_102.BLIF CLK_CNT_N_0_.BLIF fc_c_1__n.BLIF \ +CLK_CNT_N_1_.BLIF G_108.BLIF AMIGA_BUS_ENABLEDFFreg.BLIF CLK_CNT_P_0_.BLIF \ +CLK_CNT_P_1_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_0_.BLIF \ +state_machine_un10_bg_030_n.BLIF cpu_est_ns_0_1__n.BLIF \ +state_machine_un7_as_000_int_n.BLIF N_129_i.BLIF inst_CLK_000_D4.BLIF \ +N_131_i.BLIF state_machine_un15_clk_000_d0_n.BLIF N_221_i.BLIF \ +state_machine_lds_000_int_5_n.BLIF N_222_i.BLIF \ +state_machine_uds_000_int_5_n.BLIF N_63_0.BLIF \ +un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_62_0.BLIF inst_CLK_OUT_PRE.BLIF \ +N_132_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF DS_030_c_i.BLIF \ +N_60_i.BLIF N_59_i.BLIF N_57_i.BLIF N_56_i.BLIF N_55_i.BLIF CLK_000_D1_i.BLIF \ +N_54_i.BLIF N_51_i.BLIF N_50_i.BLIF N_125_i.BLIF N_126_i.BLIF \ +cpu_est_ns_e_0_0__n.BLIF N_85_i.BLIF N_123_i.BLIF N_124_i.BLIF \ +sm_amiga_ns_0_0__n.BLIF cpu_est_0_.BLIF N_122_i.BLIF cpu_est_1_.BLIF \ +N_227_i.BLIF cpu_est_2_.BLIF N_228_i.BLIF cpu_est_3_reg.BLIF \ +cpu_est_ns_0_2__n.BLIF N_226_i.BLIF N_44_i.BLIF N_130_i.BLIF N_225_i.BLIF \ +cpu_est_ns_1__n.BLIF N_158_i.BLIF cpu_est_ns_2__n.BLIF N_219_i.BLIF N_204.BLIF \ +N_220_i.BLIF N_205.BLIF sm_amiga_ns_0_7__n.BLIF N_206.BLIF N_215_i.BLIF \ +N_26.BLIF N_216_i.BLIF N_30.BLIF N_49.BLIF N_95_i.BLIF N_50.BLIF N_214_i.BLIF \ +N_51.BLIF sm_amiga_ns_0_5__n.BLIF N_54.BLIF N_94_i.BLIF N_55.BLIF N_133_i.BLIF \ +N_56.BLIF N_57.BLIF N_87_i.BLIF N_59.BLIF N_60.BLIF N_86_i.BLIF N_62.BLIF \ +N_63.BLIF N_83_i.BLIF N_68.BLIF N_70.BLIF N_82_i.BLIF N_72.BLIF \ +state_machine_lds_000_int_5_0_n.BLIF N_73.BLIF \ +state_machine_uds_000_int_5_0_n.BLIF N_74.BLIF N_80_i.BLIF N_76.BLIF \ +N_30_0.BLIF N_78.BLIF N_26_0.BLIF N_80.BLIF N_76_i.BLIF N_82.BLIF N_206_0.BLIF \ +N_83.BLIF N_205_0.BLIF N_85.BLIF N_72_i.BLIF N_86.BLIF N_73_i.BLIF N_87.BLIF \ +state_machine_un15_clk_000_d0_0_n.BLIF N_94.BLIF N_204_0.BLIF N_95.BLIF \ +BG_030_c_i.BLIF N_214.BLIF N_70_i.BLIF N_215.BLIF \ +state_machine_un10_bg_030_0_n.BLIF N_216.BLIF \ +state_machine_un6_bgack_000_0_n.BLIF N_219.BLIF N_220.BLIF \ +state_machine_un23_clk_000_d0_0_n.BLIF N_221.BLIF N_236_1.BLIF N_222.BLIF \ +N_236_2.BLIF N_225.BLIF N_236_3.BLIF N_226.BLIF N_236_4.BLIF N_227.BLIF \ +N_236_5.BLIF N_228.BLIF N_236_6.BLIF N_122.BLIF N_239_1.BLIF N_123.BLIF \ +N_239_2.BLIF N_124.BLIF state_machine_un8_clk_000_d2_1_n.BLIF N_125.BLIF \ +N_55_i_1.BLIF N_126.BLIF N_55_i_2.BLIF N_129.BLIF N_55_i_3.BLIF N_130.BLIF \ +N_55_i_4.BLIF N_131.BLIF N_55_i_5.BLIF N_132.BLIF cpu_est_ns_0_1_1__n.BLIF \ +N_133.BLIF cpu_est_ns_0_2_1__n.BLIF N_236.BLIF N_80_1.BLIF N_239.BLIF \ +N_80_2.BLIF RW_i.BLIF N_78_1.BLIF VMA_INT_i.BLIF N_78_2.BLIF VPA_D_i.BLIF \ +N_74_1.BLIF DTACK_i.BLIF N_74_2.BLIF CLK_000_D0_i.BLIF N_74_3.BLIF \ +sm_amiga_i_4__n.BLIF N_70_1.BLIF cpu_est_i_3__n.BLIF N_70_2.BLIF \ +sm_amiga_i_1__n.BLIF sm_amiga_ns_0_1_0__n.BLIF \ +state_machine_un6_clk_000_d5_i_n.BLIF cpu_est_ns_0_1_2__n.BLIF \ +sm_amiga_i_6__n.BLIF N_226_1.BLIF nEXP_SPACE_i.BLIF N_220_1.BLIF \ +AS_000_INT_i.BLIF N_82_1.BLIF cpu_est_i_1__n.BLIF N_73_1.BLIF \ +cpu_est_i_0__n.BLIF N_72_1.BLIF AMIGA_BUS_ENABLE_i.BLIF \ state_machine_uds_000_int_5_0_m2_un3_n.BLIF AS_030_i.BLIF \ state_machine_uds_000_int_5_0_m2_un1_n.BLIF cpu_est_i_2__n.BLIF \ state_machine_uds_000_int_5_0_m2_un0_n.BLIF sm_amiga_i_2__n.BLIF \ -lds_000_int_0_un3_n.BLIF sm_amiga_i_3__n.BLIF lds_000_int_0_un1_n.BLIF \ -sm_amiga_i_5__n.BLIF lds_000_int_0_un0_n.BLIF \ -state_machine_un8_clk_000_d2_i_n.BLIF vpa_sync_0_un3_n.BLIF \ -sm_amiga_i_7__n.BLIF vpa_sync_0_un1_n.BLIF a_i_0__n.BLIF vpa_sync_0_un0_n.BLIF \ -size_i_1__n.BLIF vma_int_0_un3_n.BLIF dsack_i_1__n.BLIF vma_int_0_un1_n.BLIF \ -CLK_000_D2_i.BLIF vma_int_0_un0_n.BLIF AS_030_000_SYNC_i.BLIF \ -bgack_030_int_0_un3_n.BLIF a_i_30__n.BLIF bgack_030_int_0_un1_n.BLIF \ -a_i_31__n.BLIF bgack_030_int_0_un0_n.BLIF a_i_28__n.BLIF \ -as_000_int_0_un3_n.BLIF a_i_29__n.BLIF as_000_int_0_un1_n.BLIF a_i_26__n.BLIF \ -as_000_int_0_un0_n.BLIF a_i_27__n.BLIF ipl_030_0_0__un3_n.BLIF a_i_24__n.BLIF \ -ipl_030_0_0__un1_n.BLIF a_i_25__n.BLIF ipl_030_0_0__un0_n.BLIF a_i_19__n.BLIF \ -ipl_030_0_1__un3_n.BLIF a_i_16__n.BLIF ipl_030_0_1__un1_n.BLIF a_i_18__n.BLIF \ -ipl_030_0_1__un0_n.BLIF RST_i.BLIF ipl_030_0_2__un3_n.BLIF \ +vpa_sync_0_un3_n.BLIF sm_amiga_i_3__n.BLIF vpa_sync_0_un1_n.BLIF \ +sm_amiga_i_5__n.BLIF vpa_sync_0_un0_n.BLIF \ +state_machine_un8_clk_000_d2_i_n.BLIF vma_int_0_un3_n.BLIF \ +sm_amiga_i_7__n.BLIF vma_int_0_un1_n.BLIF a_i_0__n.BLIF vma_int_0_un0_n.BLIF \ +size_i_1__n.BLIF bg_000_0_un3_n.BLIF dsack_i_1__n.BLIF bg_000_0_un1_n.BLIF \ +BGACK_030_INT_i.BLIF bg_000_0_un0_n.BLIF CLK_000_D2_i.BLIF \ +bgack_030_int_0_un3_n.BLIF AS_030_000_SYNC_i.BLIF bgack_030_int_0_un1_n.BLIF \ +a_i_19__n.BLIF bgack_030_int_0_un0_n.BLIF a_i_16__n.BLIF \ +as_000_int_0_un3_n.BLIF a_i_18__n.BLIF as_000_int_0_un1_n.BLIF a_i_30__n.BLIF \ +as_000_int_0_un0_n.BLIF a_i_31__n.BLIF ipl_030_0_0__un3_n.BLIF a_i_28__n.BLIF \ +ipl_030_0_0__un1_n.BLIF a_i_29__n.BLIF ipl_030_0_0__un0_n.BLIF a_i_26__n.BLIF \ +ipl_030_0_1__un3_n.BLIF a_i_27__n.BLIF ipl_030_0_1__un1_n.BLIF a_i_24__n.BLIF \ +ipl_030_0_1__un0_n.BLIF a_i_25__n.BLIF ipl_030_0_2__un3_n.BLIF RST_i.BLIF \ ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF cpu_estse_0_un3_n.BLIF \ -CLK_OSZI_i.BLIF cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF N_70_i.BLIF \ -cpu_estse_1_un3_n.BLIF N_72_i.BLIF cpu_estse_1_un1_n.BLIF FPU_CS_INT_i.BLIF \ -cpu_estse_1_un0_n.BLIF BGACK_030_INT_i.BLIF cpu_estse_2_un3_n.BLIF \ -CLK_000_D5_i.BLIF cpu_estse_2_un1_n.BLIF AS_030_c.BLIF cpu_estse_2_un0_n.BLIF \ -as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un1_n.BLIF DS_030_c.BLIF \ -as_030_000_sync_0_un0_n.BLIF dtack_sync_0_un3_n.BLIF dtack_sync_0_un1_n.BLIF \ -dtack_sync_0_un0_n.BLIF size_c_0__n.BLIF fpu_cs_int_0_un3_n.BLIF \ -fpu_cs_int_0_un1_n.BLIF size_c_1__n.BLIF fpu_cs_int_0_un0_n.BLIF \ -dsack_int_0_1__un3_n.BLIF a_c_0__n.BLIF dsack_int_0_1__un1_n.BLIF \ -dsack_int_0_1__un0_n.BLIF amiga_bus_enable_0_un3_n.BLIF \ -amiga_bus_enable_0_un1_n.BLIF amiga_bus_enable_0_un0_n.BLIF \ -uds_000_int_0_un3_n.BLIF uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF \ -a_15__n.BLIF a_14__n.BLIF a_13__n.BLIF a_12__n.BLIF a_11__n.BLIF \ -a_c_16__n.BLIF a_10__n.BLIF a_c_17__n.BLIF a_9__n.BLIF a_c_18__n.BLIF \ -a_8__n.BLIF a_c_19__n.BLIF a_7__n.BLIF a_c_20__n.BLIF a_6__n.BLIF \ -a_c_21__n.BLIF a_5__n.BLIF a_c_22__n.BLIF a_4__n.BLIF a_c_23__n.BLIF \ -a_3__n.BLIF a_c_24__n.BLIF a_2__n.BLIF a_c_25__n.BLIF a_1__n.BLIF \ -a_c_26__n.BLIF a_c_27__n.BLIF a_c_28__n.BLIF a_c_29__n.BLIF a_c_30__n.BLIF \ -a_c_31__n.BLIF nEXP_SPACE_c.BLIF BG_030_c.BLIF BG_000DFFSHreg.BLIF \ -BGACK_000_c.BLIF CLK_030_c.BLIF DSACK_1_.PIN.BLIF DTACK.PIN.BLIF +CLK_OSZI_i.BLIF cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF N_74_i.BLIF \ +cpu_estse_1_un3_n.BLIF N_78_i.BLIF cpu_estse_1_un1_n.BLIF FPU_CS_INT_i.BLIF \ +cpu_estse_1_un0_n.BLIF CLK_000_D6_i.BLIF cpu_estse_2_un3_n.BLIF AS_030_c.BLIF \ +cpu_estse_2_un1_n.BLIF cpu_estse_2_un0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ +DS_030_c.BLIF as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF \ +dtack_sync_0_un3_n.BLIF dtack_sync_0_un1_n.BLIF size_c_0__n.BLIF \ +dtack_sync_0_un0_n.BLIF fpu_cs_int_0_un3_n.BLIF size_c_1__n.BLIF \ +fpu_cs_int_0_un1_n.BLIF fpu_cs_int_0_un0_n.BLIF a_c_0__n.BLIF \ +dsack_int_0_1__un3_n.BLIF dsack_int_0_1__un1_n.BLIF dsack_int_0_1__un0_n.BLIF \ +amiga_bus_enable_0_un3_n.BLIF amiga_bus_enable_0_un1_n.BLIF \ +amiga_bus_enable_0_un0_n.BLIF uds_000_int_0_un3_n.BLIF \ +uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF lds_000_int_0_un3_n.BLIF \ +lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF a_15__n.BLIF a_14__n.BLIF \ +a_13__n.BLIF a_c_16__n.BLIF a_12__n.BLIF a_c_17__n.BLIF a_11__n.BLIF \ +a_c_18__n.BLIF a_10__n.BLIF a_c_19__n.BLIF a_9__n.BLIF a_c_20__n.BLIF \ +a_8__n.BLIF a_c_21__n.BLIF a_7__n.BLIF a_c_22__n.BLIF a_6__n.BLIF \ +a_c_23__n.BLIF a_5__n.BLIF a_c_24__n.BLIF a_4__n.BLIF a_c_25__n.BLIF \ +a_3__n.BLIF a_c_26__n.BLIF a_2__n.BLIF a_c_27__n.BLIF a_1__n.BLIF \ +a_c_28__n.BLIF a_c_29__n.BLIF a_c_30__n.BLIF a_c_31__n.BLIF nEXP_SPACE_c.BLIF \ +BG_030_c.BLIF BG_000DFFSHreg.BLIF BGACK_000_c.BLIF CLK_030_c.BLIF \ +DSACK_1_.PIN.BLIF DTACK.PIN.BLIF .outputs IPL_030_2_ AS_000 UDS_000 LDS_000 BERR BG_000 BGACK_030 CLK_DIV_OUT \ CLK_EXP FPU_CS AVEC AVEC_EXP E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ -AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ cpu_est_2_.D cpu_est_2_.C \ -cpu_est_2_.AR cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR cpu_est_0_.D \ -cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C cpu_est_1_.AR \ -SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C \ -SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D \ -SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR \ -CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AR CLK_CNT_P_0_.D CLK_CNT_P_0_.C \ -CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR \ +AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ cpu_est_1_.D cpu_est_1_.C \ +cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR cpu_est_3_reg.D \ +cpu_est_3_reg.C cpu_est_3_reg.AR cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR \ +SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C \ +SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D \ +SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR \ +SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C \ +CLK_CNT_N_0_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D \ +CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR \ IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP \ IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP \ IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D \ SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR \ -SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C \ -SM_AMIGA_4_.AR inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C \ -inst_UDS_000_INTreg.AP inst_LDS_000_INTreg.D inst_LDS_000_INTreg.C \ -inst_LDS_000_INTreg.AP inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP \ -inst_VMA_INTreg.D inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D \ -inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP inst_AS_000_INTreg.D \ -inst_AS_000_INTreg.C inst_AS_000_INTreg.AP inst_CLK_OUT_PRE.D \ -inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR CLK_OUT_INTreg.D CLK_OUT_INTreg.C \ -CLK_OUT_INTreg.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ -inst_AS_030_000_SYNC.AP inst_DTACK_SYNC.D inst_DTACK_SYNC.C inst_DTACK_SYNC.AP \ -inst_FPU_CS_INTreg.D inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP DSACK_INT_1_.D \ -DSACK_INT_1_.C DSACK_INT_1_.AP AMIGA_BUS_ENABLEDFFreg.D \ -AMIGA_BUS_ENABLEDFFreg.C inst_DTACK_DMA.D inst_DTACK_DMA.C inst_DTACK_DMA.AP \ -inst_CLK_000_D5.D inst_CLK_000_D5.C inst_CLK_000_D5.AP BG_000DFFSHreg.D \ -BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_CLK_000_D4.D inst_CLK_000_D4.C \ -inst_CLK_000_D4.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP \ -inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_VPA_D.D \ -inst_VPA_D.C inst_VPA_D.AP inst_CLK_000_D0.D inst_CLK_000_D0.C \ -inst_CLK_000_D0.AP RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR \ -inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP DSACK_1_ DTACK DSACK_0_ \ -CLK_OSZI_c ipl_c_0__n ipl_c_1__n ipl_c_2__n dsack_c_1__n vcc_n_n DTACK_c \ -gnd_n_n AS_000_INT_1_sqmuxa state_machine_un8_clk_000_d2_n RST_c \ -state_machine_un23_clk_000_d0_n state_machine_un6_clk_000_d4_n RW_c \ -state_machine_un12_bg_030_n fc_c_0__n state_machine_un6_bgack_000_n fc_c_1__n \ -cpu_est_ns_0_1__n N_126_i N_128_i state_machine_un7_as_000_int_n N_216_i \ -state_machine_un15_clk_000_d0_n N_217_i state_machine_lds_000_int_5_n N_61_0 \ -state_machine_uds_000_int_5_n N_60_0 un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_129_i \ -un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i N_58_i N_57_i N_55_i N_54_i \ -N_53_i N_50_i CLK_000_D1_i N_49_i N_48_i N_122_i N_123_i cpu_est_ns_e_0_0__n \ -N_79_i N_226_i N_227_i sm_amiga_ns_0_0__n N_222_i N_223_i N_225_i \ -cpu_est_ns_0_2__n N_221_i N_41_i N_127_i N_220_i cpu_est_ns_1__n N_157_i \ -cpu_est_ns_2__n N_214_i N_203 N_215_i N_204 sm_amiga_ns_0_7__n N_205 N_93_i \ -N_23 N_94_i N_27 N_47 N_85_i N_48 N_86_i N_49 sm_amiga_ns_0_5__n N_50 N_84_i \ -N_53 N_130_i N_54 N_55 N_82_i N_57 N_58 N_81_i N_60 N_61 N_77_i N_68 N_69 \ -N_75_i N_70 state_machine_lds_000_int_5_0_n N_71 \ -state_machine_uds_000_int_5_0_n N_72 N_73_i N_73 N_27_0 N_75 N_23_0 N_77 \ -N_71_i N_79 N_205_0 N_81 N_204_0 N_82 N_68_i N_84 N_69_i N_85 \ -state_machine_un15_clk_000_d0_0_n N_86 N_203_0 N_93 \ -state_machine_un6_bgack_000_0_n N_94 N_214 state_machine_un23_clk_000_d0_0_n \ -N_215 N_238_1 N_216 N_238_2 N_217 N_238_3 N_220 N_238_4 N_221 N_238_5 N_222 \ -N_238_6 N_223 N_241_1 N_225 N_241_2 N_226 state_machine_un8_clk_000_d2_1_n \ -N_227 N_53_i_1 N_122 N_53_i_2 N_123 N_53_i_3 N_126 N_53_i_4 N_127 N_53_i_5 \ -N_128 cpu_est_ns_0_1_1__n N_129 cpu_est_ns_0_2_1__n N_130 \ -state_machine_un12_bg_030_1_n N_238 state_machine_un12_bg_030_2_n N_241 \ -state_machine_un12_bg_030_3_n RW_i state_machine_un12_bg_030_4_n VMA_INT_i \ -N_73_1 VPA_D_i N_73_2 DTACK_i N_72_1 BG_030_i N_72_2 CLK_000_D0_i N_70_1 \ -sm_amiga_i_4__n N_70_2 cpu_est_i_3__n N_70_3 sm_amiga_i_1__n \ -sm_amiga_ns_0_1_0__n state_machine_un6_clk_000_d4_i_n cpu_est_ns_0_1_2__n \ -sm_amiga_i_6__n N_221_1 nEXP_SPACE_i N_215_1 AS_000_INT_i N_75_1 \ -cpu_est_i_1__n N_69_1 cpu_est_i_0__n N_68_1 AMIGA_BUS_ENABLE_i \ -state_machine_uds_000_int_5_0_m2_un3_n AS_030_i \ +inst_UDS_000_INTreg.D inst_UDS_000_INTreg.C inst_UDS_000_INTreg.AP \ +inst_LDS_000_INTreg.D inst_LDS_000_INTreg.C inst_LDS_000_INTreg.AP \ +inst_VPA_SYNC.D inst_VPA_SYNC.C inst_VPA_SYNC.AP inst_VMA_INTreg.D \ +inst_VMA_INTreg.C inst_VMA_INTreg.AP BG_000DFFSHreg.D BG_000DFFSHreg.C \ +BG_000DFFSHreg.AP inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ +inst_BGACK_030_INTreg.AP inst_AS_000_INTreg.D inst_AS_000_INTreg.C \ +inst_AS_000_INTreg.AP inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C \ +inst_CLK_OUT_PRE.AR CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR \ +inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP \ +inst_DTACK_SYNC.D inst_DTACK_SYNC.C inst_DTACK_SYNC.AP inst_FPU_CS_INTreg.D \ +inst_FPU_CS_INTreg.C inst_FPU_CS_INTreg.AP DSACK_INT_1_.D DSACK_INT_1_.C \ +DSACK_INT_1_.AP AMIGA_BUS_ENABLEDFFreg.D AMIGA_BUS_ENABLEDFFreg.C \ +inst_DTACK_DMA.D inst_DTACK_DMA.C inst_DTACK_DMA.AP inst_CLK_000_D5.D \ +inst_CLK_000_D5.C inst_CLK_000_D5.AP inst_CLK_000_D6.D inst_CLK_000_D6.C \ +inst_CLK_000_D6.AP inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP \ +inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.D \ +inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP \ +inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP RESETDFFRHreg.D \ +RESETDFFRHreg.C RESETDFFRHreg.AR inst_CLK_000_D1.D inst_CLK_000_D1.C \ +inst_CLK_000_D1.AP DSACK_1_ DTACK DSACK_0_ CLK_000_c CLK_OSZI_c ipl_c_0__n \ +ipl_c_1__n ipl_c_2__n vcc_n_n dsack_c_1__n gnd_n_n DTACK_c AS_000_INT_1_sqmuxa \ +state_machine_un8_clk_000_d2_n state_machine_un23_clk_000_d0_n \ +state_machine_un6_clk_000_d5_n RST_c state_machine_un6_bgack_000_n RW_c \ +fc_c_0__n fc_c_1__n state_machine_un10_bg_030_n cpu_est_ns_0_1__n \ +state_machine_un7_as_000_int_n N_129_i N_131_i state_machine_un15_clk_000_d0_n \ +N_221_i state_machine_lds_000_int_5_n N_222_i state_machine_uds_000_int_5_n \ +N_63_0 un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 N_62_0 N_132_i \ +un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 DS_030_c_i N_60_i N_59_i N_57_i N_56_i \ +N_55_i CLK_000_D1_i N_54_i N_51_i N_50_i N_125_i N_126_i cpu_est_ns_e_0_0__n \ +N_85_i N_123_i N_124_i sm_amiga_ns_0_0__n N_122_i N_227_i N_228_i \ +cpu_est_ns_0_2__n N_226_i N_44_i N_130_i N_225_i cpu_est_ns_1__n N_158_i \ +cpu_est_ns_2__n N_219_i N_204 N_220_i N_205 sm_amiga_ns_0_7__n N_206 N_215_i \ +N_26 N_216_i N_30 N_49 N_95_i N_50 N_214_i N_51 sm_amiga_ns_0_5__n N_54 N_94_i \ +N_55 N_133_i N_56 N_57 N_87_i N_59 N_60 N_86_i N_62 N_63 N_83_i N_68 N_70 \ +N_82_i N_72 state_machine_lds_000_int_5_0_n N_73 \ +state_machine_uds_000_int_5_0_n N_74 N_80_i N_76 N_30_0 N_78 N_26_0 N_80 \ +N_76_i N_82 N_206_0 N_83 N_205_0 N_85 N_72_i N_86 N_73_i N_87 \ +state_machine_un15_clk_000_d0_0_n N_94 N_204_0 N_95 BG_030_c_i N_214 N_70_i \ +N_215 state_machine_un10_bg_030_0_n N_216 state_machine_un6_bgack_000_0_n \ +N_219 N_220 state_machine_un23_clk_000_d0_0_n N_221 N_236_1 N_222 N_236_2 \ +N_225 N_236_3 N_226 N_236_4 N_227 N_236_5 N_228 N_236_6 N_122 N_239_1 N_123 \ +N_239_2 N_124 state_machine_un8_clk_000_d2_1_n N_125 N_55_i_1 N_126 N_55_i_2 \ +N_129 N_55_i_3 N_130 N_55_i_4 N_131 N_55_i_5 N_132 cpu_est_ns_0_1_1__n N_133 \ +cpu_est_ns_0_2_1__n N_236 N_80_1 N_239 N_80_2 RW_i N_78_1 VMA_INT_i N_78_2 \ +VPA_D_i N_74_1 DTACK_i N_74_2 CLK_000_D0_i N_74_3 sm_amiga_i_4__n N_70_1 \ +cpu_est_i_3__n N_70_2 sm_amiga_i_1__n sm_amiga_ns_0_1_0__n \ +state_machine_un6_clk_000_d5_i_n cpu_est_ns_0_1_2__n sm_amiga_i_6__n N_226_1 \ +nEXP_SPACE_i N_220_1 AS_000_INT_i N_82_1 cpu_est_i_1__n N_73_1 cpu_est_i_0__n \ +N_72_1 AMIGA_BUS_ENABLE_i state_machine_uds_000_int_5_0_m2_un3_n AS_030_i \ state_machine_uds_000_int_5_0_m2_un1_n cpu_est_i_2__n \ -state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n lds_000_int_0_un3_n \ -sm_amiga_i_3__n lds_000_int_0_un1_n sm_amiga_i_5__n lds_000_int_0_un0_n \ -state_machine_un8_clk_000_d2_i_n vpa_sync_0_un3_n sm_amiga_i_7__n \ -vpa_sync_0_un1_n a_i_0__n vpa_sync_0_un0_n size_i_1__n vma_int_0_un3_n \ -dsack_i_1__n vma_int_0_un1_n CLK_000_D2_i vma_int_0_un0_n AS_030_000_SYNC_i \ -bgack_030_int_0_un3_n a_i_30__n bgack_030_int_0_un1_n a_i_31__n \ -bgack_030_int_0_un0_n a_i_28__n as_000_int_0_un3_n a_i_29__n \ -as_000_int_0_un1_n a_i_26__n as_000_int_0_un0_n a_i_27__n ipl_030_0_0__un3_n \ -a_i_24__n ipl_030_0_0__un1_n a_i_25__n ipl_030_0_0__un0_n a_i_19__n \ -ipl_030_0_1__un3_n a_i_16__n ipl_030_0_1__un1_n a_i_18__n ipl_030_0_1__un0_n \ -RST_i ipl_030_0_2__un3_n ipl_030_0_2__un1_n ipl_030_0_2__un0_n \ -cpu_estse_0_un3_n CLK_OSZI_i cpu_estse_0_un1_n cpu_estse_0_un0_n N_70_i \ -cpu_estse_1_un3_n N_72_i cpu_estse_1_un1_n FPU_CS_INT_i cpu_estse_1_un0_n \ -BGACK_030_INT_i cpu_estse_2_un3_n CLK_000_D5_i cpu_estse_2_un1_n AS_030_c \ -cpu_estse_2_un0_n as_030_000_sync_0_un3_n as_030_000_sync_0_un1_n DS_030_c \ -as_030_000_sync_0_un0_n dtack_sync_0_un3_n dtack_sync_0_un1_n \ -dtack_sync_0_un0_n size_c_0__n fpu_cs_int_0_un3_n fpu_cs_int_0_un1_n \ -size_c_1__n fpu_cs_int_0_un0_n dsack_int_0_1__un3_n a_c_0__n \ -dsack_int_0_1__un1_n dsack_int_0_1__un0_n amiga_bus_enable_0_un3_n \ -amiga_bus_enable_0_un1_n amiga_bus_enable_0_un0_n uds_000_int_0_un3_n \ -uds_000_int_0_un1_n uds_000_int_0_un0_n a_15__n a_14__n a_13__n a_12__n \ -a_11__n a_c_16__n a_10__n a_c_17__n a_9__n a_c_18__n a_8__n a_c_19__n a_7__n \ -a_c_20__n a_6__n a_c_21__n a_5__n a_c_22__n a_4__n a_c_23__n a_3__n a_c_24__n \ -a_2__n a_c_25__n a_1__n a_c_26__n a_c_27__n a_c_28__n a_c_29__n a_c_30__n \ -a_c_31__n nEXP_SPACE_c BG_030_c BGACK_000_c CLK_030_c DSACK_1_.OE DTACK.OE \ -AS_000.OE UDS_000.OE LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE G_102 \ -G_108 +state_machine_uds_000_int_5_0_m2_un0_n sm_amiga_i_2__n vpa_sync_0_un3_n \ +sm_amiga_i_3__n vpa_sync_0_un1_n sm_amiga_i_5__n vpa_sync_0_un0_n \ +state_machine_un8_clk_000_d2_i_n vma_int_0_un3_n sm_amiga_i_7__n \ +vma_int_0_un1_n a_i_0__n vma_int_0_un0_n size_i_1__n bg_000_0_un3_n \ +dsack_i_1__n bg_000_0_un1_n BGACK_030_INT_i bg_000_0_un0_n CLK_000_D2_i \ +bgack_030_int_0_un3_n AS_030_000_SYNC_i bgack_030_int_0_un1_n a_i_19__n \ +bgack_030_int_0_un0_n a_i_16__n as_000_int_0_un3_n a_i_18__n \ +as_000_int_0_un1_n a_i_30__n as_000_int_0_un0_n a_i_31__n ipl_030_0_0__un3_n \ +a_i_28__n ipl_030_0_0__un1_n a_i_29__n ipl_030_0_0__un0_n a_i_26__n \ +ipl_030_0_1__un3_n a_i_27__n ipl_030_0_1__un1_n a_i_24__n ipl_030_0_1__un0_n \ +a_i_25__n ipl_030_0_2__un3_n RST_i ipl_030_0_2__un1_n ipl_030_0_2__un0_n \ +cpu_estse_0_un3_n CLK_OSZI_i cpu_estse_0_un1_n cpu_estse_0_un0_n N_74_i \ +cpu_estse_1_un3_n N_78_i cpu_estse_1_un1_n FPU_CS_INT_i cpu_estse_1_un0_n \ +CLK_000_D6_i cpu_estse_2_un3_n AS_030_c cpu_estse_2_un1_n cpu_estse_2_un0_n \ +as_030_000_sync_0_un3_n DS_030_c as_030_000_sync_0_un1_n \ +as_030_000_sync_0_un0_n dtack_sync_0_un3_n dtack_sync_0_un1_n size_c_0__n \ +dtack_sync_0_un0_n fpu_cs_int_0_un3_n size_c_1__n fpu_cs_int_0_un1_n \ +fpu_cs_int_0_un0_n a_c_0__n dsack_int_0_1__un3_n dsack_int_0_1__un1_n \ +dsack_int_0_1__un0_n amiga_bus_enable_0_un3_n amiga_bus_enable_0_un1_n \ +amiga_bus_enable_0_un0_n uds_000_int_0_un3_n uds_000_int_0_un1_n \ +uds_000_int_0_un0_n lds_000_int_0_un3_n lds_000_int_0_un1_n \ +lds_000_int_0_un0_n a_15__n a_14__n a_13__n a_c_16__n a_12__n a_c_17__n \ +a_11__n a_c_18__n a_10__n a_c_19__n a_9__n a_c_20__n a_8__n a_c_21__n a_7__n \ +a_c_22__n a_6__n a_c_23__n a_5__n a_c_24__n a_4__n a_c_25__n a_3__n a_c_26__n \ +a_2__n a_c_27__n a_1__n a_c_28__n a_c_29__n a_c_30__n a_c_31__n nEXP_SPACE_c \ +BG_030_c BGACK_000_c CLK_030_c DSACK_1_.OE DTACK.OE AS_000.OE UDS_000.OE \ +LDS_000.OE BERR.OE DSACK_0_.OE AVEC_EXP.OE CIIN.OE G_102 G_108 +.names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D +1- 1 +-1 1 .names cpu_estse_1_un1_n.BLIF cpu_estse_1_un0_n.BLIF cpu_est_2_.D 1- 1 -1 1 @@ -275,14 +277,15 @@ G_108 -1 1 .names cpu_est_ns_e_0_0__n.BLIF cpu_est_0_.D 0 1 -.names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names N_84_i.BLIF N_130_i.BLIF SM_AMIGA_3_.D +.names inst_CLK_000_D0.BLIF N_86_i.BLIF SM_AMIGA_5_.D +11 1 +.names CLK_000_D0_i.BLIF N_87_i.BLIF SM_AMIGA_4_.D +11 1 +.names N_94_i.BLIF N_133_i.BLIF SM_AMIGA_3_.D 11 1 .names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D 0 1 -.names N_93_i.BLIF N_94_i.BLIF SM_AMIGA_1_.D +.names N_215_i.BLIF N_216_i.BLIF SM_AMIGA_1_.D 11 1 .names sm_amiga_ns_0_7__n.BLIF SM_AMIGA_0_.D 0 1 @@ -301,11 +304,7 @@ G_108 -1 1 .names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D 0 1 -.names N_77_i.BLIF N_79_i.BLIF SM_AMIGA_6_.D -11 1 -.names inst_CLK_000_D0.BLIF N_81_i.BLIF SM_AMIGA_5_.D -11 1 -.names CLK_000_D0_i.BLIF N_82_i.BLIF SM_AMIGA_4_.D +.names N_83_i.BLIF N_85_i.BLIF SM_AMIGA_6_.D 11 1 .names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INTreg.D 1- 1 @@ -319,6 +318,9 @@ G_108 .names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D 1- 1 -1 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF BG_000DFFSHreg.D +1- 1 +-1 1 .names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF \ inst_BGACK_030_INTreg.D 1- 1 @@ -347,8 +349,6 @@ AMIGA_BUS_ENABLEDFFreg.D -1 1 .names state_machine_un7_as_000_int_n.BLIF inst_DTACK_DMA.D 0 1 -.names state_machine_un12_bg_030_n.BLIF BG_000DFFSHreg.D -0 1 .names vcc_n_n 1 .names gnd_n_n @@ -359,359 +359,361 @@ state_machine_un8_clk_000_d2_n 11 1 .names state_machine_un23_clk_000_d0_0_n.BLIF state_machine_un23_clk_000_d0_n 0 1 -.names inst_CLK_000_D4.BLIF CLK_000_D5_i.BLIF state_machine_un6_clk_000_d4_n -11 1 -.names state_machine_un12_bg_030_4_n.BLIF state_machine_un12_bg_030_3_n.BLIF \ -state_machine_un12_bg_030_n +.names inst_CLK_000_D5.BLIF CLK_000_D6_i.BLIF state_machine_un6_clk_000_d5_n 11 1 .names state_machine_un6_bgack_000_0_n.BLIF state_machine_un6_bgack_000_n 0 1 +.names state_machine_un10_bg_030_0_n.BLIF state_machine_un10_bg_030_n +0 1 .names cpu_est_ns_0_1_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_ns_0_1__n 11 1 -.names N_126.BLIF N_126_i -0 1 -.names N_128.BLIF N_128_i -0 1 .names AS_000_INT_i.BLIF dsack_i_1__n.BLIF state_machine_un7_as_000_int_n 11 1 -.names N_216.BLIF N_216_i +.names N_129.BLIF N_129_i +0 1 +.names N_131.BLIF N_131_i 0 1 .names state_machine_un15_clk_000_d0_0_n.BLIF state_machine_un15_clk_000_d0_n 0 1 -.names N_217.BLIF N_217_i +.names N_221.BLIF N_221_i 0 1 .names state_machine_lds_000_int_5_0_n.BLIF state_machine_lds_000_int_5_n 0 1 -.names inst_CLK_000_D0.BLIF SM_AMIGA_4_.BLIF N_61_0 -11 1 +.names N_222.BLIF N_222_i +0 1 .names state_machine_uds_000_int_5_0_n.BLIF state_machine_uds_000_int_5_n 0 1 -.names CLK_000_D0_i.BLIF N_54_i.BLIF N_60_0 +.names inst_CLK_000_D0.BLIF SM_AMIGA_4_.BLIF N_63_0 11 1 .names un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2 0 1 -.names N_129.BLIF N_129_i +.names CLK_000_D0_i.BLIF N_56_i.BLIF N_62_0 +11 1 +.names N_132.BLIF N_132_i 0 1 -.names N_50_i.BLIF N_129_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 +.names N_51_i.BLIF N_132_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 11 1 .names DS_030_c.BLIF DS_030_c_i 0 1 -.names DS_030_c_i.BLIF N_47.BLIF N_58_i +.names DS_030_c_i.BLIF N_49.BLIF N_60_i 11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF N_57_i +.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF N_59_i 11 1 -.names SM_AMIGA_1_.BLIF state_machine_un6_clk_000_d4_n.BLIF N_55_i +.names SM_AMIGA_1_.BLIF state_machine_un6_clk_000_d5_n.BLIF N_57_i 11 1 -.names SM_AMIGA_6_.BLIF nEXP_SPACE_c.BLIF N_54_i +.names SM_AMIGA_6_.BLIF nEXP_SPACE_c.BLIF N_56_i 11 1 -.names N_53_i_4.BLIF N_53_i_5.BLIF N_53_i -11 1 -.names AS_030_i.BLIF N_55.BLIF N_50_i +.names N_55_i_4.BLIF N_55_i_5.BLIF N_55_i 11 1 .names inst_CLK_000_D1.BLIF CLK_000_D1_i 0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF N_49_i +.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF N_54_i 11 1 -.names inst_AS_000_INTreg.BLIF inst_CLK_000_D0.BLIF N_48_i +.names AS_030_i.BLIF N_57.BLIF N_51_i 11 1 -.names N_122.BLIF N_122_i +.names inst_AS_000_INTreg.BLIF inst_CLK_000_D0.BLIF N_50_i +11 1 +.names N_125.BLIF N_125_i +0 1 +.names N_126.BLIF N_126_i +0 1 +.names N_125_i.BLIF N_126_i.BLIF cpu_est_ns_e_0_0__n +11 1 +.names N_85.BLIF N_85_i 0 1 .names N_123.BLIF N_123_i 0 1 -.names N_122_i.BLIF N_123_i.BLIF cpu_est_ns_e_0_0__n -11 1 -.names N_79.BLIF N_79_i +.names N_124.BLIF N_124_i 0 1 -.names N_226.BLIF N_226_i +.names sm_amiga_ns_0_1_0__n.BLIF N_123_i.BLIF sm_amiga_ns_0_0__n +11 1 +.names N_122.BLIF N_122_i 0 1 .names N_227.BLIF N_227_i 0 1 -.names sm_amiga_ns_0_1_0__n.BLIF N_226_i.BLIF sm_amiga_ns_0_0__n -11 1 -.names N_222.BLIF N_222_i +.names N_228.BLIF N_228_i 0 1 -.names N_223.BLIF N_223_i +.names cpu_est_ns_0_1_2__n.BLIF N_227_i.BLIF cpu_est_ns_0_2__n +11 1 +.names N_226.BLIF N_226_i +0 1 +.names N_56.BLIF N_226_i.BLIF N_44_i +11 1 +.names N_130.BLIF N_130_i 0 1 .names N_225.BLIF N_225_i 0 1 -.names cpu_est_ns_0_1_2__n.BLIF N_223_i.BLIF cpu_est_ns_0_2__n -11 1 -.names N_221.BLIF N_221_i -0 1 -.names N_54.BLIF N_221_i.BLIF N_41_i -11 1 -.names N_127.BLIF N_127_i -0 1 -.names N_220.BLIF N_220_i -0 1 .names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n 0 1 -.names N_127_i.BLIF N_220_i.BLIF N_157_i +.names N_130_i.BLIF N_225_i.BLIF N_158_i 11 1 .names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n 0 1 -.names N_214.BLIF N_214_i -0 1 -.names N_203_0.BLIF N_203 -0 1 -.names N_215.BLIF N_215_i +.names N_219.BLIF N_219_i 0 1 .names N_204_0.BLIF N_204 0 1 -.names N_214_i.BLIF N_215_i.BLIF sm_amiga_ns_0_7__n -11 1 +.names N_220.BLIF N_220_i +0 1 .names N_205_0.BLIF N_205 0 1 -.names N_93.BLIF N_93_i +.names N_219_i.BLIF N_220_i.BLIF sm_amiga_ns_0_7__n +11 1 +.names N_206_0.BLIF N_206 0 1 -.names N_23_0.BLIF N_23 +.names N_215.BLIF N_215_i +0 1 +.names N_26_0.BLIF N_26 +0 1 +.names N_216.BLIF N_216_i +0 1 +.names N_30_0.BLIF N_30 +0 1 +.names state_machine_uds_000_int_5_0_m2_un1_n.BLIF \ +state_machine_uds_000_int_5_0_m2_un0_n.BLIF N_49 +1- 1 +-1 1 +.names N_95.BLIF N_95_i +0 1 +.names N_50_i.BLIF N_50 +0 1 +.names N_214.BLIF N_214_i +0 1 +.names N_51_i.BLIF N_51 +0 1 +.names N_95_i.BLIF N_214_i.BLIF sm_amiga_ns_0_5__n +11 1 +.names N_54_i.BLIF N_54 0 1 .names N_94.BLIF N_94_i 0 1 -.names N_27_0.BLIF N_27 -0 1 -.names state_machine_uds_000_int_5_0_m2_un1_n.BLIF \ -state_machine_uds_000_int_5_0_m2_un0_n.BLIF N_47 -1- 1 --1 1 -.names N_85.BLIF N_85_i -0 1 -.names N_48_i.BLIF N_48 -0 1 -.names N_86.BLIF N_86_i -0 1 -.names N_49_i.BLIF N_49 -0 1 -.names N_85_i.BLIF N_86_i.BLIF sm_amiga_ns_0_5__n -11 1 -.names N_50_i.BLIF N_50 -0 1 -.names N_84.BLIF N_84_i -0 1 -.names N_53_i.BLIF N_53 -0 1 -.names N_130.BLIF N_130_i -0 1 -.names N_54_i.BLIF N_54 -0 1 .names N_55_i.BLIF N_55 0 1 -.names N_82.BLIF N_82_i +.names N_133.BLIF N_133_i +0 1 +.names N_56_i.BLIF N_56 0 1 .names N_57_i.BLIF N_57 0 1 -.names N_58_i.BLIF N_58 +.names N_87.BLIF N_87_i 0 1 -.names N_81.BLIF N_81_i +.names N_59_i.BLIF N_59 0 1 -.names N_60_0.BLIF N_60 +.names N_60_i.BLIF N_60 0 1 -.names N_61_0.BLIF N_61 +.names N_86.BLIF N_86_i 0 1 -.names N_77.BLIF N_77_i +.names N_62_0.BLIF N_62 0 1 -.names N_68_1.BLIF VPA_D_i.BLIF N_68 -11 1 -.names N_69_1.BLIF cpu_est_2_.BLIF N_69 -11 1 -.names N_75.BLIF N_75_i +.names N_63_0.BLIF N_63 0 1 -.names N_70_3.BLIF VPA_D_i.BLIF N_70 +.names N_83.BLIF N_83_i +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_68 11 1 -.names N_58_i.BLIF N_75_i.BLIF state_machine_lds_000_int_5_0_n +.names N_70_1.BLIF N_70_2.BLIF N_70 11 1 -.names CLK_030_c.BLIF N_53_i.BLIF N_71 +.names N_82.BLIF N_82_i +0 1 +.names N_72_1.BLIF VPA_D_i.BLIF N_72 11 1 -.names a_i_0__n.BLIF N_58_i.BLIF state_machine_uds_000_int_5_0_n +.names N_60_i.BLIF N_82_i.BLIF state_machine_lds_000_int_5_0_n 11 1 -.names N_72_1.BLIF N_72_2.BLIF N_72 +.names N_73_1.BLIF cpu_est_2_.BLIF N_73 +11 1 +.names a_i_0__n.BLIF N_60_i.BLIF state_machine_uds_000_int_5_0_n +11 1 +.names N_74_3.BLIF VPA_D_i.BLIF N_74 +11 1 +.names N_80.BLIF N_80_i +0 1 +.names CLK_030_c.BLIF N_55_i.BLIF N_76 +11 1 +.names N_80_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF N_30_0 +11 1 +.names N_78_1.BLIF N_78_2.BLIF N_78 +11 1 +.names AS_030_i.BLIF N_78_i.BLIF N_26_0 +11 1 +.names N_80_1.BLIF N_80_2.BLIF N_80 +11 1 +.names N_76.BLIF N_76_i +0 1 +.names N_82_1.BLIF size_i_1__n.BLIF N_82 +11 1 +.names AS_030_i.BLIF N_76_i.BLIF N_206_0 +11 1 +.names N_62.BLIF sm_amiga_i_7__n.BLIF N_83 +11 1 +.names AS_030_i.BLIF N_74_i.BLIF N_205_0 +11 1 +.names SM_AMIGA_7_.BLIF state_machine_un8_clk_000_d2_i_n.BLIF N_85 +11 1 +.names N_72.BLIF N_72_i +0 1 +.names sm_amiga_i_5__n.BLIF sm_amiga_i_6__n.BLIF N_86 11 1 .names N_73.BLIF N_73_i 0 1 -.names N_73_1.BLIF N_73_2.BLIF N_73 +.names sm_amiga_i_4__n.BLIF sm_amiga_i_5__n.BLIF N_87 11 1 -.names N_73_i.BLIF un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0.BLIF N_27_0 +.names N_72_i.BLIF N_73_i.BLIF state_machine_un15_clk_000_d0_0_n 11 1 -.names N_75_1.BLIF size_i_1__n.BLIF N_75 +.names N_63.BLIF sm_amiga_i_3__n.BLIF N_94 11 1 -.names AS_030_i.BLIF N_72_i.BLIF N_23_0 +.names AS_030_i.BLIF N_60.BLIF N_204_0 11 1 -.names N_60.BLIF sm_amiga_i_7__n.BLIF N_77 +.names CLK_000_D0_i.BLIF SM_AMIGA_2_.BLIF N_95 11 1 -.names N_71.BLIF N_71_i +.names BG_030_c.BLIF BG_030_c_i 0 1 -.names SM_AMIGA_7_.BLIF state_machine_un8_clk_000_d2_i_n.BLIF N_79 +.names N_133.BLIF SM_AMIGA_3_.BLIF N_214 11 1 -.names AS_030_i.BLIF N_71_i.BLIF N_205_0 -11 1 -.names sm_amiga_i_5__n.BLIF sm_amiga_i_6__n.BLIF N_81 -11 1 -.names AS_030_i.BLIF N_70_i.BLIF N_204_0 -11 1 -.names sm_amiga_i_4__n.BLIF sm_amiga_i_5__n.BLIF N_82 -11 1 -.names N_68.BLIF N_68_i +.names N_70.BLIF N_70_i 0 1 -.names N_61.BLIF sm_amiga_i_3__n.BLIF N_84 +.names CLK_000_D0_i.BLIF N_57.BLIF N_215 11 1 -.names N_69.BLIF N_69_i -0 1 -.names CLK_000_D0_i.BLIF SM_AMIGA_2_.BLIF N_85 +.names BG_030_c_i.BLIF N_70_i.BLIF state_machine_un10_bg_030_0_n 11 1 -.names N_68_i.BLIF N_69_i.BLIF state_machine_un15_clk_000_d0_0_n +.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_216 11 1 -.names N_130.BLIF SM_AMIGA_3_.BLIF N_86 +.names BGACK_000_c.BLIF N_54.BLIF state_machine_un6_bgack_000_0_n 11 1 -.names AS_030_i.BLIF N_58.BLIF N_203_0 +.names N_50.BLIF SM_AMIGA_0_.BLIF N_219 11 1 -.names CLK_000_D0_i.BLIF N_55.BLIF N_93 -11 1 -.names BGACK_000_c.BLIF N_49.BLIF state_machine_un6_bgack_000_0_n -11 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_2__n.BLIF N_94 -11 1 -.names N_48.BLIF SM_AMIGA_0_.BLIF N_214 +.names N_220_1.BLIF state_machine_un6_clk_000_d5_i_n.BLIF N_220 11 1 .names inst_DTACK_SYNC.BLIF inst_VPA_SYNC.BLIF \ state_machine_un23_clk_000_d0_0_n 11 1 -.names N_215_1.BLIF state_machine_un6_clk_000_d4_i_n.BLIF N_215 +.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_221 11 1 -.names a_i_24__n.BLIF a_i_25__n.BLIF N_238_1 +.names a_i_24__n.BLIF a_i_25__n.BLIF N_236_1 11 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_216 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_222 11 1 -.names a_i_26__n.BLIF a_i_27__n.BLIF N_238_2 +.names a_i_26__n.BLIF a_i_27__n.BLIF N_236_2 11 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_217 +.names N_59.BLIF cpu_est_2_.BLIF N_225 11 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF N_238_3 +.names a_i_28__n.BLIF a_i_29__n.BLIF N_236_3 11 1 -.names N_57.BLIF cpu_est_2_.BLIF N_220 +.names N_226_1.BLIF sm_amiga_i_6__n.BLIF N_226 11 1 -.names a_i_30__n.BLIF a_i_31__n.BLIF N_238_4 +.names a_i_30__n.BLIF a_i_31__n.BLIF N_236_4 11 1 -.names N_221_1.BLIF sm_amiga_i_6__n.BLIF N_221 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_227 11 1 -.names N_238_1.BLIF N_238_2.BLIF N_238_5 +.names N_236_1.BLIF N_236_2.BLIF N_236_5 11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_222 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_228 11 1 -.names N_238_3.BLIF N_238_4.BLIF N_238_6 +.names N_236_3.BLIF N_236_4.BLIF N_236_6 11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_223 +.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_122 11 1 -.names a_c_20__n.BLIF a_c_21__n.BLIF N_241_1 +.names a_c_20__n.BLIF a_c_21__n.BLIF N_239_1 11 1 -.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_225 +.names CLK_000_D0_i.BLIF N_132.BLIF N_123 11 1 -.names a_c_22__n.BLIF a_c_23__n.BLIF N_241_2 +.names a_c_22__n.BLIF a_c_23__n.BLIF N_239_2 11 1 -.names CLK_000_D0_i.BLIF N_129.BLIF N_226 +.names N_50_i.BLIF SM_AMIGA_0_.BLIF N_124 11 1 .names inst_CLK_000_D3.BLIF AS_030_000_SYNC_i.BLIF \ state_machine_un8_clk_000_d2_1_n 11 1 -.names N_48_i.BLIF SM_AMIGA_0_.BLIF N_227 +.names N_54.BLIF cpu_est_0_.BLIF N_125 11 1 -.names a_c_17__n.BLIF BGACK_000_c.BLIF N_53_i_1 +.names a_c_17__n.BLIF BGACK_000_c.BLIF N_55_i_1 11 1 -.names N_49.BLIF cpu_est_0_.BLIF N_122 +.names N_54_i.BLIF cpu_est_i_0__n.BLIF N_126 11 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_53_i_2 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_55_i_2 11 1 -.names N_49_i.BLIF cpu_est_i_0__n.BLIF N_123 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_129 11 1 -.names a_i_19__n.BLIF a_i_16__n.BLIF N_53_i_3 +.names a_i_19__n.BLIF a_i_16__n.BLIF N_55_i_3 11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_126 +.names N_129.BLIF cpu_est_i_3__n.BLIF N_130 11 1 -.names N_53_i_1.BLIF N_53_i_2.BLIF N_53_i_4 +.names N_55_i_1.BLIF N_55_i_2.BLIF N_55_i_4 11 1 -.names N_126.BLIF cpu_est_i_3__n.BLIF N_127 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_131 11 1 -.names N_53_i_3.BLIF a_i_18__n.BLIF N_53_i_5 +.names N_55_i_3.BLIF a_i_18__n.BLIF N_55_i_5 11 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_128 +.names SM_AMIGA_6_.BLIF nEXP_SPACE_i.BLIF N_132 11 1 -.names N_126_i.BLIF N_128_i.BLIF cpu_est_ns_0_1_1__n +.names N_129_i.BLIF N_131_i.BLIF cpu_est_ns_0_1_1__n 11 1 -.names SM_AMIGA_6_.BLIF nEXP_SPACE_i.BLIF N_129 +.names CLK_000_D0_i.BLIF state_machine_un23_clk_000_d0_n.BLIF N_133 11 1 -.names N_216_i.BLIF N_217_i.BLIF cpu_est_ns_0_2_1__n +.names N_221_i.BLIF N_222_i.BLIF cpu_est_ns_0_2_1__n 11 1 -.names CLK_000_D0_i.BLIF state_machine_un23_clk_000_d0_n.BLIF N_130 +.names N_236_5.BLIF N_236_6.BLIF N_236 11 1 -.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF state_machine_un12_bg_030_1_n +.names CLK_030_c.BLIF N_55.BLIF N_80_1 11 1 -.names N_238_5.BLIF N_238_6.BLIF N_238 +.names N_239_1.BLIF N_239_2.BLIF N_239 11 1 -.names AS_030_c.BLIF BG_030_i.BLIF state_machine_un12_bg_030_2_n -11 1 -.names N_241_1.BLIF N_241_2.BLIF N_241 -11 1 -.names CLK_OUT_INTreg.BLIF N_49_i.BLIF state_machine_un12_bg_030_3_n +.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF N_80_2 11 1 .names RW_c.BLIF RW_i 0 1 -.names state_machine_un12_bg_030_1_n.BLIF state_machine_un12_bg_030_2_n.BLIF \ -state_machine_un12_bg_030_4_n +.names inst_CLK_000_D0.BLIF DTACK_i.BLIF N_78_1 11 1 .names inst_VMA_INTreg.BLIF VMA_INT_i 0 1 -.names CLK_030_c.BLIF N_53.BLIF N_73_1 +.names SM_AMIGA_3_.BLIF inst_VPA_D.BLIF N_78_2 11 1 .names inst_VPA_D.BLIF VPA_D_i 0 1 -.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF N_73_2 +.names inst_CLK_000_D0.BLIF N_59_i.BLIF N_74_1 11 1 .names DTACK_c.BLIF DTACK_i 0 1 -.names inst_CLK_000_D0.BLIF DTACK_i.BLIF N_72_1 -11 1 -.names BG_030_c.BLIF BG_030_i -0 1 -.names SM_AMIGA_3_.BLIF inst_VPA_D.BLIF N_72_2 +.names SM_AMIGA_3_.BLIF VMA_INT_i.BLIF N_74_2 11 1 .names inst_CLK_000_D0.BLIF CLK_000_D0_i 0 1 -.names inst_CLK_000_D0.BLIF N_57_i.BLIF N_70_1 +.names N_74_1.BLIF N_74_2.BLIF N_74_3 11 1 .names SM_AMIGA_4_.BLIF sm_amiga_i_4__n 0 1 -.names SM_AMIGA_3_.BLIF VMA_INT_i.BLIF N_70_2 +.names AS_030_c.BLIF CLK_000_c.BLIF N_70_1 11 1 .names cpu_est_3_reg.BLIF cpu_est_i_3__n 0 1 -.names N_70_1.BLIF N_70_2.BLIF N_70_3 +.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF N_70_2 11 1 .names SM_AMIGA_1_.BLIF sm_amiga_i_1__n 0 1 -.names N_227_i.BLIF N_79_i.BLIF sm_amiga_ns_0_1_0__n +.names N_124_i.BLIF N_85_i.BLIF sm_amiga_ns_0_1_0__n 11 1 -.names state_machine_un6_clk_000_d4_n.BLIF state_machine_un6_clk_000_d4_i_n +.names state_machine_un6_clk_000_d5_n.BLIF state_machine_un6_clk_000_d5_i_n 0 1 -.names N_225_i.BLIF N_222_i.BLIF cpu_est_ns_0_1_2__n +.names N_228_i.BLIF N_122_i.BLIF cpu_est_ns_0_1_2__n 11 1 .names SM_AMIGA_6_.BLIF sm_amiga_i_6__n 0 1 -.names AMIGA_BUS_ENABLE_i.BLIF AS_030_i.BLIF N_221_1 +.names AMIGA_BUS_ENABLE_i.BLIF AS_030_i.BLIF N_226_1 11 1 .names nEXP_SPACE_c.BLIF nEXP_SPACE_i 0 1 -.names CLK_000_D0_i.BLIF SM_AMIGA_1_.BLIF N_215_1 +.names CLK_000_D0_i.BLIF SM_AMIGA_1_.BLIF N_220_1 11 1 .names inst_AS_000_INTreg.BLIF AS_000_INT_i 0 1 -.names a_i_0__n.BLIF size_c_0__n.BLIF N_75_1 +.names a_i_0__n.BLIF size_c_0__n.BLIF N_82_1 11 1 .names cpu_est_1_.BLIF cpu_est_i_1__n 0 1 -.names N_48_i.BLIF N_127.BLIF N_69_1 +.names N_50_i.BLIF N_130.BLIF N_73_1 11 1 .names cpu_est_0_.BLIF cpu_est_i_0__n 0 1 -.names CLK_000_D0_i.BLIF N_128.BLIF N_68_1 +.names CLK_000_D0_i.BLIF N_131.BLIF N_72_1 11 1 .names AMIGA_BUS_ENABLEDFFreg.BLIF AMIGA_BUS_ENABLE_i 0 1 @@ -728,166 +730,172 @@ state_machine_uds_000_int_5_0_m2_un0_n 11 1 .names SM_AMIGA_2_.BLIF sm_amiga_i_2__n 0 1 -.names N_203.BLIF lds_000_int_0_un3_n +.names N_205.BLIF vpa_sync_0_un3_n 0 1 .names SM_AMIGA_3_.BLIF sm_amiga_i_3__n 0 1 -.names state_machine_lds_000_int_5_n.BLIF N_203.BLIF lds_000_int_0_un1_n +.names N_74_i.BLIF N_205.BLIF vpa_sync_0_un1_n 11 1 .names SM_AMIGA_5_.BLIF sm_amiga_i_5__n 0 1 -.names inst_LDS_000_INTreg.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +.names inst_VPA_SYNC.BLIF vpa_sync_0_un3_n.BLIF vpa_sync_0_un0_n 11 1 .names state_machine_un8_clk_000_d2_n.BLIF state_machine_un8_clk_000_d2_i_n 0 1 -.names N_204.BLIF vpa_sync_0_un3_n +.names state_machine_un15_clk_000_d0_n.BLIF vma_int_0_un3_n 0 1 .names SM_AMIGA_7_.BLIF sm_amiga_i_7__n 0 1 -.names N_70_i.BLIF N_204.BLIF vpa_sync_0_un1_n +.names N_50_i.BLIF state_machine_un15_clk_000_d0_n.BLIF vma_int_0_un1_n 11 1 .names a_c_0__n.BLIF a_i_0__n 0 1 -.names inst_VPA_SYNC.BLIF vpa_sync_0_un3_n.BLIF vpa_sync_0_un0_n +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n 11 1 .names size_c_1__n.BLIF size_i_1__n 0 1 -.names state_machine_un15_clk_000_d0_n.BLIF vma_int_0_un3_n +.names state_machine_un10_bg_030_n.BLIF bg_000_0_un3_n 0 1 .names dsack_c_1__n.BLIF dsack_i_1__n 0 1 -.names N_48_i.BLIF state_machine_un15_clk_000_d0_n.BLIF vma_int_0_un1_n +.names BG_030_c.BLIF state_machine_un10_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n 11 1 .names inst_CLK_000_D2.BLIF CLK_000_D2_i 0 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 .names state_machine_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n 0 1 -.names a_c_30__n.BLIF a_i_30__n +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i 0 1 .names BGACK_000_c.BLIF state_machine_un6_bgack_000_n.BLIF \ bgack_030_int_0_un1_n 11 1 -.names a_c_31__n.BLIF a_i_31__n +.names a_c_19__n.BLIF a_i_19__n 0 1 .names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ bgack_030_int_0_un0_n 11 1 -.names a_c_28__n.BLIF a_i_28__n +.names a_c_16__n.BLIF a_i_16__n 0 1 .names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n 0 1 -.names a_c_29__n.BLIF a_i_29__n +.names a_c_18__n.BLIF a_i_18__n 0 1 .names inst_AS_000_INTreg.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n 11 1 -.names a_c_26__n.BLIF a_i_26__n +.names a_c_30__n.BLIF a_i_30__n 0 1 .names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n 11 1 -.names a_c_27__n.BLIF a_i_27__n +.names a_c_31__n.BLIF a_i_31__n 0 1 -.names N_49.BLIF ipl_030_0_0__un3_n +.names N_54.BLIF ipl_030_0_0__un3_n 0 1 -.names a_c_24__n.BLIF a_i_24__n +.names a_c_28__n.BLIF a_i_28__n 0 1 -.names IPL_030DFFSH_0_reg.BLIF N_49.BLIF ipl_030_0_0__un1_n +.names IPL_030DFFSH_0_reg.BLIF N_54.BLIF ipl_030_0_0__un1_n 11 1 -.names a_c_25__n.BLIF a_i_25__n +.names a_c_29__n.BLIF a_i_29__n 0 1 .names ipl_c_0__n.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n 11 1 -.names a_c_19__n.BLIF a_i_19__n +.names a_c_26__n.BLIF a_i_26__n 0 1 -.names N_49.BLIF ipl_030_0_1__un3_n +.names N_54.BLIF ipl_030_0_1__un3_n 0 1 -.names a_c_16__n.BLIF a_i_16__n +.names a_c_27__n.BLIF a_i_27__n 0 1 -.names IPL_030DFFSH_1_reg.BLIF N_49.BLIF ipl_030_0_1__un1_n +.names IPL_030DFFSH_1_reg.BLIF N_54.BLIF ipl_030_0_1__un1_n 11 1 -.names a_c_18__n.BLIF a_i_18__n +.names a_c_24__n.BLIF a_i_24__n 0 1 .names ipl_c_1__n.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n 11 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names N_54.BLIF ipl_030_0_2__un3_n +0 1 .names RST_c.BLIF RST_i 0 1 -.names N_49.BLIF ipl_030_0_2__un3_n -0 1 -.names IPL_030DFFSH_2_reg.BLIF N_49.BLIF ipl_030_0_2__un1_n +.names IPL_030DFFSH_2_reg.BLIF N_54.BLIF ipl_030_0_2__un1_n 11 1 .names ipl_c_2__n.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n 11 1 -.names N_49.BLIF cpu_estse_0_un3_n +.names N_54.BLIF cpu_estse_0_un3_n 0 1 .names CLK_OSZI_c.BLIF CLK_OSZI_i 0 1 -.names cpu_est_1_.BLIF N_49.BLIF cpu_estse_0_un1_n +.names cpu_est_1_.BLIF N_54.BLIF cpu_estse_0_un1_n 11 1 .names cpu_est_ns_1__n.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n 11 1 -.names N_70.BLIF N_70_i +.names N_74.BLIF N_74_i 0 1 -.names N_49.BLIF cpu_estse_1_un3_n +.names N_54.BLIF cpu_estse_1_un3_n 0 1 -.names N_72.BLIF N_72_i +.names N_78.BLIF N_78_i 0 1 -.names cpu_est_2_.BLIF N_49.BLIF cpu_estse_1_un1_n +.names cpu_est_2_.BLIF N_54.BLIF cpu_estse_1_un1_n 11 1 .names inst_FPU_CS_INTreg.BLIF FPU_CS_INT_i 0 1 .names cpu_est_ns_2__n.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n 11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +.names inst_CLK_000_D6.BLIF CLK_000_D6_i 0 1 -.names N_49.BLIF cpu_estse_2_un3_n +.names N_54.BLIF cpu_estse_2_un3_n 0 1 -.names inst_CLK_000_D5.BLIF CLK_000_D5_i -0 1 -.names cpu_est_3_reg.BLIF N_49.BLIF cpu_estse_2_un1_n +.names cpu_est_3_reg.BLIF N_54.BLIF cpu_estse_2_un1_n 11 1 -.names N_157_i.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n +.names N_158_i.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n 11 1 -.names N_27.BLIF as_030_000_sync_0_un3_n +.names N_30.BLIF as_030_000_sync_0_un3_n 0 1 -.names un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_27.BLIF as_030_000_sync_0_un1_n +.names un1_AMIGA_BUS_ENABLE_1_sqmuxa_2.BLIF N_30.BLIF as_030_000_sync_0_un1_n 11 1 .names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF \ as_030_000_sync_0_un0_n 11 1 -.names N_23.BLIF dtack_sync_0_un3_n +.names N_26.BLIF dtack_sync_0_un3_n 0 1 -.names N_72_i.BLIF N_23.BLIF dtack_sync_0_un1_n +.names N_78_i.BLIF N_26.BLIF dtack_sync_0_un1_n 11 1 .names inst_DTACK_SYNC.BLIF dtack_sync_0_un3_n.BLIF dtack_sync_0_un0_n 11 1 -.names N_205.BLIF fpu_cs_int_0_un3_n +.names N_206.BLIF fpu_cs_int_0_un3_n 0 1 -.names AS_030_c.BLIF N_205.BLIF fpu_cs_int_0_un1_n +.names AS_030_c.BLIF N_206.BLIF fpu_cs_int_0_un1_n 11 1 .names inst_FPU_CS_INTreg.BLIF fpu_cs_int_0_un3_n.BLIF fpu_cs_int_0_un0_n 11 1 -.names N_50.BLIF dsack_int_0_1__un3_n +.names N_51.BLIF dsack_int_0_1__un3_n 0 1 -.names N_55.BLIF N_50.BLIF dsack_int_0_1__un1_n +.names N_57.BLIF N_51.BLIF dsack_int_0_1__un1_n 11 1 .names DSACK_INT_1_.BLIF dsack_int_0_1__un3_n.BLIF dsack_int_0_1__un0_n 11 1 .names RST_c.BLIF amiga_bus_enable_0_un3_n 0 1 -.names N_41_i.BLIF RST_c.BLIF amiga_bus_enable_0_un1_n +.names N_44_i.BLIF RST_c.BLIF amiga_bus_enable_0_un1_n 11 1 .names AMIGA_BUS_ENABLEDFFreg.BLIF amiga_bus_enable_0_un3_n.BLIF \ amiga_bus_enable_0_un0_n 11 1 -.names N_203.BLIF uds_000_int_0_un3_n +.names N_204.BLIF uds_000_int_0_un3_n 0 1 -.names state_machine_uds_000_int_5_n.BLIF N_203.BLIF uds_000_int_0_un1_n +.names state_machine_uds_000_int_5_n.BLIF N_204.BLIF uds_000_int_0_un1_n 11 1 .names inst_UDS_000_INTreg.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n 11 1 +.names N_204.BLIF lds_000_int_0_un3_n +0 1 +.names state_machine_lds_000_int_5_n.BLIF N_204.BLIF lds_000_int_0_un1_n +11 1 +.names inst_LDS_000_INTreg.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 .names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ 1 1 0 0 @@ -942,7 +950,7 @@ amiga_bus_enable_0_un0_n .names vcc_n_n.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_241.BLIF CIIN +.names N_239.BLIF CIIN 1 1 0 0 .names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ @@ -951,6 +959,12 @@ amiga_bus_enable_0_un0_n .names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI_c.BLIF cpu_est_1_.C +1 1 +0 0 +.names RST_i.BLIF cpu_est_1_.AR +1 1 +0 0 .names CLK_OSZI_c.BLIF cpu_est_2_.C 1 1 0 0 @@ -969,10 +983,16 @@ amiga_bus_enable_0_un0_n .names RST_i.BLIF cpu_est_0_.AR 1 1 0 0 -.names CLK_OSZI_c.BLIF cpu_est_1_.C +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C 1 1 0 0 -.names RST_i.BLIF cpu_est_1_.AR +.names RST_i.BLIF SM_AMIGA_5_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_4_.AR 1 1 0 0 .names CLK_OSZI_c.BLIF SM_AMIGA_3_.C @@ -1011,7 +1031,7 @@ amiga_bus_enable_0_un0_n .names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C 1 1 0 0 -.names RST_i.BLIF CLK_CNT_N_1_.AR +.names RST_i.BLIF CLK_CNT_N_1_.AP 1 1 0 0 .names CLK_OSZI_c.BLIF CLK_CNT_P_0_.C @@ -1059,18 +1079,6 @@ amiga_bus_enable_0_un0_n .names RST_i.BLIF SM_AMIGA_6_.AR 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_5_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_4_.AR -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_UDS_000_INTreg.C 1 1 0 0 @@ -1095,6 +1103,12 @@ amiga_bus_enable_0_un0_n .names RST_i.BLIF inst_VMA_INTreg.AP 1 1 0 0 +.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C +1 1 +0 0 +.names RST_i.BLIF BG_000DFFSHreg.AP +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C 1 1 0 0 @@ -1164,10 +1178,13 @@ amiga_bus_enable_0_un0_n .names RST_i.BLIF inst_CLK_000_D5.AP 1 1 0 0 -.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C +.names inst_CLK_000_D5.BLIF inst_CLK_000_D6.D 1 1 0 0 -.names RST_i.BLIF BG_000DFFSHreg.AP +.names CLK_OSZI_c.BLIF inst_CLK_000_D6.C +1 1 +0 0 +.names RST_i.BLIF inst_CLK_000_D6.AP 1 1 0 0 .names inst_CLK_000_D3.BLIF inst_CLK_000_D4.D @@ -1206,7 +1223,7 @@ amiga_bus_enable_0_un0_n .names RST_i.BLIF inst_VPA_D.AP 1 1 0 0 -.names CLK_000.BLIF inst_CLK_000_D0.D +.names CLK_000_c.BLIF inst_CLK_000_D0.D 1 1 0 0 .names CLK_OSZI_c.BLIF inst_CLK_000_D0.C @@ -1242,6 +1259,9 @@ amiga_bus_enable_0_un0_n .names vcc_n_n.BLIF DSACK_0_ 1 1 0 0 +.names CLK_000.BLIF CLK_000_c +1 1 +0 0 .names CLK_OSZI.BLIF CLK_OSZI_c 1 1 0 0 @@ -1296,78 +1316,78 @@ amiga_bus_enable_0_un0_n .names A_13_.BLIF a_13__n 1 1 0 0 -.names A_12_.BLIF a_12__n -1 1 -0 0 -.names A_11_.BLIF a_11__n -1 1 -0 0 .names A_16_.BLIF a_c_16__n 1 1 0 0 -.names A_10_.BLIF a_10__n +.names A_12_.BLIF a_12__n 1 1 0 0 .names A_17_.BLIF a_c_17__n 1 1 0 0 -.names A_9_.BLIF a_9__n +.names A_11_.BLIF a_11__n 1 1 0 0 .names A_18_.BLIF a_c_18__n 1 1 0 0 -.names A_8_.BLIF a_8__n +.names A_10_.BLIF a_10__n 1 1 0 0 .names A_19_.BLIF a_c_19__n 1 1 0 0 -.names A_7_.BLIF a_7__n +.names A_9_.BLIF a_9__n 1 1 0 0 .names A_20_.BLIF a_c_20__n 1 1 0 0 -.names A_6_.BLIF a_6__n +.names A_8_.BLIF a_8__n 1 1 0 0 .names A_21_.BLIF a_c_21__n 1 1 0 0 -.names A_5_.BLIF a_5__n +.names A_7_.BLIF a_7__n 1 1 0 0 .names A_22_.BLIF a_c_22__n 1 1 0 0 -.names A_4_.BLIF a_4__n +.names A_6_.BLIF a_6__n 1 1 0 0 .names A_23_.BLIF a_c_23__n 1 1 0 0 -.names A_3_.BLIF a_3__n +.names A_5_.BLIF a_5__n 1 1 0 0 .names A_24_.BLIF a_c_24__n 1 1 0 0 -.names A_2_.BLIF a_2__n +.names A_4_.BLIF a_4__n 1 1 0 0 .names A_25_.BLIF a_c_25__n 1 1 0 0 -.names A_1_.BLIF a_1__n +.names A_3_.BLIF a_3__n 1 1 0 0 .names A_26_.BLIF a_c_26__n 1 1 0 0 +.names A_2_.BLIF a_2__n +1 1 +0 0 .names A_27_.BLIF a_c_27__n 1 1 0 0 +.names A_1_.BLIF a_1__n +1 1 +0 0 .names A_28_.BLIF a_c_28__n 1 1 0 0 @@ -1395,7 +1415,7 @@ amiga_bus_enable_0_un0_n .names nEXP_SPACE_c.BLIF DSACK_1_.OE 1 1 0 0 -.names BGACK_030_INT_i.BLIF DTACK.OE +.names N_68.BLIF DTACK.OE 1 1 0 0 .names inst_BGACK_030_INTreg.BLIF AS_000.OE @@ -1416,7 +1436,7 @@ amiga_bus_enable_0_un0_n .names FPU_CS_INT_i.BLIF AVEC_EXP.OE 1 1 0 0 -.names N_238.BLIF CIIN.OE +.names N_236.BLIF CIIN.OE 1 1 0 0 .names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF G_102 diff --git a/Logic/BUS68030.edi b/Logic/BUS68030.edi index 57a60ab..3f17245 100644 --- a/Logic/BUS68030.edi +++ b/Logic/BUS68030.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2014 5 24 16 11 46) + (timeStamp 2014 5 24 19 56 15) (author "Synopsys, Inc.") (program "Synplify Pro" (version "G-2012.09LC-SP1 , mapper maplat, Build 621R")) ) @@ -156,13 +156,17 @@ (port CIIN (direction OUTPUT)) ) (contents + (instance (rename cpu_est_1 "cpu_est[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) (instance (rename cpu_est_2 "cpu_est[2]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) (instance (rename cpu_est_3 "cpu_est[3]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) (instance (rename cpu_est_0 "cpu_est[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) - (instance (rename cpu_est_1 "cpu_est[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + (instance (rename SM_AMIGA_5 "SM_AMIGA[5]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance (rename SM_AMIGA_4 "SM_AMIGA[4]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) (instance (rename SM_AMIGA_3 "SM_AMIGA[3]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) @@ -174,7 +178,7 @@ ) (instance (rename CLK_CNT_N_0 "CLK_CNT_N[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) - (instance (rename CLK_CNT_N_1 "CLK_CNT_N[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + (instance (rename CLK_CNT_N_1 "CLK_CNT_N[1]") (viewRef prim (cellRef DFFSH (libraryRef mach))) ) (instance (rename CLK_CNT_P_0 "CLK_CNT_P[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) @@ -190,10 +194,6 @@ ) (instance (rename SM_AMIGA_6 "SM_AMIGA[6]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) - (instance (rename SM_AMIGA_5 "SM_AMIGA[5]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_4 "SM_AMIGA[4]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) (instance UDS_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) ) (instance LDS_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) @@ -202,6 +202,8 @@ ) (instance VMA_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) ) + (instance BG_000DFFSH (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) (instance BGACK_030_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) ) (instance AS_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) @@ -224,7 +226,7 @@ ) (instance CLK_000_D5 (viewRef prim (cellRef DFFSH (libraryRef mach))) ) - (instance BG_000DFFSH (viewRef prim (cellRef DFFSH (libraryRef mach))) + (instance CLK_000_D6 (viewRef prim (cellRef DFFSH (libraryRef mach))) ) (instance CLK_000_D4 (viewRef prim (cellRef DFFSH (libraryRef mach))) ) @@ -299,9 +301,15 @@ (instance AMIGA_BUS_DATA_DIR (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_LOW (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance CIIN (viewRef prim (cellRef BUFTH (libraryRef mach))) ) + (instance (rename state_machine_un15_clk_000_d0_0_a3_0_1 "state_machine.un15_clk_000_d0_0_a3_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un15_clk_000_d0_0_a3_0 "state_machine.un15_clk_000_d0_0_a3_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un15_clk_000_d0_0_a3_1 "state_machine.un15_clk_000_d0_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename state_machine_un15_clk_000_d0_0_a3 "state_machine.un15_clk_000_d0_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance VPA_SYNC_1_sqmuxa_1_i_a3_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance VPA_SYNC_1_sqmuxa_1_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un10_bg_030_0_a3_1 "state_machine.un10_bg_030_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un10_bg_030_0_a3_2 "state_machine.un10_bg_030_0_a3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un10_bg_030_0_a3 "state_machine.un10_bg_030_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_0_1_0 "SM_AMIGA_ns_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_0_0 "SM_AMIGA_ns_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_ns_0_0_1_2 "cpu_est_ns_0_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -312,16 +320,13 @@ (instance (rename SM_AMIGA_ns_0_a3_0_7 "SM_AMIGA_ns_0_a3_0[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename state_machine_LDS_000_INT_5_0_a3_1 "state_machine.LDS_000_INT_5_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename state_machine_LDS_000_INT_5_0_a3 "state_machine.LDS_000_INT_5_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un15_clk_000_d0_0_a3_0_1 "state_machine.un15_clk_000_d0_0_a3_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un15_clk_000_d0_0_a3_0 "state_machine.un15_clk_000_d0_0_a3_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un15_clk_000_d0_0_a3_1 "state_machine.un15_clk_000_d0_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_1_sqmuxa_i_o2_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_1_sqmuxa_i_o2_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_1_sqmuxa_i_o2_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_1_sqmuxa_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_0_1_1 "cpu_est_ns_0_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_ns_0_0_2_1 "cpu_est_ns_0_0_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_ns_0_0_1 "cpu_est_ns_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un12_bg_030_0_a3_1 "state_machine.un12_bg_030_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un12_bg_030_0_a3_2 "state_machine.un12_bg_030_0_a3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un12_bg_030_0_a3_3 "state_machine.un12_bg_030_0_a3_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un12_bg_030_0_a3_4 "state_machine.un12_bg_030_0_a3_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un12_bg_030_0_a3 "state_machine.un12_bg_030_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AS_030_000_SYNC_1_sqmuxa_i_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AS_030_000_SYNC_1_sqmuxa_i_a3_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AS_030_000_SYNC_1_sqmuxa_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -330,6 +335,11 @@ (instance DTACK_SYNC_1_sqmuxa_1_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance VPA_SYNC_1_sqmuxa_1_i_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance VPA_SYNC_1_sqmuxa_1_i_a3_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un23_clk_000_d0_i "state_machine.un23_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un8_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un8_ciin_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un8_ciin_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un8_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -340,59 +350,56 @@ (instance (rename state_machine_un8_clk_000_d2 "state_machine.un8_clk_000_d2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AS_030_000_SYNC_1_sqmuxa_i_o2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AS_030_000_SYNC_1_sqmuxa_i_o2_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_1_sqmuxa_i_o2_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_1_sqmuxa_i_o2_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_1_sqmuxa_i_o2_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_1_sqmuxa_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_1_1 "cpu_est_ns_0_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_UDS_000_INT_5_0_i "state_machine.UDS_000_INT_5_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_80_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_030_000_SYNC_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance DTACK_SYNC_1_sqmuxa_1_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_71_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_76_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un1_as_030_000_sync8_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance VPA_SYNC_1_sqmuxa_1_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_68_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_69_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_72_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_73_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename state_machine_un15_clk_000_d0_0_i "state_machine.un15_clk_000_d0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un1_as_030_3_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance BG_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_70_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un10_bg_030_0_i "state_machine.un10_bg_030_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename state_machine_un6_bgack_000_0_i "state_machine.un6_bgack_000_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un23_clk_000_d0_i "state_machine.un23_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un8_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_0_i_7 "SM_AMIGA_ns_0_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_93_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_94_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_85_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_86_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_0_i_5 "SM_AMIGA_ns_0_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_84_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_130_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_82_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_81_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_77_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_75_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_LDS_000_INT_5_0_i "state_machine.LDS_000_INT_5_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_UDS_000_INT_5_0_i "state_machine.UDS_000_INT_5_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_73_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_123_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance cpu_estse_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_79_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_226_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_227_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_0_i_0 "SM_AMIGA_ns_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_222_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_223_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_225_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_i_2 "cpu_est_ns_0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_221_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_127_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_219_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_220_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_214_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_0_i_7 "SM_AMIGA_ns_0_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_215_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_216_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_95_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_214_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_0_i_5 "SM_AMIGA_ns_0_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_94_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_133_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_87_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_86_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_83_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_82_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_LDS_000_INT_5_0_i "state_machine.LDS_000_INT_5_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_89_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_125_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_126_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance cpu_estse_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_85_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_123_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_124_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_0_i_0 "SM_AMIGA_ns_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_122_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_227_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_228_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_0_i_2 "cpu_est_ns_0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_226_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_130_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_225_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_221_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_222_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_i_0_o2_i_4 "SM_AMIGA_ns_i_0_o2_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_i_0_o2_i_1 "SM_AMIGA_ns_i_0_o2_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_129_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_132_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0_o3_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance DS_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename state_machine_UDS_000_INT_5_0_o3_i "state_machine.UDS_000_INT_5_0_o3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) @@ -400,27 +407,28 @@ (instance un1_DSACK_INT_0_sqmuxa_i_o2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename state_machine_AMIGA_BUS_ENABLE_3_f0_i_o2_i "state_machine.AMIGA_BUS_ENABLE_3_f0_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_030_000_SYNC_1_sqmuxa_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK_INT_1_sqmuxa_i_o3_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance CLK_000_D1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename clk_un3_clk_000_d1_0_o2_i "clk.un3_clk_000_d1_0_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_88_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_122_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DSACK_INT_1_sqmuxa_i_o3_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename cpu_est_ns_0_0_i_1 "cpu_est_ns_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_126_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_128_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_216_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_217_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_129_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_131_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_DSACK_INT_0_sqmuxa_i_o2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_ns_i_0_o2_3 "cpu_est_ns_i_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename state_machine_UDS_000_INT_5_0_o3 "state_machine.UDS_000_INT_5_0_o3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0_o3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_i_0_o2_1 "SM_AMIGA_ns_i_0_o2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance CLK_000_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_i_0_o2_4 "SM_AMIGA_ns_i_0_o2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BG_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance I_127 (viewRef prim (cellRef INV (libraryRef mach))) ) (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance RW_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_0_6 "SM_AMIGA_ns_i_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_0_7 "SM_AMIGA_ns_0[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_i_0_3 "cpu_est_ns_i_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename state_machine_AMIGA_BUS_ENABLE_3_f0_i "state_machine.AMIGA_BUS_ENABLE_3_f0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance cpu_estse_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename state_machine_UDS_000_INT_5_0_m2_r "state_machine.UDS_000_INT_5_0_m2.r") (viewRef prim (cellRef INV (libraryRef mach))) ) @@ -428,17 +436,17 @@ (instance (rename state_machine_UDS_000_INT_5_0_m2_n "state_machine.UDS_000_INT_5_0_m2.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename state_machine_UDS_000_INT_5_0_m2_p "state_machine.UDS_000_INT_5_0_m2.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_88_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename clk_un3_clk_000_d1_0_o2 "clk.un3_clk_000_d1_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_89_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance DSACK_INT_1_sqmuxa_i_o3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename clk_un3_clk_000_d1_0_o2 "clk.un3_clk_000_d1_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance nEXP_SPACE_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename state_machine_AMIGA_BUS_ENABLE_3_f0_i_o2 "state_machine.AMIGA_BUS_ENABLE_3_f0_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un6_clk_000_d4_i "state_machine.un6_clk_000_d4_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_DSACK_INT_0_sqmuxa_i_o2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_i_0_o2_3 "cpu_est_ns_i_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un6_clk_000_d5_i "state_machine.un6_clk_000_d5_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un6_bgack_000_0 "state_machine.un6_bgack_000_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un10_bg_030_0 "state_machine.un10_bg_030_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_as_030_3_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename state_machine_un15_clk_000_d0_0 "state_machine.un15_clk_000_d0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance VPA_SYNC_1_sqmuxa_1_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un1_as_030_000_sync8_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -451,9 +459,8 @@ (instance (rename SM_AMIGA_ns_i_0_3 "SM_AMIGA_ns_i_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_i_0_4 "SM_AMIGA_ns_i_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_0_5 "SM_AMIGA_ns_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_0_6 "SM_AMIGA_ns_i_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_0_7 "SM_AMIGA_ns_0[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_i_0_3 "cpu_est_ns_i_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_0_a3_2 "cpu_est_ns_0_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_ns_0_0_a3_0_2 "cpu_est_ns_0_0_a3_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_ns_0_0_a3_1_2 "cpu_est_ns_0_0_a3_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_0_a3_0 "SM_AMIGA_ns_0_a3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -467,8 +474,8 @@ (instance (rename cpu_est_ns_0_0_a2_0_1 "cpu_est_ns_0_0_a2_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_0_a2_0 "SM_AMIGA_ns_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_i_0_a2_4 "SM_AMIGA_ns_i_0_a2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un6_bgack_000_0 "state_machine.un6_bgack_000_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_as_030_3_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_0_a3_3 "SM_AMIGA_ns_i_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_i_0_a3_4 "SM_AMIGA_ns_i_0_a3[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_0_a3_5 "SM_AMIGA_ns_0_a3[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -482,10 +489,10 @@ (instance (rename cpu_est_ns_0_0_a3_0_1 "cpu_est_ns_0_0_a3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_ns_i_0_a3_3 "cpu_est_ns_i_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_a3_2 "cpu_est_ns_0_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_102 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) (instance G_108 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_dtack_i_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AS_000_INT_1_sqmuxa_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance I_128 (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename state_machine_un7_as_000_int_0_a3 "state_machine.un7_as_000_int_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -497,12 +504,7 @@ (instance (rename state_machine_un8_clk_000_d2_i "state_machine.un8_clk_000_d2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_i_0_a3_0_1 "SM_AMIGA_ns_i_0_a3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_i_0_a3_2 "SM_AMIGA_ns_i_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_0_a3_3 "SM_AMIGA_ns_i_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RST_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_18 "A_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_24 "A_i[24]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_25 "A_i[25]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_26 "A_i[26]") (viewRef prim (cellRef INV (libraryRef mach))) ) @@ -511,18 +513,16 @@ (instance (rename A_i_29 "A_i[29]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_30 "A_i[30]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_31 "A_i[31]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_18 "A_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance CLK_000_D2_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename state_machine_un7_as_000_int_i "state_machine.un7_as_000_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un12_bg_030_i "state_machine.un12_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un4_clk_cnt_n_i_1 "un4_clk_cnt_n_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance CLK_OSZI_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un2_clk_cnt_p_i_1 "un2_clk_cnt_p_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_r "LDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_70_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_74_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename VPA_SYNC_0_r "VPA_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename VPA_SYNC_0_m "VPA_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename VPA_SYNC_0_n "VPA_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -531,6 +531,10 @@ (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -564,16 +568,15 @@ (instance (rename cpu_estse_2_n "cpu_estse_2.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_estse_2_p "cpu_estse_2.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename state_machine_un23_clk_000_d0 "state_machine.un23_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_000_D5_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un6_clk_000_d4 "state_machine.un6_clk_000_d4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_000_D6_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un6_clk_000_d5 "state_machine.un6_clk_000_d5") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance FPU_CS_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename clk_un12_clk_cnt_p "clk.un12_clk_cnt_p") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_r "AS_030_000_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_m "AS_030_000_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_n "AS_030_000_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_p "AS_030_000_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_72_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_78_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename DTACK_SYNC_0_r "DTACK_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename DTACK_SYNC_0_m "DTACK_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename DTACK_SYNC_0_n "DTACK_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -594,10 +597,14 @@ (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_p "UDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_r "LDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (net BGACK_030_INT (joined (portRef Q (instanceRef BGACK_030_INT)) - (portRef I0 (instanceRef BGACK_030_INT_i)) (portRef I0 (instanceRef BGACK_030_INT_0_n)) + (portRef I0 (instanceRef BGACK_030_INT_i)) (portRef OE (instanceRef AS_000)) (portRef I0 (instanceRef BGACK_030)) (portRef OE (instanceRef LDS_000)) @@ -618,7 +625,7 @@ (net AS_000_INT (joined (portRef Q (instanceRef AS_000_INT)) (portRef I0 (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef N_88_i_0_o2)) + (portRef I0 (instanceRef N_89_i_0_o2)) (portRef I0 (instanceRef AS_000_INT_i)) (portRef I0 (instanceRef AS_000)) )) @@ -646,7 +653,7 @@ (portRef Q (instanceRef CLK_000_D0)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_2)) (portRef I0 (instanceRef clk_un3_clk_000_d1_0_o2)) - (portRef I1 (instanceRef N_88_i_0_o2)) + (portRef I1 (instanceRef N_89_i_0_o2)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_o2_4)) (portRef I0 (instanceRef CLK_000_D0_i)) (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa_1_i_a3_1)) @@ -663,9 +670,9 @@ (portRef I0 (instanceRef CLK_000_D2_i)) (portRef D (instanceRef CLK_000_D3)) )) - (net CLK_000_D5 (joined - (portRef Q (instanceRef CLK_000_D5)) - (portRef I0 (instanceRef CLK_000_D5_i)) + (net CLK_000_D6 (joined + (portRef Q (instanceRef CLK_000_D6)) + (portRef I0 (instanceRef CLK_000_D6_i)) )) (net (rename SM_AMIGA_5 "SM_AMIGA[5]") (joined (portRef Q (instanceRef SM_AMIGA_5)) @@ -727,30 +734,22 @@ (portRef O (instanceRef state_machine_un23_clk_000_d0_i)) (portRef I1 (instanceRef SM_AMIGA_ns_i_0_a2_4)) )) - (net (rename state_machine_un6_clk_000_d4 "state_machine.un6_clk_000_d4") (joined - (portRef O (instanceRef state_machine_un6_clk_000_d4)) + (net (rename state_machine_un6_clk_000_d5 "state_machine.un6_clk_000_d5") (joined + (portRef O (instanceRef state_machine_un6_clk_000_d5)) + (portRef I0 (instanceRef state_machine_un6_clk_000_d5_i)) (portRef I1 (instanceRef un1_DSACK_INT_0_sqmuxa_i_o2_i_o2)) - (portRef I0 (instanceRef state_machine_un6_clk_000_d4_i)) )) - (net CLK_000_D4 (joined - (portRef Q (instanceRef CLK_000_D4)) - (portRef I0 (instanceRef state_machine_un6_clk_000_d4)) - (portRef D (instanceRef CLK_000_D5)) - )) - (net DTACK_DMA (joined - (portRef Q (instanceRef DTACK_DMA)) - (portRef I0 (instanceRef DTACK)) - )) - (net (rename state_machine_un12_bg_030 "state_machine.un12_bg_030") (joined - (portRef O (instanceRef state_machine_un12_bg_030_0_a3)) - (portRef I0 (instanceRef state_machine_un12_bg_030_i)) + (net CLK_000_D5 (joined + (portRef Q (instanceRef CLK_000_D5)) + (portRef I0 (instanceRef state_machine_un6_clk_000_d5)) + (portRef D (instanceRef CLK_000_D6)) )) (net (rename SM_AMIGA_7 "SM_AMIGA[7]") (joined (portRef Q (instanceRef SM_AMIGA_7)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_a3_0_1)) (portRef I0 (instanceRef SM_AMIGA_i_7)) (portRef I0 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_a3_2)) - (portRef I0 (instanceRef state_machine_un12_bg_030_0_a3_1)) + (portRef I0 (instanceRef state_machine_un10_bg_030_0_a3_2)) )) (net (rename SM_AMIGA_3 "SM_AMIGA[3]") (joined (portRef Q (instanceRef SM_AMIGA_3)) @@ -766,10 +765,14 @@ )) (net (rename SM_AMIGA_1 "SM_AMIGA[1]") (joined (portRef Q (instanceRef SM_AMIGA_1)) - (portRef I0 (instanceRef un1_DSACK_INT_0_sqmuxa_i_o2_i_o2)) (portRef I0 (instanceRef SM_AMIGA_i_1)) + (portRef I0 (instanceRef un1_DSACK_INT_0_sqmuxa_i_o2_i_o2)) (portRef I1 (instanceRef SM_AMIGA_ns_0_a3_0_1_7)) )) + (net DTACK_DMA (joined + (portRef Q (instanceRef DTACK_DMA)) + (portRef I0 (instanceRef DTACK)) + )) (net (rename un4_clk_cnt_n_1 "un4_clk_cnt_n[1]") (joined (portRef O (instanceRef G_102)) (portRef I1 (instanceRef clk_un12_clk_cnt_p)) @@ -808,10 +811,19 @@ (portRef I1 (instanceRef SM_AMIGA_ns_0_a3_7)) (portRef I1 (instanceRef SM_AMIGA_ns_0_a3_0_0)) )) + (net (rename state_machine_un10_bg_030 "state_machine.un10_bg_030") (joined + (portRef O (instanceRef state_machine_un10_bg_030_0_i)) + (portRef I1 (instanceRef BG_000_0_m)) + (portRef I0 (instanceRef BG_000_0_r)) + )) (net (rename state_machine_un7_as_000_int "state_machine.un7_as_000_int") (joined (portRef O (instanceRef state_machine_un7_as_000_int_0_a3)) (portRef I0 (instanceRef state_machine_un7_as_000_int_i)) )) + (net CLK_000_D4 (joined + (portRef Q (instanceRef CLK_000_D4)) + (portRef D (instanceRef CLK_000_D5)) + )) (net (rename state_machine_un15_clk_000_d0 "state_machine.un15_clk_000_d0") (joined (portRef O (instanceRef state_machine_un15_clk_000_d0_0_i)) (portRef I1 (instanceRef VMA_INT_0_m)) @@ -870,22 +882,26 @@ (portRef D (instanceRef VMA_INT)) )) (net N_10 (joined + (portRef O (instanceRef BG_000_0_p)) + (portRef D (instanceRef BG_000DFFSH)) + )) + (net N_11 (joined (portRef O (instanceRef BGACK_030_INT_0_p)) (portRef D (instanceRef BGACK_030_INT)) )) - (net N_11 (joined + (net N_12 (joined (portRef O (instanceRef AS_000_INT_0_p)) (portRef D (instanceRef AS_000_INT)) )) - (net N_12 (joined + (net N_13 (joined (portRef O (instanceRef IPL_030_0_0__p)) (portRef D (instanceRef IPL_030DFFSH_0)) )) - (net N_13 (joined + (net N_14 (joined (portRef O (instanceRef IPL_030_0_1__p)) (portRef D (instanceRef IPL_030DFFSH_1)) )) - (net N_14 (joined + (net N_15 (joined (portRef O (instanceRef IPL_030_0_2__p)) (portRef D (instanceRef IPL_030DFFSH_2)) )) @@ -911,17 +927,17 @@ (net (rename cpu_est_1 "cpu_est[1]") (joined (portRef Q (instanceRef cpu_est_1)) (portRef I0 (instanceRef cpu_estse_0_m)) - (portRef I0 (instanceRef cpu_est_ns_0_0_a3_2)) (portRef I0 (instanceRef cpu_est_i_1)) (portRef I0 (instanceRef cpu_est_ns_0_0_a2_1)) + (portRef I0 (instanceRef cpu_est_ns_0_0_a3_2)) )) (net (rename cpu_est_2 "cpu_est[2]") (joined (portRef Q (instanceRef cpu_est_2)) (portRef I0 (instanceRef cpu_estse_1_m)) - (portRef I1 (instanceRef cpu_est_ns_0_0_a3_2)) (portRef I1 (instanceRef cpu_est_ns_i_0_a3_3)) (portRef I0 (instanceRef cpu_est_i_2)) (portRef I0 (instanceRef cpu_est_ns_0_0_a3_1)) + (portRef I1 (instanceRef cpu_est_ns_0_0_a3_2)) (portRef I1 (instanceRef state_machine_un15_clk_000_d0_0_a3_0)) )) (net (rename cpu_est_3 "cpu_est[3]") (joined @@ -957,42 +973,47 @@ (portRef O (instanceRef cpu_est_ns_0_0_i_2)) (portRef I0 (instanceRef cpu_estse_1_n)) )) - (net N_203 (joined + (net N_204 (joined (portRef O (instanceRef un1_as_030_3_i_i)) - (portRef I1 (instanceRef UDS_000_INT_0_m)) - (portRef I0 (instanceRef UDS_000_INT_0_r)) (portRef I1 (instanceRef LDS_000_INT_0_m)) (portRef I0 (instanceRef LDS_000_INT_0_r)) + (portRef I1 (instanceRef UDS_000_INT_0_m)) + (portRef I0 (instanceRef UDS_000_INT_0_r)) )) - (net N_204 (joined + (net N_205 (joined (portRef O (instanceRef VPA_SYNC_1_sqmuxa_1_i_i)) (portRef I1 (instanceRef VPA_SYNC_0_m)) (portRef I0 (instanceRef VPA_SYNC_0_r)) )) - (net N_205 (joined + (net N_206 (joined (portRef O (instanceRef un1_as_030_000_sync8_i_i)) (portRef I1 (instanceRef FPU_CS_INT_0_m)) (portRef I0 (instanceRef FPU_CS_INT_0_r)) )) - (net N_23 (joined + (net N_26 (joined (portRef O (instanceRef DTACK_SYNC_1_sqmuxa_1_i_i)) (portRef I1 (instanceRef DTACK_SYNC_0_m)) (portRef I0 (instanceRef DTACK_SYNC_0_r)) )) - (net N_27 (joined + (net N_30 (joined (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_i)) (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) )) - (net N_47 (joined + (net N_49 (joined (portRef O (instanceRef state_machine_UDS_000_INT_5_0_m2_p)) (portRef I1 (instanceRef state_machine_UDS_000_INT_5_0_o3)) )) - (net N_48 (joined - (portRef O (instanceRef N_88_i_0_o2_i)) + (net N_50 (joined + (portRef O (instanceRef N_89_i_0_o2_i)) (portRef I0 (instanceRef SM_AMIGA_ns_0_a3_7)) )) - (net N_49 (joined + (net N_51 (joined + (portRef O (instanceRef DSACK_INT_1_sqmuxa_i_o3_i)) + (portRef I1 (instanceRef DSACK_INT_0_1__m)) + (portRef I0 (instanceRef DSACK_INT_0_1__r)) + )) + (net N_54 (joined (portRef O (instanceRef clk_un3_clk_000_d1_0_o2_i)) (portRef I1 (instanceRef cpu_estse_2_m)) (portRef I0 (instanceRef cpu_estse_2_r)) @@ -1006,190 +1027,193 @@ (portRef I0 (instanceRef IPL_030_0_1__r)) (portRef I1 (instanceRef IPL_030_0_0__m)) (portRef I0 (instanceRef IPL_030_0_0__r)) - (portRef I1 (instanceRef state_machine_un6_bgack_000_0)) (portRef I0 (instanceRef cpu_estse_0_a3)) + (portRef I1 (instanceRef state_machine_un6_bgack_000_0)) )) - (net N_50 (joined - (portRef O (instanceRef DSACK_INT_1_sqmuxa_i_o3_i)) - (portRef I1 (instanceRef DSACK_INT_0_1__m)) - (portRef I0 (instanceRef DSACK_INT_0_1__r)) - )) - (net N_53 (joined + (net N_55 (joined (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_i)) (portRef I1 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_a3_1)) )) - (net N_54 (joined + (net N_56 (joined (portRef O (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i_o2_i)) (portRef I0 (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i)) )) - (net N_55 (joined + (net N_57 (joined (portRef O (instanceRef un1_DSACK_INT_0_sqmuxa_i_o2_i_o2_i)) (portRef I0 (instanceRef DSACK_INT_0_1__m)) (portRef I1 (instanceRef SM_AMIGA_ns_i_0_a3_6)) (portRef I1 (instanceRef DSACK_INT_1_sqmuxa_i_o3)) )) - (net N_57 (joined + (net N_59 (joined (portRef O (instanceRef cpu_est_ns_i_0_o2_i_3)) (portRef I0 (instanceRef cpu_est_ns_i_0_a3_3)) )) - (net N_58 (joined + (net N_60 (joined (portRef O (instanceRef state_machine_UDS_000_INT_5_0_o3_i)) (portRef I1 (instanceRef un1_as_030_3_i)) )) - (net N_60 (joined + (net N_62 (joined (portRef O (instanceRef SM_AMIGA_ns_i_0_o2_i_1)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_a3_1)) )) - (net N_61 (joined + (net N_63 (joined (portRef O (instanceRef SM_AMIGA_ns_i_0_o2_i_4)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_a3_4)) )) (net N_68 (joined - (portRef O (instanceRef state_machine_un15_clk_000_d0_0_a3)) - (portRef I0 (instanceRef N_68_i)) - )) - (net N_69 (joined - (portRef O (instanceRef state_machine_un15_clk_000_d0_0_a3_0)) - (portRef I0 (instanceRef N_69_i)) + (portRef O (instanceRef un1_dtack_i_a3)) + (portRef OE (instanceRef DTACK)) )) (net N_70 (joined - (portRef O (instanceRef VPA_SYNC_1_sqmuxa_1_i_a3)) + (portRef O (instanceRef state_machine_un10_bg_030_0_a3)) (portRef I0 (instanceRef N_70_i)) )) - (net N_71 (joined - (portRef O (instanceRef un1_as_030_000_sync8_i_a3)) - (portRef I0 (instanceRef N_71_i)) - )) (net N_72 (joined - (portRef O (instanceRef DTACK_SYNC_1_sqmuxa_1_i_a3)) + (portRef O (instanceRef state_machine_un15_clk_000_d0_0_a3)) (portRef I0 (instanceRef N_72_i)) )) (net N_73 (joined - (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_a3)) + (portRef O (instanceRef state_machine_un15_clk_000_d0_0_a3_0)) (portRef I0 (instanceRef N_73_i)) )) - (net N_75 (joined - (portRef O (instanceRef state_machine_LDS_000_INT_5_0_a3)) - (portRef I0 (instanceRef N_75_i)) + (net N_74 (joined + (portRef O (instanceRef VPA_SYNC_1_sqmuxa_1_i_a3)) + (portRef I0 (instanceRef N_74_i)) )) - (net N_77 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_1)) - (portRef I0 (instanceRef N_77_i)) + (net N_76 (joined + (portRef O (instanceRef un1_as_030_000_sync8_i_a3)) + (portRef I0 (instanceRef N_76_i)) )) - (net N_79 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_0_1)) - (portRef I0 (instanceRef N_79_i)) + (net N_78 (joined + (portRef O (instanceRef DTACK_SYNC_1_sqmuxa_1_i_a3)) + (portRef I0 (instanceRef N_78_i)) )) - (net N_81 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_2)) - (portRef I0 (instanceRef N_81_i)) + (net N_80 (joined + (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_a3)) + (portRef I0 (instanceRef N_80_i)) )) (net N_82 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_3)) + (portRef O (instanceRef state_machine_LDS_000_INT_5_0_a3)) (portRef I0 (instanceRef N_82_i)) )) - (net N_84 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_4)) - (portRef I0 (instanceRef N_84_i)) + (net N_83 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_1)) + (portRef I0 (instanceRef N_83_i)) )) (net N_85 (joined - (portRef O (instanceRef SM_AMIGA_ns_0_a3_5)) + (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_0_1)) (portRef I0 (instanceRef N_85_i)) )) (net N_86 (joined - (portRef O (instanceRef SM_AMIGA_ns_0_a3_0_5)) + (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_2)) (portRef I0 (instanceRef N_86_i)) )) - (net N_93 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_6)) - (portRef I0 (instanceRef N_93_i)) + (net N_87 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_3)) + (portRef I0 (instanceRef N_87_i)) )) (net N_94 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_0_6)) + (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_4)) (portRef I0 (instanceRef N_94_i)) )) + (net N_95 (joined + (portRef O (instanceRef SM_AMIGA_ns_0_a3_5)) + (portRef I0 (instanceRef N_95_i)) + )) (net N_214 (joined - (portRef O (instanceRef SM_AMIGA_ns_0_a3_7)) + (portRef O (instanceRef SM_AMIGA_ns_0_a3_0_5)) (portRef I0 (instanceRef N_214_i)) )) (net N_215 (joined - (portRef O (instanceRef SM_AMIGA_ns_0_a3_0_7)) + (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_6)) (portRef I0 (instanceRef N_215_i)) )) (net N_216 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a3_1)) + (portRef O (instanceRef SM_AMIGA_ns_i_0_a3_0_6)) (portRef I0 (instanceRef N_216_i)) )) - (net N_217 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a3_0_1)) - (portRef I0 (instanceRef N_217_i)) + (net N_219 (joined + (portRef O (instanceRef SM_AMIGA_ns_0_a3_7)) + (portRef I0 (instanceRef N_219_i)) )) (net N_220 (joined - (portRef O (instanceRef cpu_est_ns_i_0_a3_3)) + (portRef O (instanceRef SM_AMIGA_ns_0_a3_0_7)) (portRef I0 (instanceRef N_220_i)) )) (net N_221 (joined - (portRef O (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i_a3)) + (portRef O (instanceRef cpu_est_ns_0_0_a3_1)) (portRef I0 (instanceRef N_221_i)) )) (net N_222 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a3_2)) + (portRef O (instanceRef cpu_est_ns_0_0_a3_0_1)) (portRef I0 (instanceRef N_222_i)) )) - (net N_223 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a3_0_2)) - (portRef I0 (instanceRef N_223_i)) - )) (net N_225 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a3_1_2)) + (portRef O (instanceRef cpu_est_ns_i_0_a3_3)) (portRef I0 (instanceRef N_225_i)) )) (net N_226 (joined - (portRef O (instanceRef SM_AMIGA_ns_0_a3_0)) + (portRef O (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i_a3)) (portRef I0 (instanceRef N_226_i)) )) (net N_227 (joined - (portRef O (instanceRef SM_AMIGA_ns_0_a3_0_0)) + (portRef O (instanceRef cpu_est_ns_0_0_a3_2)) (portRef I0 (instanceRef N_227_i)) )) + (net N_228 (joined + (portRef O (instanceRef cpu_est_ns_0_0_a3_0_2)) + (portRef I0 (instanceRef N_228_i)) + )) (net N_122 (joined - (portRef O (instanceRef cpu_estse_0_a3)) + (portRef O (instanceRef cpu_est_ns_0_0_a3_1_2)) (portRef I0 (instanceRef N_122_i)) )) (net N_123 (joined - (portRef O (instanceRef cpu_estse_0_a3_0)) + (portRef O (instanceRef SM_AMIGA_ns_0_a3_0)) (portRef I0 (instanceRef N_123_i)) )) + (net N_124 (joined + (portRef O (instanceRef SM_AMIGA_ns_0_a3_0_0)) + (portRef I0 (instanceRef N_124_i)) + )) + (net N_125 (joined + (portRef O (instanceRef cpu_estse_0_a3)) + (portRef I0 (instanceRef N_125_i)) + )) (net N_126 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a2_1)) - (portRef I0 (instanceRef cpu_est_ns_i_0_a2_3)) + (portRef O (instanceRef cpu_estse_0_a3_0)) (portRef I0 (instanceRef N_126_i)) )) - (net N_127 (joined - (portRef O (instanceRef cpu_est_ns_i_0_a2_3)) - (portRef I0 (instanceRef N_127_i)) - (portRef I1 (instanceRef state_machine_un15_clk_000_d0_0_a3_0_1)) - )) - (net N_128 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a2_0_1)) - (portRef I0 (instanceRef N_128_i)) - (portRef I1 (instanceRef state_machine_un15_clk_000_d0_0_a3_1)) - )) (net N_129 (joined - (portRef O (instanceRef SM_AMIGA_ns_0_a2_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_0_a3_0)) + (portRef O (instanceRef cpu_est_ns_0_0_a2_1)) + (portRef I0 (instanceRef cpu_est_ns_i_0_a2_3)) (portRef I0 (instanceRef N_129_i)) )) (net N_130 (joined + (portRef O (instanceRef cpu_est_ns_i_0_a2_3)) + (portRef I0 (instanceRef N_130_i)) + (portRef I1 (instanceRef state_machine_un15_clk_000_d0_0_a3_0_1)) + )) + (net N_131 (joined + (portRef O (instanceRef cpu_est_ns_0_0_a2_0_1)) + (portRef I0 (instanceRef N_131_i)) + (portRef I1 (instanceRef state_machine_un15_clk_000_d0_0_a3_1)) + )) + (net N_132 (joined + (portRef O (instanceRef SM_AMIGA_ns_0_a2_0)) + (portRef I1 (instanceRef SM_AMIGA_ns_0_a3_0)) + (portRef I0 (instanceRef N_132_i)) + )) + (net N_133 (joined (portRef O (instanceRef SM_AMIGA_ns_i_0_a2_4)) (portRef I0 (instanceRef SM_AMIGA_ns_0_a3_0_5)) - (portRef I0 (instanceRef N_130_i)) + (portRef I0 (instanceRef N_133_i)) )) - (net N_238 (joined + (net N_236 (joined (portRef O (instanceRef un8_ciin)) (portRef OE (instanceRef CIIN)) )) - (net N_241 (joined + (net N_239 (joined (portRef O (instanceRef un4_ciin)) (portRef I0 (instanceRef CIIN)) )) @@ -1210,10 +1234,6 @@ (portRef O (instanceRef I_127)) (portRef I1 (instanceRef DTACK_SYNC_1_sqmuxa_1_i_a3_1)) )) - (net BG_030_i (joined - (portRef O (instanceRef BG_030_i)) - (portRef I1 (instanceRef state_machine_un12_bg_030_0_a3_2)) - )) (net CLK_000_D0_i (joined (portRef O (instanceRef CLK_000_D0_i)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_a3_6)) @@ -1222,8 +1242,8 @@ (portRef I0 (instanceRef SM_AMIGA_ns_0_a3_0)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_3)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_o2_1)) - (portRef I0 (instanceRef state_machine_un15_clk_000_d0_0_a3_1)) (portRef I0 (instanceRef SM_AMIGA_ns_0_a3_0_1_7)) + (portRef I0 (instanceRef state_machine_un15_clk_000_d0_0_a3_1)) )) (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined (portRef O (instanceRef SM_AMIGA_i_4)) @@ -1238,8 +1258,8 @@ (portRef O (instanceRef SM_AMIGA_i_1)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_a3_0_6)) )) - (net (rename state_machine_un6_clk_000_d4_i "state_machine.un6_clk_000_d4_i") (joined - (portRef O (instanceRef state_machine_un6_clk_000_d4_i)) + (net (rename state_machine_un6_clk_000_d5_i "state_machine.un6_clk_000_d5_i") (joined + (portRef O (instanceRef state_machine_un6_clk_000_d5_i)) (portRef I1 (instanceRef SM_AMIGA_ns_0_a3_0_7)) )) (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined @@ -1249,6 +1269,7 @@ )) (net nEXP_SPACE_i (joined (portRef O (instanceRef nEXP_SPACE_i)) + (portRef I1 (instanceRef un1_dtack_i_a3)) (portRef I1 (instanceRef SM_AMIGA_ns_0_a2_0)) )) (net AS_000_INT_i (joined @@ -1274,10 +1295,10 @@ (net AS_030_i (joined (portRef O (instanceRef AS_030_i)) (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_0_a3)) - (portRef I0 (instanceRef un1_as_030_3_i)) (portRef I0 (instanceRef DTACK_SYNC_1_sqmuxa_1_i)) (portRef I0 (instanceRef un1_as_030_000_sync8_i)) (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa_1_i)) + (portRef I0 (instanceRef un1_as_030_3_i)) (portRef I0 (instanceRef DSACK_INT_1_sqmuxa_i_o3)) (portRef I1 (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i_a3_1)) )) @@ -1296,9 +1317,9 @@ (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined (portRef O (instanceRef SM_AMIGA_i_5)) (portRef I0 (instanceRef AS_000_INT_0_n)) - (portRef I1 (instanceRef SM_AMIGA_ns_i_0_a3_3)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_a3_2)) (portRef I1 (instanceRef AS_000_INT_1_sqmuxa_0_a3)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_0_a3_3)) )) (net (rename state_machine_un8_clk_000_d2_i "state_machine.un8_clk_000_d2_i") (joined (portRef O (instanceRef state_machine_un8_clk_000_d2_i)) @@ -1321,6 +1342,10 @@ (portRef O (instanceRef I_128)) (portRef I1 (instanceRef state_machine_un7_as_000_int_0_a3)) )) + (net BGACK_030_INT_i (joined + (portRef O (instanceRef BGACK_030_INT_i)) + (portRef I0 (instanceRef un1_dtack_i_a3)) + )) (net CLK_000_D2_i (joined (portRef O (instanceRef CLK_000_D2_i)) (portRef I1 (instanceRef state_machine_un8_clk_000_d2)) @@ -1329,6 +1354,18 @@ (portRef O (instanceRef AS_030_000_SYNC_i)) (portRef I1 (instanceRef state_machine_un8_clk_000_d2_1)) )) + (net (rename A_i_19 "A_i[19]") (joined + (portRef O (instanceRef A_i_19)) + (portRef I0 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_3)) + )) + (net (rename A_i_16 "A_i[16]") (joined + (portRef O (instanceRef A_i_16)) + (portRef I1 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_3)) + )) + (net (rename A_i_18 "A_i[18]") (joined + (portRef O (instanceRef A_i_18)) + (portRef I1 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_5)) + )) (net (rename A_i_30 "A_i[30]") (joined (portRef O (instanceRef A_i_30)) (portRef I0 (instanceRef un8_ciin_4)) @@ -1361,18 +1398,6 @@ (portRef O (instanceRef A_i_25)) (portRef I1 (instanceRef un8_ciin_1)) )) - (net (rename A_i_19 "A_i[19]") (joined - (portRef O (instanceRef A_i_19)) - (portRef I0 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_3)) - )) - (net (rename A_i_16 "A_i[16]") (joined - (portRef O (instanceRef A_i_16)) - (portRef I1 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_3)) - )) - (net (rename A_i_18 "A_i[18]") (joined - (portRef O (instanceRef A_i_18)) - (portRef I1 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_5)) - )) (net RST_i (joined (portRef O (instanceRef RST_i)) (portRef S (instanceRef AS_000_INT)) @@ -1385,8 +1410,9 @@ (portRef S (instanceRef CLK_000_D3)) (portRef S (instanceRef CLK_000_D4)) (portRef S (instanceRef CLK_000_D5)) + (portRef S (instanceRef CLK_000_D6)) (portRef R (instanceRef CLK_CNT_N_0)) - (portRef R (instanceRef CLK_CNT_N_1)) + (portRef S (instanceRef CLK_CNT_N_1)) (portRef R (instanceRef CLK_CNT_P_0)) (portRef R (instanceRef CLK_CNT_P_1)) (portRef R (instanceRef CLK_OUT_INT)) @@ -1417,10 +1443,6 @@ (portRef R (instanceRef cpu_est_2)) (portRef R (instanceRef cpu_est_3)) )) - (net (rename state_machine_un12_bg_030_i "state_machine.un12_bg_030_i") (joined - (portRef O (instanceRef state_machine_un12_bg_030_i)) - (portRef D (instanceRef BG_000DFFSH)) - )) (net (rename state_machine_un7_as_000_int_i "state_machine.un7_as_000_int_i") (joined (portRef O (instanceRef state_machine_un7_as_000_int_i)) (portRef D (instanceRef DTACK_DMA)) @@ -1438,13 +1460,13 @@ (portRef O (instanceRef un4_clk_cnt_n_i_1)) (portRef D (instanceRef CLK_CNT_N_0)) )) - (net N_70_i (joined - (portRef O (instanceRef N_70_i)) + (net N_74_i (joined + (portRef O (instanceRef N_74_i)) (portRef I0 (instanceRef VPA_SYNC_0_m)) (portRef I1 (instanceRef VPA_SYNC_1_sqmuxa_1_i)) )) - (net N_72_i (joined - (portRef O (instanceRef N_72_i)) + (net N_78_i (joined + (portRef O (instanceRef N_78_i)) (portRef I0 (instanceRef DTACK_SYNC_0_m)) (portRef I1 (instanceRef DTACK_SYNC_1_sqmuxa_1_i)) )) @@ -1453,19 +1475,15 @@ (portRef OE (instanceRef AVEC_EXP)) (portRef OE (instanceRef BERR)) )) - (net BGACK_030_INT_i (joined - (portRef O (instanceRef BGACK_030_INT_i)) - (portRef OE (instanceRef DTACK)) - )) - (net CLK_000_D5_i (joined - (portRef O (instanceRef CLK_000_D5_i)) - (portRef I1 (instanceRef state_machine_un6_clk_000_d4)) + (net CLK_000_D6_i (joined + (portRef O (instanceRef CLK_000_D6_i)) + (portRef I1 (instanceRef state_machine_un6_clk_000_d5)) )) (net AS_030_c (joined (portRef O (instanceRef AS_030)) (portRef I0 (instanceRef FPU_CS_INT_0_m)) (portRef I0 (instanceRef AS_030_i)) - (portRef I0 (instanceRef state_machine_un12_bg_030_0_a3_2)) + (portRef I0 (instanceRef state_machine_un10_bg_030_0_a3_1)) )) (net AS_030 (joined (portRef AS_030) @@ -1693,7 +1711,7 @@ (portRef I1 (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i_o2)) (portRef I0 (instanceRef nEXP_SPACE_i)) (portRef I1 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_a3_2)) - (portRef I1 (instanceRef state_machine_un12_bg_030_0_a3_1)) + (portRef I1 (instanceRef state_machine_un10_bg_030_0_a3_2)) (portRef OE (instanceRef DSACK_0)) (portRef OE (instanceRef DSACK_1)) )) @@ -1707,7 +1725,8 @@ )) (net BG_030_c (joined (portRef O (instanceRef BG_030)) - (portRef I0 (instanceRef BG_030_i)) + (portRef I0 (instanceRef BG_000_0_m)) + (portRef I0 (instanceRef BG_030_c_i)) )) (net BG_030 (joined (portRef BG_030) @@ -1715,6 +1734,7 @@ )) (net BG_000_c (joined (portRef Q (instanceRef BG_000DFFSH)) + (portRef I0 (instanceRef BG_000_0_n)) (portRef I0 (instanceRef BG_000)) )) (net BG_000 (joined @@ -1746,6 +1766,7 @@ )) (net CLK_000_c (joined (portRef O (instanceRef CLK_000)) + (portRef I1 (instanceRef state_machine_un10_bg_030_0_a3_1)) (portRef D (instanceRef CLK_000_D0)) )) (net CLK_000 (joined @@ -1766,6 +1787,7 @@ (portRef CLK (instanceRef CLK_000_D3)) (portRef CLK (instanceRef CLK_000_D4)) (portRef CLK (instanceRef CLK_000_D5)) + (portRef CLK (instanceRef CLK_000_D6)) (portRef CLK (instanceRef CLK_CNT_P_0)) (portRef CLK (instanceRef CLK_CNT_P_1)) (portRef CLK (instanceRef CLK_OUT_INT)) @@ -1806,7 +1828,6 @@ )) (net CLK_EXP_c (joined (portRef Q (instanceRef CLK_OUT_INT)) - (portRef I0 (instanceRef state_machine_un12_bg_030_0_a3_3)) (portRef I0 (instanceRef CLK_DIV_OUT)) (portRef I0 (instanceRef CLK_EXP)) )) @@ -1983,32 +2004,32 @@ (portRef O (instanceRef cpu_est_ns_0_0_1)) (portRef I0 (instanceRef cpu_est_ns_0_0_i_1)) )) - (net N_126_i (joined - (portRef O (instanceRef N_126_i)) + (net N_129_i (joined + (portRef O (instanceRef N_129_i)) (portRef I0 (instanceRef cpu_est_ns_0_0_1_1)) )) - (net N_128_i (joined - (portRef O (instanceRef N_128_i)) + (net N_131_i (joined + (portRef O (instanceRef N_131_i)) (portRef I1 (instanceRef cpu_est_ns_0_0_1_1)) )) - (net N_216_i (joined - (portRef O (instanceRef N_216_i)) + (net N_221_i (joined + (portRef O (instanceRef N_221_i)) (portRef I0 (instanceRef cpu_est_ns_0_0_2_1)) )) - (net N_217_i (joined - (portRef O (instanceRef N_217_i)) + (net N_222_i (joined + (portRef O (instanceRef N_222_i)) (portRef I1 (instanceRef cpu_est_ns_0_0_2_1)) )) - (net N_61_0 (joined + (net N_63_0 (joined (portRef O (instanceRef SM_AMIGA_ns_i_0_o2_4)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_o2_i_4)) )) - (net N_60_0 (joined + (net N_62_0 (joined (portRef O (instanceRef SM_AMIGA_ns_i_0_o2_1)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_o2_i_1)) )) - (net N_129_i (joined - (portRef O (instanceRef N_129_i)) + (net N_132_i (joined + (portRef O (instanceRef N_132_i)) (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0_o3)) )) (net un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0 (joined @@ -2020,192 +2041,191 @@ (portRef O (instanceRef DS_030_c_i)) (portRef I0 (instanceRef state_machine_UDS_000_INT_5_0_o3)) )) - (net N_58_i (joined + (net N_60_i (joined (portRef O (instanceRef state_machine_UDS_000_INT_5_0_o3)) (portRef I0 (instanceRef state_machine_LDS_000_INT_5_0)) (portRef I1 (instanceRef state_machine_UDS_000_INT_5_0)) (portRef I0 (instanceRef state_machine_UDS_000_INT_5_0_o3_i)) )) - (net N_57_i (joined + (net N_59_i (joined (portRef O (instanceRef cpu_est_ns_i_0_o2_3)) (portRef I0 (instanceRef cpu_est_ns_i_0_o2_i_3)) (portRef I1 (instanceRef VPA_SYNC_1_sqmuxa_1_i_a3_1)) )) - (net N_55_i (joined + (net N_57_i (joined (portRef O (instanceRef un1_DSACK_INT_0_sqmuxa_i_o2_i_o2)) (portRef I0 (instanceRef un1_DSACK_INT_0_sqmuxa_i_o2_i_o2_i)) )) - (net N_54_i (joined + (net N_56_i (joined (portRef O (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i_o2)) (portRef I1 (instanceRef SM_AMIGA_ns_i_0_o2_1)) (portRef I0 (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i_o2_i)) )) - (net N_53_i (joined + (net N_55_i (joined (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2)) (portRef I1 (instanceRef un1_as_030_000_sync8_i_a3)) (portRef I0 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_i)) )) - (net N_50_i (joined - (portRef O (instanceRef DSACK_INT_1_sqmuxa_i_o3)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0_o3)) - (portRef I0 (instanceRef DSACK_INT_1_sqmuxa_i_o3_i)) - )) (net CLK_000_D1_i (joined (portRef O (instanceRef CLK_000_D1_i)) (portRef I1 (instanceRef clk_un3_clk_000_d1_0_o2)) )) - (net N_49_i (joined + (net N_54_i (joined (portRef O (instanceRef clk_un3_clk_000_d1_0_o2)) (portRef I0 (instanceRef cpu_estse_0_a3_0)) (portRef I0 (instanceRef clk_un3_clk_000_d1_0_o2_i)) - (portRef I1 (instanceRef state_machine_un12_bg_030_0_a3_3)) )) - (net N_48_i (joined - (portRef O (instanceRef N_88_i_0_o2)) + (net N_51_i (joined + (portRef O (instanceRef DSACK_INT_1_sqmuxa_i_o3)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_1_sqmuxa_2_0_o3)) + (portRef I0 (instanceRef DSACK_INT_1_sqmuxa_i_o3_i)) + )) + (net N_50_i (joined + (portRef O (instanceRef N_89_i_0_o2)) (portRef I0 (instanceRef VMA_INT_0_m)) (portRef I0 (instanceRef SM_AMIGA_ns_0_a3_0_0)) - (portRef I0 (instanceRef N_88_i_0_o2_i)) + (portRef I0 (instanceRef N_89_i_0_o2_i)) (portRef I0 (instanceRef state_machine_un15_clk_000_d0_0_a3_0_1)) )) - (net N_122_i (joined - (portRef O (instanceRef N_122_i)) + (net N_125_i (joined + (portRef O (instanceRef N_125_i)) (portRef I0 (instanceRef cpu_estse_0_0)) )) - (net N_123_i (joined - (portRef O (instanceRef N_123_i)) + (net N_126_i (joined + (portRef O (instanceRef N_126_i)) (portRef I1 (instanceRef cpu_estse_0_0)) )) (net (rename cpu_est_ns_e_0_0 "cpu_est_ns_e_0[0]") (joined (portRef O (instanceRef cpu_estse_0_0)) (portRef I0 (instanceRef cpu_estse_0_0_i)) )) - (net N_79_i (joined - (portRef O (instanceRef N_79_i)) + (net N_85_i (joined + (portRef O (instanceRef N_85_i)) (portRef I1 (instanceRef SM_AMIGA_ns_i_0_1)) (portRef I1 (instanceRef SM_AMIGA_ns_0_1_0)) )) - (net N_226_i (joined - (portRef O (instanceRef N_226_i)) + (net N_123_i (joined + (portRef O (instanceRef N_123_i)) (portRef I1 (instanceRef SM_AMIGA_ns_0_0)) )) - (net N_227_i (joined - (portRef O (instanceRef N_227_i)) + (net N_124_i (joined + (portRef O (instanceRef N_124_i)) (portRef I0 (instanceRef SM_AMIGA_ns_0_1_0)) )) (net (rename SM_AMIGA_ns_0_0 "SM_AMIGA_ns_0[0]") (joined (portRef O (instanceRef SM_AMIGA_ns_0_0)) (portRef I0 (instanceRef SM_AMIGA_ns_0_i_0)) )) - (net N_222_i (joined - (portRef O (instanceRef N_222_i)) + (net N_122_i (joined + (portRef O (instanceRef N_122_i)) (portRef I1 (instanceRef cpu_est_ns_0_0_1_2)) )) - (net N_223_i (joined - (portRef O (instanceRef N_223_i)) + (net N_227_i (joined + (portRef O (instanceRef N_227_i)) (portRef I1 (instanceRef cpu_est_ns_0_0_2)) )) - (net N_225_i (joined - (portRef O (instanceRef N_225_i)) + (net N_228_i (joined + (portRef O (instanceRef N_228_i)) (portRef I0 (instanceRef cpu_est_ns_0_0_1_2)) )) (net (rename cpu_est_ns_0_2 "cpu_est_ns_0[2]") (joined (portRef O (instanceRef cpu_est_ns_0_0_2)) (portRef I0 (instanceRef cpu_est_ns_0_0_i_2)) )) - (net N_221_i (joined - (portRef O (instanceRef N_221_i)) + (net N_226_i (joined + (portRef O (instanceRef N_226_i)) (portRef I1 (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i)) )) - (net N_41_i (joined + (net N_44_i (joined (portRef O (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_0_m)) )) - (net N_127_i (joined - (portRef O (instanceRef N_127_i)) + (net N_130_i (joined + (portRef O (instanceRef N_130_i)) (portRef I0 (instanceRef cpu_est_ns_i_0_3)) )) - (net N_220_i (joined - (portRef O (instanceRef N_220_i)) + (net N_225_i (joined + (portRef O (instanceRef N_225_i)) (portRef I1 (instanceRef cpu_est_ns_i_0_3)) )) - (net N_157_i (joined + (net N_158_i (joined (portRef O (instanceRef cpu_est_ns_i_0_3)) (portRef I0 (instanceRef cpu_estse_2_n)) )) - (net N_214_i (joined - (portRef O (instanceRef N_214_i)) + (net N_219_i (joined + (portRef O (instanceRef N_219_i)) (portRef I0 (instanceRef SM_AMIGA_ns_0_7)) )) - (net N_215_i (joined - (portRef O (instanceRef N_215_i)) + (net N_220_i (joined + (portRef O (instanceRef N_220_i)) (portRef I1 (instanceRef SM_AMIGA_ns_0_7)) )) (net (rename SM_AMIGA_ns_0_7 "SM_AMIGA_ns_0[7]") (joined (portRef O (instanceRef SM_AMIGA_ns_0_7)) (portRef I0 (instanceRef SM_AMIGA_ns_0_i_7)) )) - (net N_93_i (joined - (portRef O (instanceRef N_93_i)) + (net N_215_i (joined + (portRef O (instanceRef N_215_i)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_6)) )) - (net N_94_i (joined - (portRef O (instanceRef N_94_i)) + (net N_216_i (joined + (portRef O (instanceRef N_216_i)) (portRef I1 (instanceRef SM_AMIGA_ns_i_0_6)) )) - (net N_83_i (joined + (net N_84_i (joined (portRef O (instanceRef SM_AMIGA_ns_i_0_6)) (portRef D (instanceRef SM_AMIGA_1)) )) - (net N_85_i (joined - (portRef O (instanceRef N_85_i)) + (net N_95_i (joined + (portRef O (instanceRef N_95_i)) (portRef I0 (instanceRef SM_AMIGA_ns_0_5)) )) - (net N_86_i (joined - (portRef O (instanceRef N_86_i)) + (net N_214_i (joined + (portRef O (instanceRef N_214_i)) (portRef I1 (instanceRef SM_AMIGA_ns_0_5)) )) (net (rename SM_AMIGA_ns_0_5 "SM_AMIGA_ns_0[5]") (joined (portRef O (instanceRef SM_AMIGA_ns_0_5)) (portRef I0 (instanceRef SM_AMIGA_ns_0_i_5)) )) - (net N_84_i (joined - (portRef O (instanceRef N_84_i)) + (net N_94_i (joined + (portRef O (instanceRef N_94_i)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_4)) )) - (net N_130_i (joined - (portRef O (instanceRef N_130_i)) + (net N_133_i (joined + (portRef O (instanceRef N_133_i)) (portRef I1 (instanceRef SM_AMIGA_ns_i_0_4)) )) - (net N_80_i (joined + (net N_81_i (joined (portRef O (instanceRef SM_AMIGA_ns_i_0_4)) (portRef D (instanceRef SM_AMIGA_3)) )) - (net N_82_i (joined - (portRef O (instanceRef N_82_i)) + (net N_87_i (joined + (portRef O (instanceRef N_87_i)) (portRef I1 (instanceRef SM_AMIGA_ns_i_0_3)) )) - (net N_78_i (joined + (net N_79_i (joined (portRef O (instanceRef SM_AMIGA_ns_i_0_3)) (portRef D (instanceRef SM_AMIGA_4)) )) - (net N_81_i (joined - (portRef O (instanceRef N_81_i)) + (net N_86_i (joined + (portRef O (instanceRef N_86_i)) (portRef I1 (instanceRef SM_AMIGA_ns_i_0_2)) )) - (net N_76_i (joined + (net N_77_i (joined (portRef O (instanceRef SM_AMIGA_ns_i_0_2)) (portRef D (instanceRef SM_AMIGA_5)) )) - (net N_77_i (joined - (portRef O (instanceRef N_77_i)) + (net N_83_i (joined + (portRef O (instanceRef N_83_i)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0_1)) )) - (net N_74_i (joined + (net N_75_i (joined (portRef O (instanceRef SM_AMIGA_ns_i_0_1)) (portRef D (instanceRef SM_AMIGA_6)) )) - (net N_75_i (joined - (portRef O (instanceRef N_75_i)) + (net N_82_i (joined + (portRef O (instanceRef N_82_i)) (portRef I1 (instanceRef state_machine_LDS_000_INT_5_0)) )) (net (rename state_machine_LDS_000_INT_5_0 "state_machine.LDS_000_INT_5_0") (joined @@ -2216,46 +2236,58 @@ (portRef O (instanceRef state_machine_UDS_000_INT_5_0)) (portRef I0 (instanceRef state_machine_UDS_000_INT_5_0_i)) )) - (net N_73_i (joined - (portRef O (instanceRef N_73_i)) + (net N_80_i (joined + (portRef O (instanceRef N_80_i)) (portRef I0 (instanceRef AS_030_000_SYNC_1_sqmuxa_i)) )) - (net N_27_0 (joined + (net N_30_0 (joined (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i)) (portRef I0 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_i)) )) - (net N_23_0 (joined + (net N_26_0 (joined (portRef O (instanceRef DTACK_SYNC_1_sqmuxa_1_i)) (portRef I0 (instanceRef DTACK_SYNC_1_sqmuxa_1_i_i)) )) - (net N_71_i (joined - (portRef O (instanceRef N_71_i)) + (net N_76_i (joined + (portRef O (instanceRef N_76_i)) (portRef I1 (instanceRef un1_as_030_000_sync8_i)) )) - (net N_205_0 (joined + (net N_206_0 (joined (portRef O (instanceRef un1_as_030_000_sync8_i)) (portRef I0 (instanceRef un1_as_030_000_sync8_i_i)) )) - (net N_204_0 (joined + (net N_205_0 (joined (portRef O (instanceRef VPA_SYNC_1_sqmuxa_1_i)) (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa_1_i_i)) )) - (net N_68_i (joined - (portRef O (instanceRef N_68_i)) + (net N_72_i (joined + (portRef O (instanceRef N_72_i)) (portRef I0 (instanceRef state_machine_un15_clk_000_d0_0)) )) - (net N_69_i (joined - (portRef O (instanceRef N_69_i)) + (net N_73_i (joined + (portRef O (instanceRef N_73_i)) (portRef I1 (instanceRef state_machine_un15_clk_000_d0_0)) )) (net (rename state_machine_un15_clk_000_d0_0 "state_machine.un15_clk_000_d0_0") (joined (portRef O (instanceRef state_machine_un15_clk_000_d0_0)) (portRef I0 (instanceRef state_machine_un15_clk_000_d0_0_i)) )) - (net N_203_0 (joined + (net N_204_0 (joined (portRef O (instanceRef un1_as_030_3_i)) (portRef I0 (instanceRef un1_as_030_3_i_i)) )) + (net BG_030_c_i (joined + (portRef O (instanceRef BG_030_c_i)) + (portRef I0 (instanceRef state_machine_un10_bg_030_0)) + )) + (net N_70_i (joined + (portRef O (instanceRef N_70_i)) + (portRef I1 (instanceRef state_machine_un10_bg_030_0)) + )) + (net (rename state_machine_un10_bg_030_0 "state_machine.un10_bg_030_0") (joined + (portRef O (instanceRef state_machine_un10_bg_030_0)) + (portRef I0 (instanceRef state_machine_un10_bg_030_0_i)) + )) (net (rename state_machine_un6_bgack_000_0 "state_machine.un6_bgack_000_0") (joined (portRef O (instanceRef state_machine_un6_bgack_000_0)) (portRef I0 (instanceRef state_machine_un6_bgack_000_0_i)) @@ -2268,35 +2300,35 @@ (portRef O (instanceRef state_machine_un23_clk_000_d0)) (portRef I0 (instanceRef state_machine_un23_clk_000_d0_i)) )) - (net N_238_1 (joined + (net N_236_1 (joined (portRef O (instanceRef un8_ciin_1)) (portRef I0 (instanceRef un8_ciin_5)) )) - (net N_238_2 (joined + (net N_236_2 (joined (portRef O (instanceRef un8_ciin_2)) (portRef I1 (instanceRef un8_ciin_5)) )) - (net N_238_3 (joined + (net N_236_3 (joined (portRef O (instanceRef un8_ciin_3)) (portRef I0 (instanceRef un8_ciin_6)) )) - (net N_238_4 (joined + (net N_236_4 (joined (portRef O (instanceRef un8_ciin_4)) (portRef I1 (instanceRef un8_ciin_6)) )) - (net N_238_5 (joined + (net N_236_5 (joined (portRef O (instanceRef un8_ciin_5)) (portRef I0 (instanceRef un8_ciin)) )) - (net N_238_6 (joined + (net N_236_6 (joined (portRef O (instanceRef un8_ciin_6)) (portRef I1 (instanceRef un8_ciin)) )) - (net N_241_1 (joined + (net N_239_1 (joined (portRef O (instanceRef un4_ciin_1)) (portRef I0 (instanceRef un4_ciin)) )) - (net N_241_2 (joined + (net N_239_2 (joined (portRef O (instanceRef un4_ciin_2)) (portRef I1 (instanceRef un4_ciin)) )) @@ -2304,23 +2336,23 @@ (portRef O (instanceRef state_machine_un8_clk_000_d2_1)) (portRef I0 (instanceRef state_machine_un8_clk_000_d2)) )) - (net N_53_i_1 (joined + (net N_55_i_1 (joined (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_1)) (portRef I0 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_4)) )) - (net N_53_i_2 (joined + (net N_55_i_2 (joined (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_2)) (portRef I1 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_4)) )) - (net N_53_i_3 (joined + (net N_55_i_3 (joined (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_3)) (portRef I0 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_5)) )) - (net N_53_i_4 (joined + (net N_55_i_4 (joined (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_4)) (portRef I0 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2)) )) - (net N_53_i_5 (joined + (net N_55_i_5 (joined (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2_5)) (portRef I1 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_o2)) )) @@ -2332,50 +2364,42 @@ (portRef O (instanceRef cpu_est_ns_0_0_2_1)) (portRef I1 (instanceRef cpu_est_ns_0_0_1)) )) - (net (rename state_machine_un12_bg_030_1 "state_machine.un12_bg_030_1") (joined - (portRef O (instanceRef state_machine_un12_bg_030_0_a3_1)) - (portRef I0 (instanceRef state_machine_un12_bg_030_0_a3_4)) - )) - (net (rename state_machine_un12_bg_030_2 "state_machine.un12_bg_030_2") (joined - (portRef O (instanceRef state_machine_un12_bg_030_0_a3_2)) - (portRef I1 (instanceRef state_machine_un12_bg_030_0_a3_4)) - )) - (net (rename state_machine_un12_bg_030_3 "state_machine.un12_bg_030_3") (joined - (portRef O (instanceRef state_machine_un12_bg_030_0_a3_3)) - (portRef I1 (instanceRef state_machine_un12_bg_030_0_a3)) - )) - (net (rename state_machine_un12_bg_030_4 "state_machine.un12_bg_030_4") (joined - (portRef O (instanceRef state_machine_un12_bg_030_0_a3_4)) - (portRef I0 (instanceRef state_machine_un12_bg_030_0_a3)) - )) - (net N_73_1 (joined + (net N_80_1 (joined (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_a3_1)) (portRef I0 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_a3)) )) - (net N_73_2 (joined + (net N_80_2 (joined (portRef O (instanceRef AS_030_000_SYNC_1_sqmuxa_i_a3_2)) (portRef I1 (instanceRef AS_030_000_SYNC_1_sqmuxa_i_a3)) )) - (net N_72_1 (joined + (net N_78_1 (joined (portRef O (instanceRef DTACK_SYNC_1_sqmuxa_1_i_a3_1)) (portRef I0 (instanceRef DTACK_SYNC_1_sqmuxa_1_i_a3)) )) - (net N_72_2 (joined + (net N_78_2 (joined (portRef O (instanceRef DTACK_SYNC_1_sqmuxa_1_i_a3_2)) (portRef I1 (instanceRef DTACK_SYNC_1_sqmuxa_1_i_a3)) )) - (net N_70_1 (joined + (net N_74_1 (joined (portRef O (instanceRef VPA_SYNC_1_sqmuxa_1_i_a3_1)) (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa_1_i_a3_3)) )) - (net N_70_2 (joined + (net N_74_2 (joined (portRef O (instanceRef VPA_SYNC_1_sqmuxa_1_i_a3_2)) (portRef I1 (instanceRef VPA_SYNC_1_sqmuxa_1_i_a3_3)) )) - (net N_70_3 (joined + (net N_74_3 (joined (portRef O (instanceRef VPA_SYNC_1_sqmuxa_1_i_a3_3)) (portRef I0 (instanceRef VPA_SYNC_1_sqmuxa_1_i_a3)) )) + (net N_70_1 (joined + (portRef O (instanceRef state_machine_un10_bg_030_0_a3_1)) + (portRef I0 (instanceRef state_machine_un10_bg_030_0_a3)) + )) + (net N_70_2 (joined + (portRef O (instanceRef state_machine_un10_bg_030_0_a3_2)) + (portRef I1 (instanceRef state_machine_un10_bg_030_0_a3)) + )) (net (rename SM_AMIGA_ns_0_1_0 "SM_AMIGA_ns_0_1[0]") (joined (portRef O (instanceRef SM_AMIGA_ns_0_1_0)) (portRef I0 (instanceRef SM_AMIGA_ns_0_0)) @@ -2384,23 +2408,23 @@ (portRef O (instanceRef cpu_est_ns_0_0_1_2)) (portRef I0 (instanceRef cpu_est_ns_0_0_2)) )) - (net N_221_1 (joined + (net N_226_1 (joined (portRef O (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i_a3_1)) (portRef I0 (instanceRef state_machine_AMIGA_BUS_ENABLE_3_f0_i_a3)) )) - (net N_215_1 (joined + (net N_220_1 (joined (portRef O (instanceRef SM_AMIGA_ns_0_a3_0_1_7)) (portRef I0 (instanceRef SM_AMIGA_ns_0_a3_0_7)) )) - (net N_75_1 (joined + (net N_82_1 (joined (portRef O (instanceRef state_machine_LDS_000_INT_5_0_a3_1)) (portRef I0 (instanceRef state_machine_LDS_000_INT_5_0_a3)) )) - (net N_69_1 (joined + (net N_73_1 (joined (portRef O (instanceRef state_machine_un15_clk_000_d0_0_a3_0_1)) (portRef I0 (instanceRef state_machine_un15_clk_000_d0_0_a3_0)) )) - (net N_68_1 (joined + (net N_72_1 (joined (portRef O (instanceRef state_machine_un15_clk_000_d0_0_a3_1)) (portRef I0 (instanceRef state_machine_un15_clk_000_d0_0_a3)) )) @@ -2416,18 +2440,6 @@ (portRef O (instanceRef state_machine_UDS_000_INT_5_0_m2_n)) (portRef I1 (instanceRef state_machine_UDS_000_INT_5_0_m2_p)) )) - (net (rename LDS_000_INT_0_un3 "LDS_000_INT_0.un3") (joined - (portRef O (instanceRef LDS_000_INT_0_r)) - (portRef I1 (instanceRef LDS_000_INT_0_n)) - )) - (net (rename LDS_000_INT_0_un1 "LDS_000_INT_0.un1") (joined - (portRef O (instanceRef LDS_000_INT_0_m)) - (portRef I0 (instanceRef LDS_000_INT_0_p)) - )) - (net (rename LDS_000_INT_0_un0 "LDS_000_INT_0.un0") (joined - (portRef O (instanceRef LDS_000_INT_0_n)) - (portRef I1 (instanceRef LDS_000_INT_0_p)) - )) (net (rename VPA_SYNC_0_un3 "VPA_SYNC_0.un3") (joined (portRef O (instanceRef VPA_SYNC_0_r)) (portRef I1 (instanceRef VPA_SYNC_0_n)) @@ -2452,6 +2464,18 @@ (portRef O (instanceRef VMA_INT_0_n)) (portRef I1 (instanceRef VMA_INT_0_p)) )) + (net (rename BG_000_0_un3 "BG_000_0.un3") (joined + (portRef O (instanceRef BG_000_0_r)) + (portRef I1 (instanceRef BG_000_0_n)) + )) + (net (rename BG_000_0_un1 "BG_000_0.un1") (joined + (portRef O (instanceRef BG_000_0_m)) + (portRef I0 (instanceRef BG_000_0_p)) + )) + (net (rename BG_000_0_un0 "BG_000_0.un0") (joined + (portRef O (instanceRef BG_000_0_n)) + (portRef I1 (instanceRef BG_000_0_p)) + )) (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined (portRef O (instanceRef BGACK_030_INT_0_r)) (portRef I1 (instanceRef BGACK_030_INT_0_n)) @@ -2620,6 +2644,18 @@ (portRef O (instanceRef UDS_000_INT_0_n)) (portRef I1 (instanceRef UDS_000_INT_0_p)) )) + (net (rename LDS_000_INT_0_un3 "LDS_000_INT_0.un3") (joined + (portRef O (instanceRef LDS_000_INT_0_r)) + (portRef I1 (instanceRef LDS_000_INT_0_n)) + )) + (net (rename LDS_000_INT_0_un1 "LDS_000_INT_0.un1") (joined + (portRef O (instanceRef LDS_000_INT_0_m)) + (portRef I0 (instanceRef LDS_000_INT_0_p)) + )) + (net (rename LDS_000_INT_0_un0 "LDS_000_INT_0.un0") (joined + (portRef O (instanceRef LDS_000_INT_0_n)) + (portRef I1 (instanceRef LDS_000_INT_0_p)) + )) ) (property orig_inst_of (string "BUS68030")) ) diff --git a/Logic/BUS68030.prj b/Logic/BUS68030.prj index 1e5826f..ad4057b 100644 --- a/Logic/BUS68030.prj +++ b/Logic/BUS68030.prj @@ -1,6 +1,6 @@ #-- Lattice Semiconductor Corporation Ltd. #-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj -#-- Written on Sat May 24 16:11:44 2014 +#-- Written on Sat May 24 19:56:13 2014 #device options diff --git a/Logic/BUS68030.srm b/Logic/BUS68030.srm index 892a6dd..776e6e7 100644 --- a/Logic/BUS68030.srm +++ b/Logic/BUS68030.srm @@ -364,171 +364,179 @@ n;ohMR_;.4 RNM3P#NCC_M0D_VN4o#Rn.6;M oR.h_.N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_j44;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4;44 +n;ohMR_;.d RNM3P#NCC_M0D_VN4o#Rn.6;M oR4h_4 -.;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh4_4dN; +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_4.N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_c44;M +n;ohMR_d44;M NRN3#PMC_CV0_D#No46R.no; -M_Rh4;46 +M_Rh4;4c RNM3P#NCC_M0D_VN4o#Rn.6;M oR4h_4 -n;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh4_4(N; +6;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_4nN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_U44;M +n;ohMR_(44;M NRN3#PMC_CV0_D#No46R.no; -M_Rh4;4g +M_Rh4;4U RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_. -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhj_.dN; +oR4h_4 +g;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._4jN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_c.j;M +n;ohMR_44.;M NRN3#PMC_CV0_D#No46R.no; -M_Rh.;j6 +M_Rh.;jc +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_j +6;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhj_.nN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_c.4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.;46 RNM3P#NCC_M0D_VN4o#Rn.6;M oR.h_4 -c;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh4_.6N; +n;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_.gN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_n.4;M +n;ohMR_j..;M NRN3#PMC_CV0_D#No46R.no; -M_Rh.;4( +M_Rh.;.4 RNM3P#NCC_M0D_VN4o#Rn.6;M oR.h_. -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh._.4N; +.;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._.6N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_...;M +n;ohMR_n..;M NRN3#PMC_CV0_D#No46R.no; -M_Rh.;.d +M_Rh.;.( RNM3P#NCC_M0D_VN4o#Rn.6;M oR.h_. -6;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh._.nN; +U;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhd_.nN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_(..;M +n;ohMR_g.d;M NRN3#PMC_CV0_D#No46R.no; -M_Rh.;dU +M0R#N_0ClENOH\MC31z7_jjj_aQh_j6__3l.k;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_c +oRN#00lC_NHOEM3C\z_71j_jjQ_ha6__jlk.3M 4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM#00NCN_lOMEHCz\37j1_jQj_h6a__lj_.M3kdN; +RoM#00NCN_lOMEHCz\37j1_jQj_h6a__lj_.M3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;o#MR0CN0_OlNECHM\73z1j_jjh_Qa__6j._l34kM;M -NRN3#PMC_CV0_D#No46R.no; -M0R#N_0ClENOH\MC31z7_jjj_aQh_j6__3l.k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1p7_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMp_71j_jjQ_hajM3k4N; +n;oeMRu1q_Y_hBjM3kdN; M#R3N_PCM_C0VoDN#.4R6 -n;opMR7j1_jQj_hja_3jkM;M +n;oeMRu1q_Y_hBjM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oeMRu1q_Y_hBjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oeMRvQq_hja_3dkM;M NRN3#PMC_CV0_D#No46R.no; -MuReqY_1hjB_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MuReqY_1hjB_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MuReqY_1hjB_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MvReqh_Qa3_jk;Md +MvReqh_Qa3_jk;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M oRqev_aQh_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMAjt_jjj_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MtRA_jjj_kj3M 4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMe_vqQ_hajM3kjN; +RoMAjt_jjj_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MtRAq_Bij_djQ_hajM3kdN; M#R3N_PCM_C0VoDN#.4R6 n;oAMRtiqB_jjd_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMABtqid_jjh_Qa3_jk;M4 +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMABtqid_jjh_Qa3_jk;Mj RNM3P#NCC_M0D_VN4o#Rn.6;M -oRqAtBji_dQj_hja_3jkM;M +oR_q1j_jjQ_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMR1j_jjh_Qa3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_q1j_jjQ_hajM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3j_k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_jj__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3j_k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_4j__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__34_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_4j__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3._k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_.j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3._k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C##jC_3dkM;M NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_jQj_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjj_aQh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__j3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__jjk_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__j3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j4k_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__434kM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j4k_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__.3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j.k_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__.3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0_#CjM3kdN; +MbROk#_C0_#CjM3k4N; M#R3N_PCM_C0VoDN#.4R6 n;oOMRbCk_#C0#_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC##0C3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C##4C_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0_#C4M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#C0#_k43M j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC##0C3_.k;Md +RoMO_bkC##0C3_4k;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C##.C_34kM;M +oRkOb_0C##4C_34kM;M NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0_#C.M3kjN; +MbROk#_C0_#C4M3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1d_jjj_jjY_1hjB_3dkM;M +n;oOMRbCk_#C0#_k.3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC##0C3_.k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C##.C_3jkM;M NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjd_jjj_h1YB3_jk;M4 +M1Rq_jjd_jjj_h1YB3_jk;Md RNM3P#NCC_M0D_VN4o#Rn.6;M oR_q1j_djj_jj1BYh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7BaqiY_1hjB_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MaR7q_Bi1BYh_kj3M 4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7BaqiY_1hjB_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRwz1_B_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMw_uzBQ1_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MuRwz1_B_aQh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7B1qih_Qa__j4k_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7B1qih_Qa__j4k_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7B1qih_Qa__j4k_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqtvQqz_A1h_ q Ap_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqtvQqz_A1h_ q Ap_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqtvQqz_A1h_ q Ap_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMz_71j_jjQ_hajM3kdN; +RoMqj1_djj_j1j_Y_hBjM3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;ozMR7j1_jQj_hja_34kM;M +n;o7MRaiqB_h1YB3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRq7aB1i_Y_hBjM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MRaiqB_h1YB3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRzwu__B1Q_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;owMRuBz_1h_Qa3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRzwu__B1Q_hajM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1iqB_aQh_4j__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1iqB_aQh_4j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1iqB_aQh_4j__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRvqQt_1Az_q hA_p jM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRvqQt_1Az_q hA_p jM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRvqQt_1Az_q hA_p jM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;ozMR7j1_jQj_hja_3dkM;M NRN3#PMC_CV0_D#No46R.no; -M7Rz1j_jjh_Qa3_jk;Mj +M7Rz1j_jjh_Qa3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR1z7_jjj_aQh_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMp_71j_jjQ_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;opMR7j1_jQj_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M7Rp1j_jjh_Qa3_jk;Mj RNM3P#NCC_M0D_VN4o#Rn.6;R b@:@44::44+:.40.:sRkCfjj:Rk0sCsR0keCRB B;b@R@4::44::4..+4:DVN#fCRjR:jV#NDCNRVDR#Ct;h7 -@bR@.U:..4::4..:4d+.v:1_Qqvtjqr:R(9fjj:RFoE#10Rvv_qQrtqj9:(R4h_6_,h4hn,_,4(hU_4,4h_g_,h.hj,_,.4h._.;H +@bR@.U:..4::4..:4d+.v:1_Qqvtjqr:R(9fjj:RFoE#10Rvv_qQrtqj9:(R4h_n_,h4h(,_,4Uhg_4,.h_j_,h.h4,_,..hd_.;H NR03sD0C_F;R4 RNH#_$MV_#lH"8R(4...4..4 ";N3HRs_0DFosHMCNlRv"1_Qqvt;q" @@ -538,7 +546,7 @@ RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j RNH3lV#_HFsolMNC1R"vv_qQ"tq;H NR#3Vl0_#Ns0CC4oR;H NR03#N_0ClbNbHRMo"RRRjjjjj4jjRR->jjjjj4jj\RMRRjjjj4jjj>R-Rjjjj4jjjR\MRjRjj4jjj-jR>jRjj4jjjMj\RjRRj4jjjRjj-j>Rj4jjj\jjMRRRj4jjjjjjRR->j4jjjjjj\RMRR4jjjjjjj>R-R4jjjjjjjR\MR4Rjjjjjj-jR>4RjjjjjjMj\R4RRjjjjjRjj-4>Rjjjjj\jjM -";b@R@U4:4.4:d:.44:+dd4O.:bCk_#j0r:94jR:fjjERoFR#0O_bkCr#0jj:49_Rh4,4jh4_44_,h4,4.h4_4d_,h4,4ch4_46_,h4,4nh4_4(_,h4,4Uh4_4g_,h4;.j +";b@R@U4:4.4:d:.44:+dd4O.:bCk_#j0r:94jR:fjjERoFR#0O_bkCr#0jj:49_Rh4,44h4_4._,h4,4dh4_4c_,h4,46h4_4n_,h4,4(h4_4U_,h4,4gh._4j_,h4;.4 RNH3Ds0CF_0R 4;N#HR$VM_#Hl_8(R"4d4.4.44. ";N3HRs_0DFosHMCNlRb"Ok#_C0 @@ -549,10 +557,10 @@ NR#3VlN_0L#DC0jR"jRjjjjjjs4jjjjRj4jjsjR44j4j4sjj4j4Rjjjjs4Rj4j44js4j4j4Rj4jjs4R4 ";N3HRV_#lFosHMCNlRb"Ok#_C0 ";N3HRV_#l#00NCosCR 4;N3HR#00NCN_lbMbHoRR"RjRjjjjjjjjj4>R-RjjjjR\MRjRjjjjjj4jjj>R-R4jjjR\MRjRjjjjjjjj4j>R-R4jj4R\MRjRjjjjjjj4jj>R-Rjj4jR\MRjRjjjjj4jjjj>R-Rjj44R\MRjRjj4jjjjjjj>R-R4j4jR\MRjRjjjj4jjjjj>R-R4j44R\MRjRjjj4jjjjjj>R-R44jjR\MRjRj4jjjjjjjj>R-R44j4R\MR4Rjjjjjjjjjj>R-Rj44jR\MRjR4jjjjjjjjj>R-R4444"\M;R -s@:@U4:4.d44:4d.:d.+4:kOb_0C#r4j:jf9RjR:jlENORw7w)b]RsRHlO_bkCr#0.S9 -Tb=Ok#_C09r. +s@:@U4:4.d44:4d.:d.+4:kOb_0C#r4j:jf9RjR:jlENORw7w)b]RsRHlO_bkCr#04S9 +Tb=Ok#_C09r4 =S7O_bkC_#0MC#_r -.9SiBp=iBp_Zm1Q +49SiBp=iBp_Zm1Q _OS))=1Ha_;H NR03sD0C_F;R4 RNH#_$MV_#lH"8R(.44d444.;." @@ -565,8 +573,8 @@ RNH3lV#_HFsolMNCOR"bCk_#;0" RNH3lV#_N#00CCso;R4 RNH3HFso#HM0lMNCOR'bCk_#j0r:94j's; RU@@:.44::d44:4.d4d+.b:Ok#_C0:rj4Rj9fjj:ROlNEwR7wR)]blsHRkOb_0C#r -d9SOT=bCk_#d0r97 -S=kOb_0C#__M#C9rd +.9SOT=bCk_#.0r97 +S=kOb_0C#__M#C9r. pSBip=Bi1_mZOQ_ =S))_1aHN; HsR30_DC04FR;H @@ -579,9 +587,9 @@ NR#3VlF_0#"0RO_bkCR#0c NR#3Vls_FHNoMl"CRO_bkC"#0;H NR#3Vl0_#Ns0CC4oR;H NRs3FHMoH#N0Ml'CRO_bkCr#0jj:49 -';s@R@U4:4.4:d:.44:+dd4O.:bCk_#j0r:94jR:fjjNRlO7ERw]w)RHbslbROk#_C09rj -=STO_bkCr#0jS9 -7b=Ok#_C0#_M_jCr9B +';s@R@U4:4.4:d:.44:+dd4O.:bCk_#j0r:94jR:fjjNRlO7ERw]w)RHbslbROk#_C09rd +=STO_bkCr#0dS9 +7b=Ok#_C0#_M_dCr9B SpBi=pmi_1_ZQO) S=a)1_ H;N3HRsC0D_R0F4N; @@ -594,9 +602,9 @@ RNH3lV#_L0ND0C#Rj"jjjjRjsjjjjj4R4jjjjsj4j4Rjs44jj4jRjj4j4sjjj4R4sj4jj44R4j4j4sj4 HVR3#Fl_sMHoNRlC"kOb_0C#"N; HVR3##l_0CN0sRCo4N; HFR3sHHoMM#0NRlC'kOb_0C#r4j:j;9' -@sR@4U:4d.:44:4.d:d+:4.O_bkCr#0jj:49jRf:ljRNROE7)ww]sRbHOlRbCk_#40r9T +@sR@4U:4d.:44:4.d:d+:4.O_bkCr#0jj:49jRf:ljRNROE7)ww]sRbHOlRbCk_#j0r9T S=kOb_0C#r -49SO7=bCk_#M0_#r_C4S9 +j9SO7=bCk_#M0_#r_CjS9 B=piB_pimQ1Z_SO )1=)a;_H RNH3Ds0CF_0R @@ -609,9 +617,9 @@ NR#3VlN_0L#DC0jR"jRjjjjjjs4jjjjRj4jjsjR44j4j4sjj4j4Rjjjjs4Rj4j44js4j4j4Rj4jjs4R4 ";N3HRV_#lFosHMCNlRb"Ok#_C0 ";N3HRV_#l#00NCosCR 4;N3HRFosHH0M#MCNlRb'Ok#_C0:rj4'j9;R -s@:@Ud:64c6:d4c:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtqdS9 -Tv=1_Qqvtdqr97 -S=Uh_j +s@:@Ud:4dc4:ddj:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtq6S9 +Tv=1_Qqvt6qr97 +S=(h_( _HSiBp=iBp_Zm1Q _OS))=1Ha_;H NR03sD0C_F;R4 @@ -623,7 +631,35 @@ RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j RNH3lV#_HFsolMNC1R"vv_qQ"tq;H NR#3Vl0_#Ns0CC4oR;H NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@Un:dd::cd:nd.4.+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9r. +';s@R@Ud:d.::cd:d..4j+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9rc +=ST1qv_vqQtr +c9Sh7=__(gHB +SpBi=pmi_1_ZQO) +S=a)1_ +H;N3HRsC0D_R0F4N; +H$R#M#_Vl8_HR."(..4.."44;H +NR03sDs_FHNoMl"CR1qv_vqQt"N; +HVR3#Vl_s#Fl01R"vv_qQRtqd +";N3HRV_#l00F#Rv"1_QqvtUqR"N; +HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; +HVR3#Fl_sMHoNRlC"_1vqtvQq +";N3HRV_#l#00NCosCR +4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R +s@:@Ud:cUcc:dUc:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtqdS9 +Tv=1_Qqvtdqr97 +S=Uh_4 +_HSiBp=iBp_Zm1Q +_OS))=1Ha_;H +NR03sD0C_F;R4 +RNH#_$MV_#lH"8R(4...4..4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@Un:dj::cd:nj.4.+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9r. =ST1qv_vqQtr .9S17=vv_qQ_tqM6#r9B SpBi=pmi_1_ZQO) @@ -637,9 +673,9 @@ HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjj HVR3#Fl_sMHoNRlC"_1vqtvQq ";N3HRV_#l#00NCosCR 4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@Ud:n(cn:d(d:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtq4S9 +s@:@Ud:nccn:dcd:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtq4S9 Tv=1_Qqvt4qr97 -S=Uh_d +S=Uh_c _HSiBp=iBp_Zm1Q _OS))=1Ha_;H NR03sD0C_F;R4 @@ -651,7 +687,7 @@ RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j RNH3lV#_HFsolMNC1R"vv_qQ"tq;H NR#3Vl0_#Ns0CC4oR;H NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@U(:dn::cd:(n.4.+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9rj +';s@R@U(:dd::cd:(d.4.+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9rj =ST1qv_vqQtr j9S17=vv_qQ_tqM(#r9B SpBi=pmi_1_ZQO) @@ -673,11 +709,11 @@ S=a)1_ H;N3HRs_0DFosHMCNlRp"Bih_Ba"_h;H NRM3kVOsN_8HMCjGR;H NR$3#MM_HHN0PDjR""s; -RU@@:U4.:4.:.dU:+:4.B_piB_hah:r4jf9RjR:jlENORw7w)b]RsRHlB_piB_hah9r4 +RU@@:U4.:4.:.dU:+:4.B_piB_hah:r4jf9RjR:jlENORw7w1b]RsRHlB_piB_hah9r4 =STB_piB_hah9r4 =S7B_piB_hah9rj pSBip=Bi1_mZHQ_ -=S))_1aHN; +=S1)_1aHN; HsR30FD_sMHoNRlC"iBp_aBh_;h" RNH3VkMs_NOHCM8G;R4 RNH3M#$_HHM0DPNR""j;R @@ -699,21 +735,21 @@ RNH3VkMs_NOHCM8G;R4 RNH3M#$_HHM0DPNR""j;R s@:@U4:4j64.:46j:6.+4:pQu_jjdrj.:9jRf:ljRNROE71ww]sRbHQlRujp_dwj7wr1]jS9 Tu=Qpd_jjr_OjS9 -7_=h4S. +7_=h4Sd B=piB_pimQ1Z_SO 11=)a;_H RNH3Ds0_HFsolMNCQR"ujp_d;j" RNH3VkMs_NOHCM8G;Rj @sR@4U:46j:.4:4j6:6+:4.Q_upjrdj.9:jR:fjjNRlO7ERw]w1RHbsluRQpd_jjw7w14]r9T S=pQu_jjd_4Or97 -S=4h_dB +S=4h_cB SpBi=pmi_1_ZQO1 S=a)1_ H;N3HRs_0DFosHMCNlRu"Qpd_jj ";N3HRksMVNHO_MG8CR 4;s@R@U4:4j.:6:j44:+664Q.:ujp_d.jr:Rj9fjj:ROlNEwR7wR1]blsHRpQu_jjd71ww]9r. =STQ_upj_djO9r. -=S7hc_4 +=S7h6_4 pSBip=Bi1_mZOQ_ =S1)_1aHN; HsR30FD_sMHoNRlC"pQu_jjd"N; @@ -732,37 +768,9 @@ HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjj HVR3#Fl_sMHoNRlC"_1vqtvQq ";N3HRV_#l#00NCosCR 4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@Ud:jccj:dcg:4+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtqnS9 +s@:@Ud:j4cj:d4g:4+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtqnS9 Tv=1_Qqvtnqr97 -S=(h_c -_HSiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(4...4..4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@U4:dn::cd:4n.4j+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9r6 -=ST1qv_vqQtr -69Sh7=__(nHB -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR."(..4.."44;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@Ud:d6cd:d6j:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtqcS9 -Tv=1_Qqvtcqr97 -S=(h_U +S=(h_6 _HSiBp=iBp_Zm1Q _OS))=1Ha_;H NR03sD0C_F;R4 @@ -804,284 +812,292 @@ B=piB_pimQ1Z_SO 11=)a;_H RNH3Ds0_HFsolMNCeR"vQq_h;a" RNH3M#$_HHM0DPNR""4;H -NRM3H_FDFb;R6 -@sR@4U:46j:.4:4j6:6+:4.ABtqid_jjh_QajRf:ljRNROE71ww]sRbHAlRtiqB_jjd_aQh -=STABtqid_jjh_Qa7 -S=4h_jB -SpBi=pmi_1_ZQO1 -S=a)1_ -H;N3HRs_0DFosHMCNlRt"Aq_Bij_djQ"ha;H -NR$3#MM_HHN0PD4R""N; -HHR3MF_DFdbR;R -s@:@U.:.4..:.4+:d4q.:1j_jjh_QajRf:ljRNROE71ww]sRbHqlR1j_jjh_QaT -S=_q1j_jjQ -haSh7=_ -44SiBp=iBp_Zm1Q +NRM3H_FDFb;Rn +@sR@4U:46j:.4:4j6:6+:4.Ajt_jfjRjR:jlENORw7w1b]RsRHlAjt_jwj7w +1]SAT=tj_jj +_OSh7=_ +4jSiBp=iBp_Zm1Q _OS)1=1Ha_;H -NR03sDs_FHNoMl"CRqj1_jQj_h;a" +NR03sDs_FHNoMl"CRAjt_j;j" +RNH3_HMDbFFR +d;s@R@U4:4j.:6:j44:+664A.:tiqB_jjd_aQhR:fjjNRlO7ERw]w1RHbsltRAq_Bij_djQ +haSAT=tiqB_jjd_aQh +=S7h4_4 +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +HsR30FD_sMHoNRlC"qAtBji_dQj_h;a" RNH3M#$_HHM0DPNR""4;H -NRM3H_FDFb;Rj -@sR@4U:c.d::d4c:4d+.p:Biz_ma)_u jRf:ljRNROE7)ww]sRbHBlRpmi_zua_)S -Tp=Biz_ma)_u 7 -S= OD\M3k4O._DO _Mb0__SH +NRM3H_FDFb;Rc +@sR@.U:..4::4..:4d+.1:q_jjj_aQhR:fjjNRlO7ERw]w1RHbsl1Rq_jjj_aQh +=STqj1_jQj_hSa +7_=h4S. +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNCqR"1j_jjh_Qa +";N3HR#_$MH0MHPRND";4" +RNH3_HMDbFFR +j;s@R@Uc:4d::.4:cdd.+4:iBp_amz_ u)R:fjjNRlO7ERw]w)RHbslpRBiz_ma)_u T +S=iBp_amz_ u) +=S7O\D 34kM.D_O M_O0__bHB +SpBi=pmi_1_ZQO) +S=a)1_ +H;N3HRs_0DFosHMCNlRp"Biz_ma)_u +";N3HR#_$MH0MHPRND";4" +@sR@4U:c.d::d4c:4d+.p:Biz_mah_QajRf:ljRNROE7)ww]sRbHBlRpmi_zQa_hSa +Tp=BiX_ u +_OSB7=pmi_zua_)S B=piB_pimQ1Z_SO )1=)a;_H -RNH3Ds0_HFsolMNCBR"pmi_zua_); " +RNH3Ds0_HFsolMNCBR"pmi_zQa_h;a" RNH3M#$_HHM0DPNR""4;R -s@:@U4:cd.c:4d+:d4B.:pmi_zQa_hfaRjR:jlENORw7w)b]RsRHlB_pim_zaQ -haSBT=p i_XOu_ -=S7B_pim_zau -) SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pim_zaQ"ha;H -NR$3#MM_HHN0PD4R""s; -RU@@:4..:..:.d4:+:4.qj1_djj_j1j_YRhBfjj:ROlNEwR7wR1]blsHR_q1j_djj_jj1BYh -=STqj1_djj_j1j_Y -hBSh7=_S4 -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCqR"1d_jjj_jjY_1h;B" -RNH3M#$_HHM0DPNR""4;R -s@:@U4:4j64.:46j:6.+4:q7aB1i_YRhBfjj:ROlNEwR7wR1]blsHRq7aB1i_Y -hBS7T=aiqB_h1YB7 -S=.h_ -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"q7aB1i_Y"hB;H -NR$3#MM_HHN0PD4R""s; -RU@@:j44::6.4:4j646+.u:wz1_B_aQhR:fjjNRlO7ERw]w1RHbsluRwz1_B_aQh -=STw_uzBQ1_hSa -7_=hdB +s@:@U.:.4..:.4+:d4q.:1d_jjj_jjY_1hfBRjR:jlENORw7w1b]RsRHlqj1_djj_j1j_Y +hBSqT=1d_jjj_jjY_1hSB +7_=h4B SpBi=pmi_1_ZQO1 S=a)1_ -H;N3HRs_0DFosHMCNlRu"wz1_B_aQh"N; -H#R3$HM_MPH0N"DR4 -";N3HRHDM_FRFbcs; -RU@@:j44::6.4:4j646+.1:7q_BiQrha4f9RjR:jlENORw7w1b]RsRHl7B1qih_Qa9r4 -=ST7B1qih_Qa9r4 +H;N3HRs_0DFosHMCNlR1"q_jjd_jjj_h1YB +";N3HR#_$MH0MHPRND";4" +@sR@4U:46j:.4:4j6:6+:4.7BaqiY_1hfBRjR:jlENORw7w1b]RsRHl7BaqiY_1hSB +Ta=7q_Bi1BYh =S7h -_cSiBp=iBp_Zm1Q +_.SiBp=iBp_Zm1Q _OS)1=1Ha_;H +NR03sDs_FHNoMl"CR7BaqiY_1h;B" +RNH3M#$_HHM0DPNR""4;R +s@:@U4:4j64.:46j:6.+4:zwu__B1QRhafjj:ROlNEwR7wR1]blsHRzwu__B1Q +haSwT=uBz_1h_Qa7 +S=dh_ +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +HsR30FD_sMHoNRlC"zwu__B1Q"ha;H NR$3#MM_HHN0PD4R""N; -HsR30FD_sMHoNRlC"q71BQi_h;a" -@sR@4U:46j:.4:4j6:6+:4.qtvQqz_A1h_ q ApR:fjjNRlO7ERwbwRsRHlqtvQqz_A1h_ q Ap7 -wwSqT=vqQt_1Az_q hA_p O7 -S=6h_ -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRqtvQqz_A1h_ q Ap"N; -HHR3MF_DFnbR;R -s@:@U4:4j64.:46j:6.+4:q7aB7i_vfqRjR:jlENORw7w1b]RsRHl7Baqiv_7qT -S=q7aB7i_vSq -70=#N_0ClENOH\MC3(kM__N#j_jjH_M0HB -SpBi=pmi_1_ZQO1 -S=a)1_ -H;N3HRs_0DFosHMCNlRa"7q_Bi7"vq;H -NR$3#MM_HHN0PD4R""s; -RU@@:U44::d.4:4Ud4c+.p:Bij_jj6_7R:fjjNRlO7ERw]w1RHbslpRBij_jj6_7 -=STB_pij_jj7S6 -7p=Bij_jjc_7 -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_"76;H -NR$3#MM_HHN0PD4R""s; -RU@@:j44::6.4:4j646+.t:A_jjjR:fjjNRlO7ERw]w1RHbsltRA_jjj71ww]T -S=_Atj_jjO7 -S=N#00lC_NHOEM3C\k.M4__Loj_djHB -SpBi=pmi_1_ZQO1 -S=a)1_ -H;N3HRs_0DFosHMCNlRt"A_jjj"s; -RU@@:(44::d.4:4(d4c+.p:Bij_jjc_7R:fjjNRlO7ERw]w1RHbslpRBij_jjc_7 -=STB_pij_jj7Sc -7p=Bij_jjd_7 -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_"7c;H -NR$3#MM_HHN0PD4R""s; -RU@@:644::d.4:46d4c+.p:Bij_jj._7R:fjjNRlO7ERw]w1RHbslpRBij_jj._7 -=STB_pij_jj7S. -7p=Bij_jj4_7 -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_"7.;H -NR$3#MM_HHN0PD4R""s; -RU@@:n44::d.4:4nd4c+.p:Bij_jjd_7R:fjjNRlO7ERw]w1RHbslpRBij_jjd_7 -=STB_pij_jj7Sd -7p=Bij_jj._7 -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_"7d;H -NR$3#MM_HHN0PD4R""s; -RU@@::(..(6:.j:d+:4.e_uq7jRf:ljRNROE71ww]sRbHelRu7q_ -=STe_uq77 -S=qeu_SO +HHR3MF_DF6bR;R +s@:@U4:4j64.:46j:6.+4:q71BQi_h4ar9jRf:ljRNROE71ww]sRbH7lR1iqB_aQhr +49S7T=1iqB_aQhr +49Sh7=_Sc B=piB_pimQ1Z_SO 11=)a;_H -RNH3Ds0_HFsolMNCeR"u7q_"N; -H#R3$HM_MPH0N"DR4 -";s@R@Uj:4.6:.:.4j:+.(4B.:pji_j7j_jjRf:ljRNROE71ww]sRbHBlRpji_j7j_jT -S=iBp_jjj_ -7jSB7=pji_jOj_ +RNH3M#$_HHM0DPNR""4;H +NR03sDs_FHNoMl"CR7B1qih_Qa +";s@R@U4:4j.:6:j44:+664q.:vqQt_1Az_q hARp fjj:ROlNEwR7wsRbHqlRvqQt_1Az_q hA7p wSw +Tv=qQ_tqA_z1 AhqpO _ +=S7h +_6SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"vqQt_1Az_q hA"p ;H +NRM3H_FDFb;R( +@sR@4U:46j:.4:4j6:6+:4.7Baqiv_7qjRf:ljRNROE71ww]sRbH7lRaiqB_q7v +=ST7Baqiv_7q7 +S=N#00lC_NHOEM3C\k_M(Nj#_jHj_MH0_ pSBip=Bi1_mZOQ_ =S1)_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_"7j;H -NR$3#MM_HHN0PD4R""s; -RU@@:d4c:4.:cdd:+:4.) 1ajRf:ljRNROE7)ww]sRbH)lR a1 7)ww]T -S=1) Oa_ -=S7e -BBSiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CR) 1a -";s@R@U4:4c.:d:c44:+dc4B.:pji_j7j_4jRf:ljRNROE71ww]sRbHBlRpji_j7j_4T +HsR30FD_sMHoNRlC"q7aB7i_v;q" +RNH3M#$_HHM0DPNR""4;R +s@:@U4:4Ud4.:4dU:c.+4:iBp_jjj_R76fjj:ROlNEwR7wR1]blsHRiBp_jjj_ +76SBT=pji_j7j_67 S=iBp_jjj_ -74SB7=pji_j7j_jB +7cSiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sDs_FHNoMl"CRB_pij_jj7;6" +RNH3M#$_HHM0DPNR""4;R +s@:@U4:4gd4.:4dg:c.+4:iBp_jjj_R7nfjj:ROlNEwR7wR1]blsHRiBp_jjj_ +7nSBT=pji_j7j_n7 +S=iBp_jjj_ +76SiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sDs_FHNoMl"CRB_pij_jj7;n" +RNH3M#$_HHM0DPNR""4;R +s@:@U4:4(d4.:4d(:c.+4:iBp_jjj_R7cfjj:ROlNEwR7wR1]blsHRiBp_jjj_ +7cSBT=pji_j7j_c7 +S=iBp_jjj_ +7dSiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sDs_FHNoMl"CRB_pij_jj7;c" +RNH3M#$_HHM0DPNR""4;R +s@:@U4:46d4.:4d6:c.+4:iBp_jjj_R7.fjj:ROlNEwR7wR1]blsHRiBp_jjj_ +7.SBT=pji_j7j_.7 +S=iBp_jjj_ +74SiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sDs_FHNoMl"CRB_pij_jj7;." +RNH3M#$_HHM0DPNR""4;R +s@:@U4:4nd4.:4dn:c.+4:iBp_jjj_R7dfjj:ROlNEwR7wR1]blsHRiBp_jjj_ +7dSBT=pji_j7j_d7 +S=iBp_jjj_ +7.SiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sDs_FHNoMl"CRB_pij_jj7;d" +RNH3M#$_HHM0DPNR""4;R +s@:@U(..:6.:(:+dj4e.:u7q_R:fjjNRlO7ERw]w1RHbsluReq +_7SeT=u7q_ +=S7e_uqOB SpBi=pmi_1_ZQO1 S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj4_7"N; -H#R3$HM_MPH0N"DR4 -";sjRf:ljRNROEQwAzRHbsl1Rq_jjd -=Smqj1_dOj_ -jSQ=_q1j;dj -fsRjR:jlENORwAzab]RsRHlqj1_jSj -m1=q_jjj -jSQ=_q1j_jjQ -haS=m ABtqid_jjh_Qas; -R:fjjNRlOQERARzwblsHR_71j -djS7m=1d_jj -_OS=Qj7j1_d -j;sjRf:ljRNROEAazw]sRbHzlR7j1_jSj -m7=z1j_jjQ -Sj7=z1j_jjh_Qam -S t=Aq_Bij_djQ;ha -fsRjR:jlENORwAzab]RsRHlp_71j -jjSpm=7j1_jSj -Qpj=7j1_jQj_hSa +H;N3HRs_0DFosHMCNlRu"eq"_7;H +NR$3#MM_HHN0PD4R""s; +RU@@:.4j::.64:j..4(+.p:Bij_jjj_7R:fjjNRlO7ERw]w1RHbslpRBij_jjj_7 +=STB_pij_jj7Sj +7p=Bij_jj +_OSiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sDs_FHNoMl"CRB_pij_jj7;j" +RNH3M#$_HHM0DPNR""4;R +s@:@U4:cd.c:4d+:d4).: a1 R:fjjNRlO7ERw]w)RHbsl R)17 aw]w) +=ST) 1a +_OSe7=BSB +B=piB_pimQ1Z_SO +)1=)a;_H +RNH3Ds0_HFsolMNC)R" a1 "s; +RU@@:c44::d.4:4cd4c+.p:Bij_jj4_7R:fjjNRlO7ERw]w1RHbslpRBij_jj4_7 +=STB_pij_jj7S4 +7p=Bij_jjj_7 +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +HsR30FD_sMHoNRlC"iBp_jjj_"74;H +NR$3#MM_HHN0PD4R""s; +R:fjjNRlOQERARzwblsHR_q1j +djSqm=1d_jj +_OS=Qjqj1_d +j;sjRf:ljRNROEAazw]sRbHqlR1j_jjm +S=_q1j +jjS=Qjqj1_jQj_hSa mA =tiqB_jjd_aQh;R -sfjj:ROlNEARQzbwRsRHl1 QZr -j9S1m=Q_Z O9rj -jSQ=Z1Q 9rj;R -sfjj:ROlNEARQzbwRsRHl1 QZr -49S1m=Q_Z O9r4 -jSQ=Z1Q 9r4;R -sfjj:ROlNEARQzbwRsRHlq9rj -=Smqr_OjS9 -Qqj=r;j9 -fsRjR:jlENORzQAwsRbHqlRr94n -=Smqr_O4 -n9S=Qjqnr49s; -R:fjjNRlOQERARzwblsHR4qr(S9 -m_=qO(r49Q -Sjr=q4;(9 -fsRjR:jlENORzQAwsRbHqlRr94U -=Smqr_O4 -U9S=QjqUr49s; -R:fjjNRlOQERARzwblsHR4qrgS9 -m_=qOgr49Q -Sjr=q4;g9 -fsRjR:jlENORzQAwsRbHqlRr9.j -=Smqr_O. -j9S=Qjqjr.9s; -R:fjjNRlOQERARzwblsHR.qr4S9 -m_=qO4r.9Q -Sjr=q.;49 -fsRjR:jlENORzQAwsRbHqlRr9.. -=Smqr_O. -.9S=Qjq.r.9s; -R:fjjNRlOQERARzwblsHR.qrdS9 -m_=qOdr.9Q -Sjr=q.;d9 -fsRjR:jlENORzQAwsRbHqlRr9.c -=Smqr_O. -c9S=Qjqcr.9s; -R:fjjNRlOQERARzwblsHR.qr6S9 -m_=qO6r.9Q -Sjr=q.;69 -fsRjR:jlENORzQAwsRbHqlRr9.n -=Smqr_O. -n9S=Qjqnr.9s; -R:fjjNRlOQERARzwblsHR.qr(S9 -m_=qO(r.9Q -Sjr=q.;(9 -fsRjR:jlENORzQAwsRbHqlRr9.U -=Smqr_O. -U9S=QjqUr.9s; -R:fjjNRlOQERARzwblsHR.qrgS9 -m_=qOgr.9Q -Sjr=q.;g9 -fsRjR:jlENORzQAwsRbHqlRr9dj -=Smqr_Od -j9S=Qjqjrd9s; -R:fjjNRlOQERARzwblsHRdqr4S9 -m_=qO4rd9Q -Sjr=qd;49 -fsRjR:jlENORzQAwsRbHMlR _Xu1Buq m -S=XM uu_1q_B OQ -Sj =MX1u_u qB;R -sfjj:ROlNEzRAwRa]blsHR)A )m -S=)A )Q -Sjh=t7m -S u=wz1_B_aQh_ -H;sjRf:ljRNROEQwAzRHbsltRA_jjd -=SmAjt_dOj_ -jSQ=_Atj;dj -fsRjR:jlENORzmAwsRbHAlRtj_jjm -S=_Atj -jjS=QjAjt_jOj_;R -sfjj:ROlNEARmzbwRsRHlABtqid_jjm -S=qAtBji_dSj -QAj=tiqB_jjd_aQh;R -sfjj:ROlNEARQzbwRsRHlABtqij_jjm -S=qAtBji_jOj_ -jSQ=qAtBji_j -j;sjRf:ljRNROEQwAzRHbslpRBid_jjm -S=iBp_jjd_SO -QBj=pji_d -j;sjRf:ljRNROEQwAzRHbslpRBij_jjm -S=iBp_jjj_SO -QBj=pji_j -j;sjRf:ljRNROEQwAzRHbslpRBi1_mZSQ -mp=Bi1_mZOQ_ -jSQ=iBp_Zm1Qs; -R:fjjNRlOmERARzwblsHRiBp_e7Q_amz -=SmB_pi7_Qem -zaS=QjB_pi _XuOs; -R:fjjNRlOmERARzwblsHRiBp_u X -=SmB_pi -XuS=QjB_pi _XuOs; -R:fjjNRlOmERARzwblsHRzwu_ -B1Swm=uBz_1Q -Sju=wz1_B_aQh;R -sfjj:ROlNEARmzbwRsRHlQ_upjrdjjS9 -mu=Qpd_jj9rj -jSQ=pQu_jjd_jOr9s; +sfjj:ROlNEARQzbwRsRHl7j1_dSj +m1=7_jjd_SO +Q7j=1d_jjs; +R:fjjNRlOAERz]waRHbsl7Rz1j_jjm +S=1z7_jjj +jSQ=1z7_jjj_aQh + Sm=qAtBji_dQj_h +a;sjRf:ljRNROEAazw]sRbHplR7j1_jSj +m7=p1j_jjQ +Sj7=p1j_jjh_Qam +S t=Aq_Bij_djQ;ha +fsRjR:jlENORzQAwsRbH1lRQrZ jS9 +mQ=1ZO _r +j9S=Qj1 QZr;j9 +fsRjR:jlENORzQAwsRbH1lRQrZ 4S9 +mQ=1ZO _r +49S=Qj1 QZr;49 +fsRjR:jlENORzQAwsRbHqlRr +j9Sqm=_jOr9Q +Sjr=qj +9;sjRf:ljRNROEQwAzRHbslrRq4 +n9Sqm=_4OrnS9 +Qqj=r94n;R +sfjj:ROlNEARQzbwRsRHlq(r49m +S=Oq_r94( +jSQ=4qr( +9;sjRf:ljRNROEQwAzRHbslrRq4 +U9Sqm=_4OrUS9 +Qqj=r94U;R +sfjj:ROlNEARQzbwRsRHlqgr49m +S=Oq_r94g +jSQ=4qrg +9;sjRf:ljRNROEQwAzRHbslrRq. +j9Sqm=_.OrjS9 +Qqj=r9.j;R +sfjj:ROlNEARQzbwRsRHlq4r.9m +S=Oq_r9.4 +jSQ=.qr4 +9;sjRf:ljRNROEQwAzRHbslrRq. +.9Sqm=_.Or.S9 +Qqj=r9..;R +sfjj:ROlNEARQzbwRsRHlqdr.9m +S=Oq_r9.d +jSQ=.qrd +9;sjRf:ljRNROEQwAzRHbslrRq. +c9Sqm=_.OrcS9 +Qqj=r9.c;R +sfjj:ROlNEARQzbwRsRHlq6r.9m +S=Oq_r9.6 +jSQ=.qr6 +9;sjRf:ljRNROEQwAzRHbslrRq. +n9Sqm=_.OrnS9 +Qqj=r9.n;R +sfjj:ROlNEARQzbwRsRHlq(r.9m +S=Oq_r9.( +jSQ=.qr( +9;sjRf:ljRNROEQwAzRHbslrRq. +U9Sqm=_.OrUS9 +Qqj=r9.U;R +sfjj:ROlNEARQzbwRsRHlqgr.9m +S=Oq_r9.g +jSQ=.qrg +9;sjRf:ljRNROEQwAzRHbslrRqd +j9Sqm=_dOrjS9 +Qqj=r9dj;R +sfjj:ROlNEARQzbwRsRHlq4rd9m +S=Oq_r9d4 +jSQ=dqr4 +9;sjRf:ljRNROEQwAzRHbsl RMX1u_u qB +=SmMu X_q1uBO _ +jSQ=XM uu_1q;B +fsRjR:jlENORwAzab]RsRHlA) ) +=SmA) ) +jSQ=7th + Sm=zwu__B1Q_haHs; +R:fjjNRlOQERARzwblsHR_Atj +djSAm=td_jj +_OS=QjAjt_d +j;sjRf:ljRNROEmwAzRHbsltRA_jjj +=SmAjt_jSj +QAj=tj_jj;_O +fsRjR:jlENORzmAwsRbHAlRtiqB_jjd +=SmABtqid_jjQ +Sjt=Aq_Bij_djQ;ha +fsRjR:jlENORzQAwsRbHAlRtiqB_jjj +=SmABtqij_jj +_OS=QjABtqij_jjs; +R:fjjNRlOQERARzwblsHRiBp_jjd +=SmB_pij_djOQ +Sjp=Bid_jjs; +R:fjjNRlOQERARzwblsHRiBp_jjj +=SmB_pij_jjOQ +Sjp=Bij_jjs; +R:fjjNRlOQERARzwblsHRiBp_Zm1Qm +S=iBp_Zm1Q +_OS=QjB_pimQ1Z;R +sfjj:ROlNEARmzbwRsRHlB_pi7_Qem +zaSBm=p7i_Qme_zSa +QBj=p i_XOu_;R +sfjj:ROlNEARmzbwRsRHlB_pi +XuSBm=p i_XSu +QBj=p i_XOu_;R +sfjj:ROlNEARmzbwRsRHlw_uzBS1 +mu=wz1_B +jSQ=zwu__B1Q;ha +fsRjR:jlENORzmAwsRbHQlRujp_djjr9m +S=pQu_jjdr +j9S=QjQ_upj_djO9rj;R +sfjj:ROlNEARmzbwRsRHlQ_upjrdj4S9 +mu=Qpd_jj9r4 +jSQ=pQu_jjd_4Or9s; R:fjjNRlOmERARzwblsHRpQu_jjdr -49SQm=ujp_d4jr9Q -Sju=Qpd_jjr_O4 -9;sjRf:ljRNROEmwAzRHbsluRQpd_jj9r. -=SmQ_upjrdj.S9 -QQj=ujp_dOj_r;.9 -fsRjR:jlENORzQAwsRbHQlRujpr9m -S=pQu_jOr9Q -Sju=Qp9rj;R -sfjj:ROlNEARQzbwRsRHlQrup4S9 -mu=Qpr_O4S9 -QQj=u4pr9s; -R:fjjNRlOQERARzwblsHRpQur -.9SQm=uOp_r -.9S=QjQrup. -9;sjRf:ljRNROEAazw]sRbH7lR1iqBr -j9S7m=1iqBr -j9S=Qje -BBS=m Mu X_q1uBO _;R -sfjj:ROlNEQRA_)7QRHbsl1R7qrBi4S9 -m1=7q_BiO9r4 -jSQ=q71BQi_h4ar9Q -Sm1=7qrBi4S9 +.9SQm=ujp_d.jr9Q +Sju=Qpd_jjr_O. +9;sjRf:ljRNROEQwAzRHbsluRQp9rj +=SmQ_upO9rj +jSQ=pQur;j9 +fsRjR:jlENORzQAwsRbHQlRu4pr9m +S=pQu_4Or9Q +Sju=Qp9r4;R +sfjj:ROlNEARQzbwRsRHlQrup.S9 +mu=Qpr_O.S9 +QQj=u.pr9s; +R:fjjNRlOAERz]waRHbsl1R7qrBijS9 +m1=7qrBijS9 +Qej=BSB mM = _Xu1Buq ;_O -fsRjR:jlENOR_AQ7RQ)blsHRq7aBSi -ma=7q_BiOQ -Sja=7q_Bi7 -vqS=Qm7Baqim -S t=Aq_Bij_djQ_haHs; +fsRjR:jlENOR_AQ7RQ)blsHRq71B4ir9m +S=q71BOi_r +49S=Qj7B1qih_Qa9r4 +mSQ=q71B4ir9m +S =MX1u_u qB_ +O;sjRf:ljRNROEA7Q_Qb)RsRHl7Baqim +S=q7aBOi_ +jSQ=q7aB7i_vSq +Q7m=aiqB + Sm=nh_Us; R:fjjNRlOmERARzwblsHR qeBm S= qeBQ SjB=eBs; @@ -1124,802 +1140,793 @@ S=QqvtAq_z 1_hpqA m_pWQ SjB=eBs; R:fjjNRlOAERz]waRHbslQRBQSh mQ=BQSh -Qhj=_4.c +Qhj=_g.d Sm=.h_d -U;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kM6D_O j_jjj_8_Nj_dm -S=nh_UQ -Sj_=hn4U_ +n;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kM6D_O j_jjj_8_Nj_d__j4m +S=(h_d +_4S=Qjhj_6_SH +Qh4=_j4d;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_46O_D j_jj8jj___Ndjm +S=(h_dQ +Sj_=h(4d_ +4SQ=kOb_0C#r;.9 +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4O6_Dj _j8j_j__jN4d_ +=Smh._(_S4 +QBj=pji_j7j_j +_HS=Q4hd_44s; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k6M4_ OD_jjj__8jjd_N +=Smh._( +jSQ=(h_. +_4S=Q4e_uq7;_H +fsRjR:jlENOR7qh.sRbHelRu1q_Y_hB4J_#lNkG_H4___Nddm +S=(h_c +_dS=Qjhc_(_S4 +Qh4=__(c.s; +R:fjjNRlOqERhR7.blsHRqeu_h1YB__4#kJlG4N__NH_dm +S=(h_cQ +Sj_=h(dc_ 4SQ=qeu_H7_;R -sfjj:ROlNEhRq7b.RsRHle_uq1BYh_#4_JGlkN__4Hd_N_Sd -m_=h(dj_ -jSQ=(h_j -_4S=Q4hj_(_ -.;sjRf:ljRNROEq.h7RHbsluReqY_1h4B__l#Jk_GN4__HNSd -m_=h(Sj -Qhj=__(jdQ -S4u=eq__7Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_4j_r -j9S1m=vv_qQ_tqMj#__j4r9Q -Sj_=h._.(HQ -S4_=h(Hg_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#j9rj -=Sm1qv_vqQt__M#j9rj -jSQ=_1vqtvQq#_M_4j_r -j9S=Q4h._.n;_H -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jjr_4.S9 +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_4jLjo_djj___Nd4m +S=(h_j +_4S=Qjqj1_dOj_ +4SQ=iBp_jjj_ +O;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kMjo_L_jjd_Nj_d +_.Shm=__(j.Q +Sjv=1_Qqvt(qr9Q +S4 =MX1u_u qB_ +O;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kMjo_L_jjd_Nj_dm +S=(h_jQ +Sj_=h(4j_ +4SQ=(h_j;_. +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMj#__j4r9m +S=_1vqtvQq#_M_4j_r +j9S=Qjh._4c +_HS=Q4h6_U_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#r_jjS9 +mv=1_QqvtMq_#r_jjS9 +Q1j=vv_qQ_tqMj#__j4r9Q +S4_=h4_.dHs; +R:fjjNRlOqERhR7.blsHRkOb_0C#__M#j__j49r. +=SmO_bkC_#0Mj#__.4r9Q +Sj_=h._.UHQ +S4_=h4_..Hs; +R:fjjNRlOqERhR7.blsHRkOb_0C#__M#jr_j.S9 +mb=Ok#_C0#_M_.jr9Q +Sjb=Ok#_C0#_M_4j_r +.9S=Q4h._.(;_H +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\v3qQ_tqA_z1 Ahqpd ___VjHd_N_S4 +m_=h._.n4Q +Sjv=qQ_tqA_z1 AhqpH _ +4SQ=_q1j_djHs; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\qtvQqz_A1h_ q Ap_Vd_j__HNSd +m_=h. +.nS=Qjh._.n +_4S=Q41qv_vqQt_nHr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_Nj_d__j49r( +=Smh._.j +_4S=QjB_pij_jj7Hj_ +4SQ=_1vqtvQq9r4;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#jd_N_(jr9m +S=.h_.Sj +Qhj=_j.._S4 +Q#4=0CN0_OlNECHM\M3knD_O j_jj6_8_ +H;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC31p7_jjj_aQh_j6___Nd4m +S=Uh_. +_4S=Qjqr_HjS9 +Q14=Q_Z O9rj;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCp\37j1_jQj_h6a__Nj_dm +S=Uh_.Q +Sj_=hU4._ +4SQ=Z1Q r_H4 +9;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB__4#kJlGHN___F.dm +S=6h_6__HdQ +Sj_=qHgr49Q +S4_=qHnr49s; +R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_#4_JGlkN__HFc._ +=Smh6_6_cH_ +jSQ=6h_6__H4Q +S4_=h6H6__ +.;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB__4#kJlGHN___F.6m +S=6h_6__H6Q +Sj_=h6H6__Sd +Qq4=_4HrU +9;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB__4#kJlGHN__ +F.Shm=__66HQ +Sj_=h6H6__Sc +Qh4=__66H;_6 +fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jjr_44S9 mb=Ok#_C0#_M_4j_r -.9S=Qjh._.6 -_HS=Q4h._..;_H -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jj9r. -=SmO_bkC_#0Mj#_r -.9S=QjO_bkC_#0Mj#__.4r9Q -S4_=h._.dHs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\qtvQqz_A1h_ q Ap_Vd_j__HN4d_ -=Smh._.4 -_4S=QjqtvQqz_A1h_ q Ap_SH -Qq4=1d_jj;_H -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\v3qQ_tqA_z1 Ahqpd ___VjHd_N -=Smh._.4Q -Sj_=h._.44Q -S4v=1_QqvtHq_r;n9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMj#___Ndjr_4(S9 -m_=h._464Q -Sjp=Bij_jjj_7_SH -Q14=vv_qQrtq4 -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__jNjd_r -(9Shm=_6.4 -jSQ=.h_446_ -4SQ=N#00lC_NHOEM3C\k_MnO_D j_jj8Hc_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCp\37j1_jQj_h6a__Nj_d -_4Shm=__(64Q -Sj_=qH9rj -4SQ=Z1Q r_Oj -9;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC31p7_jjj_aQh_j6__ -NdShm=_ -(6S=Qjh6_(_S4 -Q14=Q_Z H9r4;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_46O_D j_jj8jj___Ndj -_4Shm=__ng4Q -Sj_=hcHU_ -4SQ=4h_. -(;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kM6D_O j_jjj_8_Nj_d -_jShm=_ -ngS=Qjhg_n_S4 -QO4=bCk_#.0r9s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k6M4_ OD_jjj__8jjd_N_S4 -m_=hn4U_ -jSQ=iBp_jjj__7jHQ -S4_=h4;.U +49S=Qjh._4g +_HS=Q4hd_44;_H fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jjr_.4S9 mb=Ok#_C0#_M_.j_r -49S=Qjh4_.n -_HS=Q4h4_.(;_H +49S=Qjh._.4 +_HS=Q4h._..;_H fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jj9r4 =SmO_bkC_#0Mj#_r 49S=QjO_bkC_#0Mj#__44r9Q S4b=Ok#_C0#_M_.j_r;49 -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4L._od_jj__jN4d_ -=Sm#00NCN_lOMEHCk\3M_4.Ljo_d4j_ +fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1h4B__l#Jk_GNHd_N_S4 +m_=hU4j_ +jSQ=iBp_jjd_SO +Qh4=_;66 +fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1h4B__l#Jk_GNHd_N_S. +m_=hU.j_ jSQ=_1vqtvQq9r( 4SQ=XM uu_1q_B Os; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k.M4__Loj_djjd_N_S. -m0=#N_0ClENOH\MC34kM.o_L_jjd_S. -Qqj=1d_jj -_OS=Q4Ajt_dHj_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_4.Ljo_djj___Nddm -S=N#00lC_NHOEM3C\k.M4__Loj_djdQ -Sjp=BiX_ u -_OS=Q4hg_c_ -H;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kM.o_L_jjd_Nj_d -_cS#m=0CN0_OlNECHM\M3k4L._od_jj -_cS=Qj#00NCN_lOMEHCk\3M_4.Ljo_d4j_ -4SQ=N#00lC_NHOEM3C\k.M4__Loj_dj.s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k.M4__Loj_djjd_N -=Sm#00NCN_lOMEHCk\3M_4.Ljo_dSj -Q#j=0CN0_OlNECHM\M3k4L._od_jj -_cS=Q4#00NCN_lOMEHCk\3M_4.Ljo_ddj_;R -sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hB4J_#lNkG_NH_d -_4Shm=__(d4Q -Sjp=Bid_jj -_OS=Q4hd_6;R -sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hB4J_#lNkG_NH_d -_.Shm=__(d.Q -Sjv=1_Qqvt(qr9Q -S4 =MX1u_u qB_ -O;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB__4#kJlGHN__ -NdShm=_ -(dS=Qjhd_(_S4 -Qh4=__(d.s; -R:fjjNRlOqERhR7.blsHRq7aB1i_Y_hB4J_#lNkG_H4___Nd4m -S=(h_. -_4S=QjB_pij_jj7Sj -Q74=aiqB_ -H;sjRf:ljRNROEq.h7RHbslaR7q_Bi1BYh_#4_JGlkN__4Hd_N_S. -m_=h(.._ -jSQ=_1vqtvQq9rd -4SQ=qeu_ -7;sjRf:ljRNROEq.h7RHbslaR7q_Bi1BYh_#4_JGlkN__4Hd_N -=Smh._( -jSQ=(h_. -_4S=Q4h._(_ -.;sjRf:ljRNROEq.h7RHbsluReqY_1h4B__l#Jk_GN4__HN4d_ -=Smhj_(_S4 +R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_#4_JGlkN__HNSd +m_=hUSj +Qhj=__Uj4Q +S4_=hU.j_;R +sfjj:ROlNEhRq7b.RsRHl7BaqiY_1h4B__l#Jk_GN4__HN4d_ +=SmhU_(_S4 QBj=pji_j7j_jQ -S4_=h6H(_;R -sfjj:ROlNEhRq7b.RsRHle_uq1BYh_#4_JGlkN__4Hd_N_S. -m_=h(.j_ -jSQ=_1vqtvQq9rd -4SQ=qev_aQh_ -H;sjRf:ljRNROEq.h7RHbslMRkUH_OH6M_ -=Smhd_.U -_6S=Qjhd_.U -_4S=Q4hd_.U;_. -fsRjR:jlENOR7qh.sRbHklRMOU_H_HMnm -S=.h_dnU_ -jSQ=.h_ddU_ -4SQ=.h_dcU_;R -sfjj:ROlNEhRq7b.RsRHlk_MUOMHH -=Smhd_.UQ -Sj_=h._dU6Q -S4_=h._dUns; -R:fjjNRlOqERhR7.blsHRckM_HOHM -_4Shm=_4.c_S4 -Qqj=_.OrjS9 -Qq4=_.Or4 -9;sjRf:ljRNROEq.h7RHbslMRkcH_OH.M_ -=Smhc_.4 -_.S=Qjqr_O. -.9S=Q4qr_O.;d9 -fsRjR:jlENOR7qh.sRbHklRMOc_H -HMShm=_4.c -jSQ=.h_c44_ -4SQ=.h_c.4_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MOU_Dj _j8j_. -_4S#m=0CN0_OlNECHM\M3kUD_O j_jj._8_S4 -QBj=pji_j7j_dQ -S41=q_jjd_jjj_h1YB;_H -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kUD_O j_jj._8 -=Sm#00NCN_lOMEHCk\3MOU_Dj _j8j_.Q -Sj0=#N_0ClENOH\MC3UkM_ OD_jjj__8.4Q -S4p=Bij_jj._7_ -H;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB__4#kJlGHN___F.4m -S=6h_d__H4Q -Sj_=qO(r49Q -S4t=Aq_Bij_jjOs; -R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_#4_JGlkN__HF.._ -=Smhd_6_.H_ -jSQ=_wBO9rj -4SQ=_wBO9r4;R -sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hB4J_#lNkG_FH_. -_dShm=__6dH -_dS=Qjqr_H4 -g9S=Q4qr_H4;n9 -fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1h4B__l#Jk_GNH._F_Sc -m_=h6Hd__Sc -Qhj=__6dH -_4S=Q4hd_6_.H_;R -sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hB4J_#lNkG_FH_. -_6Shm=__6dH -_6S=Qjhd_6_dH_ -4SQ=Hq_r94U;R -sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hB4J_#lNkG_FH_.m -S=6h_d -_HS=Qjhd_6_cH_ -4SQ=6h_d__H6s; -R:fjjNRlOqERhR7.blsHRkOb_0C#__M#j__j49r4 -=SmO_bkC_#0Mj#__44r9Q -Sj_=h4_.nHQ -S4_=h4_.UHs; -R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hB4J_#lNkG_HH_ -=Smh(_. -jSQ=.h_(;_j -fsRjR:jlENOReQhRHbslaR7q_Bi1BYh_#4_JGlkN__4H -_HShm=_ -.dS=Qjhd_._ -j;sjRf:ljRNROEQRheblsHR(h_4 -_HShm=__(4HQ -Sj_=h( -4;sjRf:ljRNROEQRheblsHR4kM__N#j_djj_jj#O$MU__HHm -S=.h_jS6 -Qhj=_6.j_ -j;sjRf:ljRNROEQRheblsHRqeu_h1YB__4#kJlG4N__HH_ -=Smhj_.cQ -Sj_=h._jcjs; -R:fjjNRlOQERhbeRsRHlhU_n_SH -m_=hnHU_ -jSQ=nh_Us; -R:fjjNRlOQERhbeRsRHlhg_n_SH -m_=hnHg_ -jSQ=nh_gs; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_46O_D j_jj8jj__SH -m0=#N_0ClENOH\MC34kM6D_O j_jjj_8 -jSQ=N#00lC_NHOEM3C\k6M4_ OD_jjj__8jjs; -R:fjjNRlOQERhbeRsRHlk_M4Nj#_ddj__HH_ -=Smhj_.dQ -Sj_=h._jdjs; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MLn_o NO_jjj_Hj_ -=Sm#00NCN_lOMEHCk\3MLn_o NO_jjj -jSQ=N#00lC_NHOEM3C\k_MnLOoN j_jj;_j -fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC3.kMdD_O j_jjj_8_SH -m0=#N_0ClENOH\MC3.kMdD_O j_jjj_8 -jSQ=N#00lC_NHOEM3C\kdM._ OD_jjj__8jjs; +S4a=7q_BiHs; +R:fjjNRlOqERhR7.blsHRq7aB1i_Y_hB4J_#lNkG_H4___Nd.m +S=(h_U +_.S=Qj1qv_vqQtr +d9S=Q4e_uq7s; +R:fjjNRlOqERhR7.blsHRq7aB1i_Y_hB4J_#lNkG_H4__ +NdShm=_ +(US=QjhU_(_S4 +Qh4=__(U.s; +R:fjjNRlOqERhR7.blsHRqeu_h1YB__4#kJlG4N__NH_d +_4Shm=__(c4Q +Sjp=Bij_jjj_7 +4SQ=6h_g;_H +fsRjR:jlENOR7qh.sRbHelRu1q_Y_hB4J_#lNkG_H4___Nd.m +S=(h_c +_.S=Qj1qv_vqQtr +d9S=Q4e_vqQ_haHs; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_.dO_D j_jj8Hj_ +=Sm#00NCN_lOMEHCk\3M_.dO_D j_jj8Sj +Q#j=0CN0_OlNECHM\M3k.Od_Dj _j8j_j;_j +fsRjR:jlENOR7qh.sRbHklRMOU_H_HM4m +S=.h_d4n_ +jSQ=Hq_r9.c +4SQ=Hq_r9.6;R +sfjj:ROlNEhRq7b.RsRHlk_MUOMHH_S. +m_=h._dn.Q +Sj_=qHnr.9Q +S4_=qH(r.9s; R:fjjNRlOqERhR7.blsHRUkM_HOHM -_4Shm=_U.d_S4 -Qqj=_.HrcS9 -Qq4=_.Hr6 -9;sjRf:ljRNROEq.h7RHbslMRkUH_OH.M_ -=Smhd_.U -_.S=Qjqr_H. -n9S=Q4qr_H.;(9 -fsRjR:jlENOR7qh.sRbHklRMOU_H_HMdm -S=.h_ddU_ -jSQ=Hq_r9.U -4SQ=Hq_r9.g;R -sfjj:ROlNEhRq7b.RsRHlk_MUOMHH_Sc -m_=h._dUcQ -Sj_=qHjrd9Q -S4_=qH4rd9s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#jr_H(S9 -mv=1_QqvtMq_#9r( -jSQ=_1vqtvQq#_M_(jr9s; -R:fjjNRlOQERhbeRsRHlhd_g_SH -m_=hgHd_ -jSQ=gh_ds; -R:fjjNRlOQERhbeRsRHlhc_g_SH -m_=hgHc_ -jSQ=gh_cs; -R:fjjNRlOQERhbeRsRHlh6_U_SH -m_=hUH6_ -jSQ=Uh_6s; -R:fjjNRlOQERhbeRsRHlhn_U_SH -m_=hUHn_ -jSQ=Uh_ns; -R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#jr_H6S9 -mv=1_QqvtMq_#9r6 -jSQ=_1vqtvQq#_M_6jr9s; -R:fjjNRlOQERhbeRsRHlhc_U_SH -m_=hUHc_ -jSQ=Uh_cs; -R:fjjNRlOQERhbeRsRHlhd_4j -_HShm=_j4d_SH -Qhj=_j4d;R -sfjj:ROlNEhRQesRbHhlR__U.Hm -S=Uh_. -_HS=Qjh._U;R -sfjj:ROlNEhRQesRbHhlR__U4Hm -S=Uh_4 -_HS=Qjh4_U;R -sfjj:ROlNEhRQesRbHhlR__((Hm -S=(h_( -_HS=Qjh(_(;R -sfjj:ROlNEhRQesRbHhlR__(6Hm -S=(h_6 -_HS=Qjh6_(;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\73p1j_jjh_Qa__6j -_HS#m=0CN0_OlNECHM\73p1j_jjh_Qa -_6S=Qj#00NCN_lOMEHCp\37j1_jQj_h6a__ -j;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\z_71j_jjQ_ha6__jHm -S=N#00lC_NHOEM3C\z_71j_jjQ_ha6Q -Sj0=#N_0ClENOH\MC31z7_jjj_aQh_j6_;R -sfjj:ROlNEhRQesRbHhlR__(dHm -S=(h_d -_HS=Qjhd_(;R -sfjj:ROlNEhRQesRbHhlR_d4._SH -m_=h4_.dHQ -Sj_=h4;.d -fsRjR:jlENOReQhRHbslbROk#_C0_#Cj__jHm -S=kOb_0C#__M#C9rj -jSQ=kOb_0C#__M#Cr_jj -9;sjRf:ljRNROEQRheblsHR(h_g -_HShm=__(gHQ -Sj_=h( -g;sjRf:ljRNROEQRheblsHR.h_.Hn_ -=Smh._.n -_HS=Qjh._.ns; -R:fjjNRlOQERhbeRsRHlh._.( -_HShm=_(.._SH -Qhj=_(..;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqMj#__jHr9m -S=_1vqtvQq#_Mr -j9S=Qj1qv_vqQt__M#j9rj;R -sfjj:ROlNEhRQesRbHhlR_..._SH -m_=h._..HQ -Sj_=h.;.. -fsRjR:jlENOReQhRHbsl_Rh._.dHm -S=.h_.Hd_ -jSQ=.h_. -d;sjRf:ljRNROEQRheblsHR.h_.H6_ -=Smh._.6 -_HS=Qjh._.6s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0Mj#__Hj_r -.9SOm=bCk_#M0_#9r. -jSQ=kOb_0C#__M#j9r.;R -sfjj:ROlNEhRQesRbHhlR_4.._SH -m_=h._.4HQ -Sj_=h.;.4 -fsRjR:jlENOReQhRHbsl_Rh4_.(Hm -S=4h_.H(_ -jSQ=4h_. -(;sjRf:ljRNROEQRheblsHR.h_.Hj_ -=Smh._.j -_HS=Qjh._.js; +_dShm=_n.d_Sd +Qqj=_.HrUS9 +Qq4=_.Hrg +9;sjRf:ljRNROEq.h7RHbslMRkUH_OHcM_ +=Smhd_.n +_cS=Qjqr_Hd +j9S=Q4qr_Hd;49 +fsRjR:jlENOR7qh.sRbHklRMOU_H_HM6m +S=.h_d6n_ +jSQ=.h_d4n_ +4SQ=.h_d.n_;R +sfjj:ROlNEhRq7b.RsRHlk_MUOMHH_Sn +m_=h._dnnQ +Sj_=h._dndQ +S4_=h._dncs; +R:fjjNRlOqERhR7.blsHRUkM_HOHMm +S=.h_dSn +Qhj=_n.d_S6 +Qh4=_n.d_ +n;sjRf:ljRNROEq.h7RHbslMRkcH_OH4M_ +=Smhd_.g +_4S=Qjqr_O. +j9S=Q4qr_O.;49 +fsRjR:jlENOR7qh.sRbHklRMOc_H_HM.m +S=.h_d.g_ +jSQ=Oq_r9.. +4SQ=Oq_r9.d;R +sfjj:ROlNEhRq7b.RsRHlk_McOMHH +=Smhd_.gQ +Sj_=h._dg4Q +S4_=h._dg.s; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_MUO_D j_jj84._ +=Sm#00NCN_lOMEHCk\3MOU_Dj _j8j_. +_4S=QjB_pij_jj7Sd +Qq4=1d_jjj_jjY_1hHB_;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MOU_Dj _j8j_.m +S=N#00lC_NHOEM3C\k_MUO_D j_jj8S. +Q#j=0CN0_OlNECHM\M3kUD_O j_jj._8_S4 +QB4=pji_j7j_.;_H +fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1h4B__l#Jk_GNH._F_S4 +m_=h6H6__S4 +Qqj=_4Or(S9 +QA4=tiqB_jjj_ +O;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB__4#kJlGHN___F..m +S=6h_6__H.Q +SjB=w_jOr9Q +S4B=w_4Or9s; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCz\37j1_jQj_h6a__Hj_ +=Sm#00NCN_lOMEHCz\37j1_jQj_h6a_ +jSQ=N#00lC_NHOEM3C\z_71j_jjQ_ha6;_j +fsRjR:jlENOReQhRHbsl_RhUHj_ +=Smhj_U_SH +Qhj=_;Uj +fsRjR:jlENOReQhRHbsl1Rq_jjd_jjj_h1YB__4#kJlGHN__SH +m_=hdSj +Qhj=__djjs; +R:fjjNRlOQERhbeRsRHl7BaqiY_1h4B__l#Jk_GN4__HHm +S=.h_nQ +Sj_=h.jn_;R +sfjj:ROlNEhRQesRbHhlR__(nHm +S=(h_n +_HS=Qjhn_(;R +sfjj:ROlNEhRQesRbHklRMN4_#d_jjj_jj$_#M_OUH +_HShm=_n.j +jSQ=.h_jjn_;R +sfjj:ROlNEhRQesRbHelRu1q_Y_hB4J_#lNkG_H4__SH +m_=h. +j6S=Qjhj_.6;_j +fsRjR:jlENOReQhRHbsl_Rh(H._ +=Smh._(_SH +Qhj=_;(. +fsRjR:jlENOReQhRHbsl_Rh(Hd_ +=Smhd_(_SH +Qhj=_;(d +fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC34kM6D_O j_jjj_8_Hj_ +=Sm#00NCN_lOMEHCk\3M_46O_D j_jj8Sj +Q#j=0CN0_OlNECHM\M3k4O6_Dj _j8j_j;_j +fsRjR:jlENOReQhRHbslMRk4#_N_jjd_Hd__SH +m_=h. +jcS=Qjhj_.c;_j +fsRjR:jlENOReQhRHbsltRA_jjd_HO_ +=SmAjt_dOj__SH +QAj=td_jj;_O +fsRjR:jlENOReQhRHbsl_Rh(Hj_ +=Smhj_(_SH +Qhj=_;(j +fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC34kMjo_L_jjd_Hj_ +=Sm#00NCN_lOMEHCk\3M_4jLjo_dSj +Q#j=0CN0_OlNECHM\M3k4Lj_od_jj;_j +fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC3nkM_NLoOj _jjj__SH +m0=#N_0ClENOH\MC3nkM_NLoOj _jSj +Q#j=0CN0_OlNECHM\M3kno_LN_O j_jjjs; +R:fjjNRlOQERhbeRsRHlh4_.g +_HShm=_g.4_SH +Qhj=_g.4;R +sfjj:ROlNEhRQesRbHhlR_j.._SH +m_=h._.jHQ +Sj_=h.;.j +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#__jH9r( +=Sm1qv_vqQt_rM#(S9 +Q1j=vv_qQ_tqMj#_r;(9 +fsRjR:jlENOReQhRHbsl_Rh._46Hm +S=.h_4H6_ +jSQ=.h_4 +6;sjRf:ljRNROEQRheblsHR.h_4Hn_ +=Smh4_.n +_HS=Qjh4_.ns; +R:fjjNRlOQERhbeRsRHlh6_g_SH +m_=hgH6_ +jSQ=gh_6s; R:fjjNRlOQERhbeRsRHlh4_.c _HShm=_c.4_SH Qhj=_c.4;R -sfjj:ROlNEhRQesRbHhlR_6.4_SH -m_=h._46HQ -Sj_=h.;46 -fsRjR:jlENOReQhRHbslvR1_QqvtMq_#__Hj._F_cHr9m -S=nh_4Q -Sj_=hnj4_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqMH#__Fj_.r_H4S9 -m_=hnSj -Qhj=__njjs; -R:fjjNRlOQERhbeRsRHlh._4g -_HShm=_g4._SH -Qhj=_g4.;R -sfjj:ROlNEhRQesRbHklRMq4_vqQt_1Az_q hA_p 4J_#lNkG_j.___FdHm -S=4kM_QqvtAq_z 1_hpqA __4#kJlG.N_ -jSQ=4kM_QqvtAq_z 1_hpqA __4#kJlG.N__ -j;sjRf:ljRNROEQRheblsHR_71j_djO -_HS7m=1d_jj__OHQ -Sj1=7_jjd_ -O;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\z_71j_jjQ_ha6__jFHd_ -=SmhU_6 -jSQ=6h_U;_H -fsRjR:jlENOReQhRHbslbROk#_C0#_M_jH___F.H9rd -=Smh(_6 -jSQ=6h_(;_H -fsRjR:jlENOReQhRHbslMRk41_7q_BiQ_hajJ_#lNkG_FH_.__HFH._ -=Smh6_6 -jSQ=6h_6;_H -fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC3QqvtAq_z 1_hpqA __dVHj___F.Hm -S=6h_cQ -Sj_=h6Hc_;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1h4B__l#Jk_GNH._F_SH -m_=h6Sd -Qhj=__6dHs; -R:fjjNRlOQERhbeRsRHl7B1qih_Qa__4#kJlGHN___FdHm +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqMj#__6Hr9m +S=_1vqtvQq#_Mr +69S=Qj1qv_vqQt__M#j9r6;R +sfjj:ROlNEhRQesRbHhlR__gcHm +S=gh_c +_HS=Qjhc_g;R +sfjj:ROlNEhRQesRbHhlR_d4d_SH +m_=h4_ddHQ +Sj_=h4;dd +fsRjR:jlENOReQhRHbsl_RhUH(_ +=Smh(_U_SH +Qhj=_;U( +fsRjR:jlENOReQhRHbsl_RhUHn_ +=Smhn_U_SH +Qhj=_;Un +fsRjR:jlENOReQhRHbsl_RhUHd_ +=Smhd_U_SH +Qhj=_;Ud +fsRjR:jlENOReQhRHbsl_RhUH._ +=Smh._U_SH +Qhj=_;U. +fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC31p7_jjj_aQh_j6__SH +m0=#N_0ClENOH\MC31p7_jjj_aQh_S6 +Q#j=0CN0_OlNECHM\73p1j_jjh_Qa__6js; +R:fjjNRlOQERhbeRsRHlhg_U_jH___F.Hm S=6h_jQ Sj_=h6Hj_;R +sfjj:ROlNEhRQesRbHhlR_64._SH +m_=h4_.6HQ +Sj_=h4;.6 +fsRjR:jlENOReQhRHbsl_Rh4_.nHm +S=4h_.Hn_ +jSQ=4h_. +n;sjRf:ljRNROEQRheblsHRkOb_0C##jC__Hj_ +=SmO_bkC_#0MC#_r +j9S=QjO_bkC_#0MC#__jjr9s; +R:fjjNRlOQERhbeRsRHlh6_U_SH +m_=hUH6_ +jSQ=Uh_6s; +R:fjjNRlOQERhbeRsRHlh._4d +_HShm=_d4._SH +Qhj=_d4.;R +sfjj:ROlNEhRQesRbHhlR_c4._SH +m_=h4_.cHQ +Sj_=h4;.c +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#__jH9rj +=Sm1qv_vqQt_rM#jS9 +Q1j=vv_qQ_tqMj#_r;j9 +fsRjR:jlENOReQhRHbsl_Rh4_..Hm +S=4h_.H._ +jSQ=4h_. +.;sjRf:ljRNROEQRheblsHR.h_.H(_ +=Smh._.( +_HS=Qjh._.(s; +R:fjjNRlOQERhbeRsRHlh._.U +_HShm=_U.._SH +Qhj=_U..;R +sfjj:ROlNEhRQesRbHOlRbCk_#M0_#__jjr_H.S9 +mb=Ok#_C0#_Mr +.9S=QjO_bkC_#0Mj#_r;.9 +fsRjR:jlENOReQhRHbsl_Rh._.nHm +S=.h_.Hn_ +jSQ=.h_. +n;sjRf:ljRNROEQRheblsHR4h_dHj_ +=Smhd_4j +_HS=Qjhd_4js; +R:fjjNRlOQERhbeRsRHlh._.6 +_HShm=_6.._SH +Qhj=_6..;R +sfjj:ROlNEhRQesRbHhlR_4.._SH +m_=h._.4HQ +Sj_=h.;.4 +fsRjR:jlENOReQhRHbsl_Rh._..Hm +S=.h_.H._ +jSQ=.h_. +.;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M_jH___F.H9rc +=Smhd_n +jSQ=nh_d;_j +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#__Hj._F_4Hr9m +S=nh_.Q +Sj_=hnj._;R +sfjj:ROlNEhRQesRbHhlR_.4d_SH +m_=h4_d.HQ +Sj_=h4;d. +fsRjR:jlENOReQhRHbslMRk4v_qQ_tqA_z1 Ahqp4 __l#Jk_GN.__jFHd_ +=Smk_M4qtvQqz_A1h_ q Ap_#4_JGlkN +_.S=Qjk_M4qtvQqz_A1h_ q Ap_#4_JGlkN__.js; +R:fjjNRlOQERhbeRsRHl7j1_dOj__SH +m1=7_jjd_HO_ +jSQ=_71j_djOs; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCz\37j1_jQj_h6a__Fj_d +_HShm=_ +njS=Qjhj_n_ +H;sjRf:ljRNROEQRheblsHRkOb_0C#__M#H__jFH._r +d9Shm=_ +6gS=Qjhg_6_ +H;sjRf:ljRNROEQRheblsHR4kM_q71BQi_hja__l#Jk_GNH._F_FH_. +_HShm=_ +6(S=Qjh(_6_ +H;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\qtvQqz_A1h_ q Ap_Vd_j__HFH._ +=Smhn_6 +jSQ=6h_n;_H +fsRjR:jlENOReQhRHbsl1Rq_jjd_jjj_h1YB__4#kJlGHN___F.Hm +S=6h_6Q +Sj_=h6H6_;R sfjj:ROlNEhRQesRbHBlRpji_j7j_4 _HSBm=pji_j7j_4 _HS=QjB_pij_jj7 4;sjRf:ljRNROEQRheblsHR OD\M3kdD_O j_jj4_8_Fj_. _HShm=_ -cgS=Qjhg_c_ -H;sjRf:ljRNROEQRheblsHRUh_U__Hj._F_SH -m_=hcSU -Qhj=__cUHs; -R:fjjNRlOQERhbeRsRHlh._4. -_HShm=_.4._SH -Qhj=_.4.;R -sfjj:ROlNEhRQesRbHOlRbCk_#M0_#__jjr_H4S9 -mb=Ok#_C0#_Mr -49S=QjO_bkC_#0Mj#_r;49 -fsRjR:jlENOReQhRHbsl_Rh4_.nHm -S=4h_.Hn_ -jSQ=4h_. -n;sjRf:ljRNROEQRheblsHR4h_.HU_ -=Smh._4U -_HS=Qjh._4Us; -R:fjjNRlOQERhbeRsRHlh4_.n -_HShm=_n.4_SH -Qhj=_n.4;R -sfjj:ROlNEhRQesRbHhlR_(.4_SH -m_=h._4(HQ -Sj_=h.;4( -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\73z1j_jjh_Qa__6jd_F -=SmhU_6_SH -Q7j=1d_jj__OHQ -S4_=hc -(;sjRf:ljRNROEq.h7RHbslMRk4v_qQ_tqA_z1 Ahqp4 __l#Jk_GN.__jFSd -mM=k4v_qQ_tqA_z1 Ahqp4 __l#Jk_GN. -_jS=Qjhj_6_SH -Qh4=_g4._ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__Hj._Fr -49Shm=__njjQ -Sjp=Bij_jjj_7_SH -Qh4=__6cHs; -R:fjjNRlOQERhbeRsRHlB_pij_jj7Hj_ -=SmB_pij_jj7Hj_ -jSQ=iBp_jjj_;7j -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -c9S1m=vv_qQ_tqH9rc -jSQ=_1vqtvQq9rc;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#H__jFc.r9m -S=nh_4 -_jS=QjB_pij_jj7Sj -Q14=vv_qQrtqc -9;sjRf:ljRNROEQRheblsHR_Atj_djHm -S=_Atj_djHQ -Sjt=A_jjd_ -O;sjRf:ljRNROEQRheblsHR4Q_.S( -ma=7q_BiHQ -Sja=7q_BiOs; -R:fjjNRlOQERhbeRsRHle_uq7 -_HSem=u7q__SH -Qej=u7q_;R -sfjj:ROlNEhRQesRbHelRvQq_hHa_ -=Sme_vqQ_haHQ -Sjv=eqh_Qas; -R:fjjNRlOQERhbeRsRHl)HW_ -=Sm)HW_ -jSQ=_)WOs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\qtvQqz_A1h_ q Ap_Vd_j -_HShm=__c4HQ -Sj_=h6Sc -Qh4=_4.._ -H;sjRf:ljRNROEq.h7RHbslbROk#_C0_#Cj -_jSOm=bCk_#M0_#__Cj9rj -jSQ=4h_.H._ -4SQ=4h_.Hd_;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\73z1j_jjh_Qa__6j._l3Ss -m0=#N_0ClENOH\MC31z7_jjj_aQh_j6__3l.k -MdS=Qj)OW_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCz\37j1_jQj_h6a__lj_. -3lS#m=0CN0_OlNECHM\73z1j_jjh_Qa__6j._l34kM -jSQ=_1vqtvQq9r6 -4SQ=_)WOs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\z_71j_jjQ_ha6__jlM.3 -=Sm#00NCN_lOMEHCz\37j1_jQj_h6a__lj_.M3kjQ -Sjv=1_Qqvtcqr9Q -S40=#N_0ClENOH\MC31z7_jjj_aQh_j6__3l.k;Md -fsRjR:jlENOR.m)RHbsl0R#N_0ClENOH\MC31z7_jjj_aQh_j6__3l.bm -S=ch_(Q -Sj0=#N_0ClENOH\MC31z7_jjj_aQh_j6__3l.k -M4S=Q4#00NCN_lOMEHCz\37j1_jQj_h6a__lj_.M3kjs; -R:fjjNRlOQERhbeRsRHlqj1_jQj_hHa_ -=Smqj1_jQj_hHa_ -jSQ=_q1j_jjQ;ha -fsRjR:jlENOR7qh.sRbHhlR__UUH__jFS. -m_=hcHU_ -jSQ=_q1j_jjQ -haS=Q4B_pij_jj7 -j;sjRf:ljRNROEq.h7RHbslDRO k\3MOd_Dj _j8j_4__jFS. -m_=hcHg_ -jSQ=iBp_jjj_ -7jS=Q4B_pij_jj7H4_;R -sfjj:ROlNEhRq7b.RsRHl7B1qih_Qa__4#kJlGHN__ -FdShm=__6jHQ -Sj1=q_jjd_SH -Qh4=_;66 -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -n9S1m=vv_qQ_tqH9rn -jSQ=_1vqtvQq9rn;R -sfjj:ROlNEhRQesRbHMlR _Xu1Buq -_HSMm= _Xu1Buq -_HS=QjMu X_q1uBO _;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCq\3vqQt_1Az_q hA_p dj_V_FH_.m -S=6h_c -_HS=Qj1qv_vqQtr -n9S=Q4Mu X_q1uBO _;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r4 -=Sm1qv_vqQt_4Hr9Q -Sjv=1_Qqvt4qr9s; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MOn_Dj _j8j_c -_HS#m=0CN0_OlNECHM\M3knD_O j_jjc_8_SH -Q#j=0CN0_OlNECHM\M3knD_O j_jjc_8;R -sfjj:ROlNEhRq7b.RsRHlk_M47B1qih_Qa__j#kJlGHN___F.H._F -=Smh6_6_SH -Q1j=vv_qQrtq4S9 -Q#4=0CN0_OlNECHM\M3knD_O j_jjc_8;R -sfjj:ROlNEhRQesRbHOlRbCk_#H0_r -d9SOm=bCk_#H0_r -d9S=QjO_bkCr#0d -9;sjRf:ljRNROEq.h7RHbslbROk#_C0#_M_jH__rF.dS9 +6cS=Qjhc_6_ +H;sjRf:ljRNROEQRheblsHRq71BQi_h4a__l#Jk_GNHd_F_SH +m_=h6S4 +Qhj=__64Hs; +R:fjjNRlOQERhbeRsRHlO_bkC_#0Mj#__Hj_r +49SOm=bCk_#M0_#9r4 +jSQ=kOb_0C#__M#j9r4;R +sfjj:ROlNEhRQesRbHhlR_g4._SH +m_=h4_.gHQ +Sj_=h4;.g +fsRjR:jlENOReQhRHbsl_Rh4_d4Hm +S=4h_dH4_ +jSQ=4h_d +4;sjRf:ljRNROEq.h7RHbslMRk41_7q_BiQ_hajJ_#lNkG_FH_.__HFS. m_=h6H(_ -jSQ=kOb_0C#r -d9S=Q4O_bkC_#0H9r4;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_46O_D j_jj8jj_ -=Sm#00NCN_lOMEHCk\3M_46O_D j_jj8jj_ -jSQ=nh_U -_HS=Q4hg_n_ -H;sjRf:ljRNROEq.h7RHbsluReqY_1h4B__l#Jk_GN4 -_HShm=_c.j_Sj -Qqj=1d_jj -_HS=Q4hj_(_ -H;sjRf:ljRNROEq.h7RHbslMRk4#_N_jjd_jjj_M#$OHU_ -=Smhj_.6 -_jS=Qjqj1_dHj_ -4SQ=(h_4;_H -fsRjR:jlENOR7qh.sRbH7lRaiqB_h1YB__4#kJlG4N__SH -m_=h.jd_ -jSQ=_q1j_djHQ -S4_=h(H._;R -sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hB4J_#lNkG_SH -m_=h.j(_ -jSQ=(h_d -_HS=Q4k_M4qtvQqz_A1h_ q Ap_#4_JGlkN__.js; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\z_71j_jjQ_ha6 -_jS#m=0CN0_OlNECHM\73z1j_jjh_Qa__6jQ -Sj_=qH9rj -4SQ=6h_U;_H -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\73p1j_jjh_Qa__6jm -S=N#00lC_NHOEM3C\p_71j_jjQ_ha6 -_jS=QjhU_6_SH -Qh4=__(6Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_jH_r -49Shm=__(cHQ -Sj_=h(H(_ -4SQ=(h_g;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#__.jr9m -S=(h_n -_HS=QjB_pij_jj7Sj -Qh4=__U4Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_jH_r -d9Shm=__(UHQ -Sjp=Bij_jjj_7_SH -Qh4=__U.Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_jH_r -c9Shm=__UjHQ -Sj_=hUHc_ -4SQ=4h_dHj_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#j9r6 -=Sm1qv_vqQt__M#j9r6 -jSQ=Uh_6 -_HS=Q4hn_U_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__Hj9rn -=Smhd_U_SH -Qhj=__gdHQ -S4_=hgHc_;R +jSQ=_1vqtvQq9r4 +4SQ=N#00lC_NHOEM3C\k_MnO_D j_jj8 +6;sjRf:ljRNROEQRheblsHRkOb_0C#_dHr9m +S=kOb_0C#_dHr9Q +Sjb=Ok#_C09rd;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0MH#__Fj_.9rd +=Smhg_6_SH +QOj=bCk_#d0r9Q +S4b=Ok#_C0r_H4 +9;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC31z7_jjj_aQh_j6__ +FdShm=__njHQ +Sj1=7_jjd_HO_ +4SQ=ch_gs; +R:fjjNRlOqERhR7.blsHR4kM_QqvtAq_z 1_hpqA __4#kJlG.N__Fj_dm +S=4kM_QqvtAq_z 1_hpqA __4#kJlG.N__Sj +Qhj=__64HQ +S4_=h4_d.Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_jH__rF.4S9 +m_=hnj._ +jSQ=iBp_jjj__7jHQ +S4_=h6Hn_;R +sfjj:ROlNEhRQesRbHBlRpji_j7j_j +_HSBm=pji_j7j_j +_HS=QjB_pij_jj7 +j;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HcS9 +mv=1_QqvtHq_r +c9S=Qj1qv_vqQtr;c9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#__Fj_.9rc +=Smhd_n_Sj +QBj=pji_j7j_jQ +S4v=1_Qqvtcqr9s; +R:fjjNRlOQERhbeRsRHlQ._4(m +S=q7aBHi_ +jSQ=q7aBOi_;R +sfjj:ROlNEhRQesRbHelRu7q__SH +mu=eq__7HQ +Sju=eq;_7 +fsRjR:jlENOReQhRHbslvReqh_Qa +_HSem=vQq_hHa_ +jSQ=qev_aQh;R +sfjj:ROlNEhRQesRbH)lRW +_HS)m=W +_HS=Qj)OW_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Hr_jnS9 +m_=hUHc_ +jSQ=.h_4H6_ +4SQ=.h_4Hn_;R sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#j9r( =Sm1qv_vqQt__M#j9r( -jSQ=.h_4Hc_ -4SQ=.h_4H6_;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0MH#__djr9m -S=4h_6H(_ -jSQ=4h_.H(_ +jSQ=.h_4Hg_ 4SQ=.h_.Hj_;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0Mj#__Nj_dr_j.S9 -m_=h. -.dS=QjO_bkC_#0H9rj -4SQ=kOb_0C#_4Hr9s; -R:fjjNRlOqERhR7.blsHRkOb_0C#__M#j__jN4d_r -.9Shm=_6.. -jSQ=kOb_0C#r -j9S=Q4O_bkCr#0d -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__jNjdr9m -S=.h_.Sn -QBj=pji_j7j_j -_HS=Q4h._4gs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_Nj_dr_jjS9 -m_=h. -.(S=QjhU_c_SH -Q14=vv_qQrtqj -9;sjRf:ljRNROEq.h7RHbslbROk#_C0_#Cjd_N -=Smh._4.Q -Sj_=hcSg -QO4=bCk_#j0r9s; -R:fjjNRlOqERhR7.blsHRkOb_0C##jC___Ndjm -S=4h_.Sd -Qhj=__cgHQ -S4b=Ok#_C0r_Hj -9;sjRf:ljRNROEQRheblsHRkOb_0C#_jHr9m -S=kOb_0C#_jHr9Q -Sjb=Ok#_C09rj;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0Mj#__Nj_.9r4 -=Smh._4nQ -Sjb=Ok#_C09r4 -4SQ=kOb_0C#_jHr9s; -R:fjjNRlOqERhR7.blsHRkOb_0C#__M#H__jNd.r9m -S=4h_.S( -Qhj=_n4. -4SQ=kOb_0C#_dHr9s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0H9r4 -=SmO_bkC_#0H9r4 -jSQ=kOb_0C#r;49 -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jj._N_4jr9m -S=4h_.SU -QOj=bCk_#j0r9Q -S4b=Ok#_C0r_H4 -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__jNj.r9m -S=4h_.Sg +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0MH#__djr9m +S=4h_6HU_ +jSQ=4h_dHj_ +4SQ=.h_.H6_;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCq\3vqQt_1Az_q hA_p dj_V_SH +m_=hcHc_ +jSQ=6h_nQ +S4_=h._.nHs; +R:fjjNRlOqERhR7.blsHRkOb_0C##jC__Sj +mb=Ok#_C0#_M_jC_r +j9S=Qjh._46 +_HS=Q4h._4n;_H +fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC31z7_jjj_aQh_j6__3l.sm +S=N#00lC_NHOEM3C\z_71j_jjQ_ha6__jlk.3MSd +Q)j=W;_O +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\73z1j_jjh_Qa__6j._l3Sl +m0=#N_0ClENOH\MC31z7_jjj_aQh_j6__3l.k +M4S=Qj1qv_vqQtr +69S=Q4)OW_;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCz\37j1_jQj_h6a__lj_. +3MS#m=0CN0_OlNECHM\73z1j_jjh_Qa__6j._l3jkM +jSQ=_1vqtvQq9rc +4SQ=N#00lC_NHOEM3C\z_71j_jjQ_ha6__jlk.3M +d;sjRf:ljRNROEmR).blsHRN#00lC_NHOEM3C\z_71j_jjQ_ha6__jlb.3 +=Smhg_c +jSQ=N#00lC_NHOEM3C\z_71j_jjQ_ha6__jlk.3MS4 +Q#4=0CN0_OlNECHM\73z1j_jjh_Qa__6j._l3jkM;R +sfjj:ROlNEhRQesRbHqlR1j_jjh_Qa +_HSqm=1j_jjh_Qa +_HS=Qjqj1_jQj_h +a;sjRf:ljRNROEq.h7RHbsl_RhUHg__Fj_.m +S=6h_j +_HS=Qjqj1_jQj_hSa +QB4=pji_j7j_js; +R:fjjNRlOqERhR7.blsHRq71BQi_h4a__l#Jk_GNHd_F +=Smh4_6_SH +Qqj=1d_jj +_HS=Q4h(_6;R +sfjj:ROlNEhRq7b.RsRHlO\D 3dkM_ OD_jjj__84j._F +=Smhc_6_SH +QBj=pji_j7j_jQ +S4p=Bij_jj4_7_ +H;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HnS9 +mv=1_QqvtHq_r +n9S=Qj1qv_vqQtr;n9 +fsRjR:jlENOReQhRHbsl RMX1u_u qB_SH +m =MX1u_u qB_SH +QMj= _Xu1Buq ;_O +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\v3qQ_tqA_z1 Ahqpd ___VjH._F +=Smhn_6_SH Q1j=vv_qQrtqnS9 -QM4= _Xu1Buq ;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#__Nj_.9rc -=Smhd_4jQ -Sjp=Bij_jjj_7_SH -Q#4=0CN0_OlNECHM\M3k.Od_Dj _j8j_js; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_MnLOoN j_jj -_jS#m=0CN0_OlNECHM\M3kno_LN_O j_jjjQ -Sjt=Aq_Bij_jjOQ -S4_=hc -g;sjRf:ljRNROEq.h7RHbslMRk4#_N_jjd_Hd_ -=Smhj_.d +QM4= _Xu1Buq ;_O +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +49S1m=vv_qQ_tqH9r4 +jSQ=_1vqtvQq9r4;R +sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3knD_O j_jj6_8_SH +m0=#N_0ClENOH\MC3nkM_ OD_jjj__86HQ +Sj0=#N_0ClENOH\MC3nkM_ OD_jjj_;86 +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kno_LN_O j_jjjm +S=N#00lC_NHOEM3C\k_MnLOoN j_jj +_jS=QjABtqij_jj +_OS=Q4hc_6;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_4jLjo_djj_ +=Sm#00NCN_lOMEHCk\3M_4jLjo_djj_ +jSQ=_Atj_djO +_HS=Q4hj_(_ +H;sjRf:ljRNROEq.h7RHbslMRk4#_N_jjd_Hd_ +=Smhj_.c _jS=Qjqj1_dHj_ -4SQ=6h_Us; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_dHr9m -S=_1vqtvQqr_HdS9 -Q1j=vv_qQrtqd -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__Hjd_Nr -c9Shm=_ -UcS=Qjh4_n -4SQ=_1vqtvQqr_Hd -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__jN6dr9m -S=Uh_6Q -Sjp=Bij_jjj_7_SH -Q14=vv_qQrtq. -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__jNjd_r -69Shm=_ -UnS=Qjhd_4jQ -S4v=1_Qqvtdqr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_jH__rNdnS9 -m_=hgSd +4SQ=nh_js; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k6M4_ OD_jjj__8jjm +S=N#00lC_NHOEM3C\k6M4_ OD_jjj__8jjQ +Sj_=h(H._ +4SQ=(h_d;_H +fsRjR:jlENOR7qh.sRbHelRu1q_Y_hB4J_#lNkG_H4_ +=Smhj_.6 +_jS=Qjqj1_dHj_ +4SQ=(h_c;_H +fsRjR:jlENOR7qh.sRbHklRMN4_#d_jjj_jj$_#M_OUHm +S=.h_jjn_ +jSQ=_q1j_djHQ +S4_=h(Hn_;R +sfjj:ROlNEhRq7b.RsRHl7BaqiY_1h4B__l#Jk_GN4 +_HShm=__.njQ +Sj1=q_jjd_SH +Qh4=__(UHs; +R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_#4_JGlkN +_HShm=__djjQ +Sj_=hUHj_ +4SQ=4kM_QqvtAq_z 1_hpqA __4#kJlG.N__ +j;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC31z7_jjj_aQh_j6_ +=Sm#00NCN_lOMEHCz\37j1_jQj_h6a__Sj +Qqj=_jHr9Q +S4_=hnHj_;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCp\37j1_jQj_h6a__Sj +m0=#N_0ClENOH\MC31p7_jjj_aQh_j6_ +jSQ=nh_j +_HS=Q4h._U_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__Hj9r4 +=Smh6_(_SH +Qhj=__UdHQ +S4_=hUH6_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Hr_j.S9 +m_=h(H(_ +jSQ=iBp_jjj_ +7jS=Q4hn_U_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__Hj9rd +=Smhg_(_SH QBj=pji_j7j_j -_HS=Q4h6_6;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r. -=Sm1qv_vqQt_.Hr9Q -Sjv=1_Qqvt.qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_jH___Ndj9rn +_HS=Q4h(_U_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__Hj9rc +=Smh4_U_SH +Qhj=__gcHQ +S4_=h4_ddHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_6jr9m +S=_1vqtvQq#_M_6jr9Q +Sj_=hgH6_ +4SQ=.h_4Hc_;R +sfjj:ROlNEhRQesRbHqlR1d_jj +_HSqm=1d_jj +_HS=Qjqj1_dOj_;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0Mj#__Nj_d9r. +=Smh._.(Q +Sjb=Ok#_C09r4 +4SQ=kOb_0C#r;.9 +fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jjd_N_.jr9m +S=.h_.SU +QOj=bCk_#H0_r +j9S=Q4O_bkC_#0H9r4;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0Mj#__Nj_dr_4.S9 +m_=h4 +..S=QjO_bkCr#0jS9 +QO4=bCk_#d0r9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_Nj_d9rj +=Smh._4dQ +Sjp=Bij_jjj_7_SH +Qh4=_.4d;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#jd_N_jjr9m +S=4h_.Sc +Qhj=__6jHQ +S4v=1_Qqvtjqr9s; +R:fjjNRlOqERhR7.blsHRkOb_0C##jC__ +NdShm=_64. +jSQ=6h_cQ +S4b=Ok#_C09rj;R +sfjj:ROlNEhRq7b.RsRHlO_bkC##0C__jNjd_ +=Smh._4nQ +Sj_=h6Hc_ +4SQ=kOb_0C#_jHr9s; +R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rj +=SmO_bkC_#0H9rj +jSQ=kOb_0C#r;j9 +fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jj._Nr +49Shm=_g4. +jSQ=kOb_0C#r +49S=Q4O_bkC_#0H9rj;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0MH#__Nj_.9rd +=Smhd_4jQ +Sj_=h4 +.gS=Q4O_bkC_#0H9rd;R +sfjj:ROlNEhRQesRbHOlRbCk_#H0_r +49SOm=bCk_#H0_r +49S=QjO_bkCr#04 +9;sjRf:ljRNROEq.h7RHbslbROk#_C0#_M_jj___N.j9r4 +=Smhd_44Q +Sjb=Ok#_C09rj +4SQ=kOb_0C#_4Hr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_Nj_.9rj +=Smhd_4.Q +Sjv=1_Qqvtnqr9Q +S4 =MX1u_u qB_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__Hj._Nr +c9Shm=_d4d +jSQ=iBp_jjj__7jHQ +S40=#N_0ClENOH\MC3.kMdD_O j_jjj_8;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r6 +=Sm1qv_vqQt_6Hr9Q +Sjv=1_Qqvt6qr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_jH__rNddS9 +m_=hUS( +Q1j=vv_qQ_tqH9rc +4SQ=_1vqtvQqr_H6 +9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HdS9 +mv=1_QqvtHq_r +d9S=Qj1qv_vqQtr;d9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#__Nj_d9rc =Smhc_g +jSQ=nh_dQ +S4v=1_QqvtHq_r;d9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMj#__rNd6S9 +m_=hgS6 +QBj=pji_j7j_j +_HS=Q41qv_vqQtr;.9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMj#___Ndj9r6 +=Smh4_.cQ +Sj_=h4 +ddS=Q41qv_vqQtr;d9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#__Nj_d9rn +=Smh4_.6Q +Sjp=Bij_jjj_7_SH +Qh4=_;6( +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +.9S1m=vv_qQ_tqH9r. +jSQ=_1vqtvQq9r.;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#H__jNjd_r +n9Shm=_n.4 jSQ=_1vqtvQqr_H4S9 Q14=vv_qQ_tqH9r.;R sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#jd_Nr -(9Shm=_c.4 -jSQ=ch_UQ +(9Shm=_g.4 +jSQ=6h_jQ S4v=1_Qqvtjqr9s; R:fjjNRlOqERhR7.blsHRkOb_0C#__M#j__jN4dr9m -S=.h_4Sn +S=.h_.S4 QOj=bCk_#.0r9Q S4b=Ok#_C09rd;R sfjj:ROlNEhRQesRbHOlRbCk_#H0_r .9SOm=bCk_#H0_r .9S=QjO_bkCr#0. 9;sjRf:ljRNROEq.h7RHbslbROk#_C0#_M_jj___Ndj9r4 -=Smh4_.(Q +=Smh._..Q Sjb=Ok#_C0r_H.S9 QO4=bCk_#H0_r;d9 fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__Hjd_Nr -d9Shm=_j.. -jSQ=6h_(Q +d9Shm=_6.. +jSQ=6h_gQ S4b=Ok#_C09r.;R sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p Hm S=QqvtAq_z 1_hpqA _HS=QjqtvQqz_A1h_ q Ap_ -O;sjRf:ljRNROEQRheblsHR_q1j_djHm -S=_q1j_djHQ -Sj1=q_jjd_ -O;sjRf:ljRNROEq.h7RHbslbROk#_C0#_M_jj__rNd.S9 -m_=h. -..S=QjO_bkCr#04S9 -QO4=bCk_#.0r9s; -R:fjjNRlOXERmR).blsHR4t_jS. -mM=kcD_O M_O0r_M4S9 -QBj=pBi_hha_r -j9S=Q4B_piB_hah9r4;R -sfjj:ROlNEmRX)b.RsRHltj_4Um -S=.kM_ OD_0OM_4br9Q -Sjp=Bih_Bar_ujS9 -QB4=pBi_hua_r;49 -fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa__4#kJlGjN__ -NdSqm=1j_jjh_Qa__4#kJlGSN -Qqj=1d_jj -_HS=Q41qv_vqQt_6Hr9s; -R:fjjNRlOQERhbeRsRHlQ._4Um -S=q71BHi_r -49S=Qj7B1qir_O4 -9;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3(kM__N#j_jjH_M0jd_N -=Sm#00NCN_lOMEHCk\3MN(_#j_jjM_H0Q -Sj1=q_jjj_aQh_SH -Q74=1iqB_4Hr9s; -R:fjjNRlOqERhR7.blsHR4kM__N#j_djj_jj#O$MU__HNSd -m_=h(S4 -QBj=pji_dOj_ -4SQ=6h_d;_H -fsRjR:jlENOReQhRHbsl_RqH9rj -=Smqr_HjS9 -Qqj=_jOr9s; -R:fjjNRlOQERhbeRsRHl1 QZ_4Hr9m -S=Z1Q r_H4S9 -Q1j=Q_Z O9r4;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r( -=Sm1qv_vqQt_(Hr9Q -Sjv=1_Qqvt(qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_jH__rNd4S9 -m_=h(S( -Qhj=_ -njS=Q41qv_vqQt_(Hr9s; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MOU_Dj _j8j_. -_HS#m=0CN0_OlNECHM\M3kUD_O j_jj._8_SH -Q#j=0CN0_OlNECHM\M3kUD_O j_jj._8;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#H__jNjd_r -49Shm=_ -(gS=Qj1qv_vqQtr -(9S=Q4#00NCN_lOMEHCk\3MOU_Dj _j8j_.;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#__Nj_d9r. -=Smh4_U -jSQ=_1vqtvQqr_H6S9 -Q14=vv_qQ_tqH9rn;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r6 -=Sm1qv_vqQt_6Hr9Q -Sjv=1_Qqvt6qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_jH__rNddS9 -m_=hUS. -Q1j=vv_qQ_tqH9rc -4SQ=_1vqtvQqr_H6 -9;sjRf:ljRNROEQRheblsHRa)1_SH -m1=)a -_HS=Qj)_1aOs; -R:fjjNRlOQERhbeRsRHlqr_H4 -n9Sqm=_4HrnS9 -Qqj=_4Orn -9;sjRf:ljRNROEQRheblsHRHq_r94U -=Smqr_H4 -U9S=Qjqr_O4;U9 -fsRjR:jlENOReQhRHbsl_RqHgr49m -S=Hq_r94g -jSQ=Oq_r94g;R -sfjj:ROlNEhRQesRbHqlR_.HrcS9 -m_=qHcr.9Q -Sj_=qOcr.9s; -R:fjjNRlOQERhbeRsRHlqr_H. -69Sqm=_.Hr6S9 -Qqj=_.Or6 -9;sjRf:ljRNROEQRheblsHRHq_r9.n +O;sjRf:ljRNROEX.m)RHbsl_Rt4 +j.Skm=MOc_DO _MM0_r +49S=QjB_piB_hah9rj +4SQ=iBp_aBh_4hr9s; +R:fjjNRlOXERmR).blsHR4t_jSU +mM=k.D_O M_O0r_b4S9 +QBj=pBi_hua_r +j9S=Q4B_piB_hau9r4;R +sfjj:ROlNEhRQesRbHAlRtiqB_jjd_aQh_SH +mt=Aq_Bij_djQ_haHQ +Sjt=Aq_Bij_djQ;ha +fsRjR:jlENOR7qh.sRbHklRM84_0 NO_NH_dm +S=nh_UQ +Sjt=Aq_Bij_djQ_haHQ +S4 =MX1u_u qB_ +H;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_#4_JGlkN__jNSd +m1=q_jjj_aQh_#4_JGlkNQ +Sj1=q_jjd_SH +Q14=vv_qQ_tqH9r6;R +sfjj:ROlNEhRQesRbHQlR_U4. +=Sm7B1qir_H4S9 +Q7j=1iqB_4Or9s; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_M(Nj#_jHj_Mj0__ +NdS#m=0CN0_OlNECHM\M3k(#_N_jjj_0HM +jSQ=_q1j_jjQ_haHQ +S41=7q_BiH9r4;R +sfjj:ROlNEhRq7b.RsRHlk_M4Nj#_djj_j#j_$UMO_NH_dm +S=(h_nQ +Sjp=Bid_jj +_OS=Q4h6_6_ +H;sjRf:ljRNROEQRheblsHRHq_r +j9Sqm=_jHr9Q +Sj_=qO9rj;R +sfjj:ROlNEhRQesRbH1lRQ_Z H9r4 +=Sm1 QZ_4Hr9Q +SjQ=1ZO _r;49 +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +(9S1m=vv_qQ_tqH9r( +jSQ=_1vqtvQq9r(;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#H__jN4dr9m +S=Uh_dQ +Sj_=hnS. +Q14=vv_qQ_tqH9r(;R +sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3kUD_O j_jj._8_SH +m0=#N_0ClENOH\MC3UkM_ OD_jjj__8.HQ +Sj0=#N_0ClENOH\MC3UkM_ OD_jjj_;8. +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#__Nj_dr_j4S9 +m_=hUS6 +Q1j=vv_qQrtq(S9 +Q#4=0CN0_OlNECHM\M3kUD_O j_jj._8_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__Hjd_Nr +.9Shm=_ +UnS=Qj1qv_vqQt_6Hr9Q +S4v=1_QqvtHq_r;n9 +fsRjR:jlENOReQhRHbsl1R)a +_HS)m=1Ha_ +jSQ=a)1_ +O;sjRf:ljRNROEQRheblsHRHq_r9.c =Smqr_H. -n9S=Qjqr_O.;n9 -fsRjR:jlENOReQhRHbsl_RqH(r.9m -S=Hq_r9.( -jSQ=Oq_r9.(;R -sfjj:ROlNEhRQesRbHqlR_.HrUS9 -m_=qHUr.9Q -Sj_=qOUr.9s; +c9S=Qjqr_O.;c9 +fsRjR:jlENOReQhRHbsl_RqH6r.9m +S=Hq_r9.6 +jSQ=Oq_r9.6;R +sfjj:ROlNEhRQesRbHqlR_.HrnS9 +m_=qHnr.9Q +Sj_=qOnr.9s; R:fjjNRlOQERhbeRsRHlqr_H. -g9Sqm=_.HrgS9 -Qqj=_.Org -9;sjRf:ljRNROEQRheblsHRHq_r9dj -=Smqr_Hd -j9S=Qjqr_Od;j9 -fsRjR:jlENOReQhRHbsl_RqH4rd9m -S=Hq_r9d4 -jSQ=Oq_r9d4;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1hHB_ -=Smqj1_djj_j1j_Y_hBHQ -Sj1=q_jjd_jjj_h1YBs; -R:fjjNRlOQERhbeRsRHlB_pij_jj7H._ -=SmB_pij_jj7H._ -jSQ=iBp_jjj_;7. -fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC3(kM__N#j_jjH_M0Hm -S=N#00lC_NHOEM3C\k_M(Nj#_jHj_MH0_ -jSQ=N#00lC_NHOEM3C\k_M(Nj#_jHj_M -0;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\k.M4__Loj_djHm -S=N#00lC_NHOEM3C\k.M4__Loj_djHQ -Sj0=#N_0ClENOH\MC34kM.o_L_jjd;R -sfjj:ROlNEhRQesRbHklRMOc_DO _MM0__4Hr9m -S=ckM_ OD_0OM_HM_r -49S=Qjk_McO_D O_M0M9r4;R -sfjj:ROlNEhRQesRbHBlRpmi_1_ZQHm -S=iBp_Zm1Q -_HS=QjB_pimQ1Z_ -O;sjRf:ljRNROEQRheblsHR.kM_ OD_0OM_Hb_r -49Skm=MO._DO _Mb0__4Hr9Q -SjM=k.D_O M_O0r_b4 -9;sjRf:ljRNROEQRheblsHR1p7_jjj_aQh_sj3 -=Smp_71j_jjQ_hajM3kdQ -Sj_=h.;jd -fsRjR:jlENOR7qh.sRbHplR7j1_jQj_hja_3Sl -m7=p1j_jjh_Qa3_jk -M4S=Qj#00NCN_lOMEHCp\37j1_jQj_h6a_ -4SQ=.h_j -d;sjRf:ljRNROEq.h7RHbsl7Rp1j_jjh_Qa3_jMm -S=1p7_jjj_aQh_kj3MSj -Qpj=7j1_jQj_hSa -Qp4=7j1_jQj_hja_3dkM;R -sfjj:ROlNE)Rm.sRbHplR7j1_jQj_hja_3Sb -m_=h(Q -Sj7=p1j_jjh_Qa3_jk -M4S=Q4p_71j_jjQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHlhj_(_SH -m_=h(Hj_ -jSQ=(h_js; +(9Sqm=_.Hr(S9 +Qqj=_.Or( +9;sjRf:ljRNROEQRheblsHRHq_r9.U +=Smqr_H. +U9S=Qjqr_O.;U9 +fsRjR:jlENOReQhRHbsl_RqHgr.9m +S=Hq_r9.g +jSQ=Oq_r9.g;R +sfjj:ROlNEhRQesRbHqlR_dHrjS9 +m_=qHjrd9Q +Sj_=qOjrd9s; +R:fjjNRlOQERhbeRsRHlqr_Hd +49Sqm=_dHr4S9 +Qqj=_dOr4 +9;sjRf:ljRNROEQRheblsHRHq_r94n +=Smqr_H4 +n9S=Qjqr_O4;n9 +fsRjR:jlENOReQhRHbsl_RqHUr49m +S=Hq_r94U +jSQ=Oq_r94U;R +sfjj:ROlNEhRQesRbHqlR_4HrgS9 +m_=qHgr49Q +Sj_=qOgr49s; +R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBHm +S=_q1j_djj_jj1BYh_SH +Qqj=1d_jjj_jjY_1h +B;sjRf:ljRNROEQRheblsHRiBp_jjj__7.Hm +S=iBp_jjj__7.HQ +Sjp=Bij_jj._7;R +sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3k(#_N_jjj_0HM_SH +m0=#N_0ClENOH\MC3(kM__N#j_jjH_M0HQ +Sj0=#N_0ClENOH\MC3(kM__N#j_jjH;M0 +fsRjR:jlENOReQhRHbslMRkcD_O M_O0__MH9r4 +=Smk_McO_D O_M0Mr_H4S9 +Qkj=MOc_DO _MM0_r;49 +fsRjR:jlENOReQhRHbslpRBi1_mZHQ_ +=SmB_pimQ1Z_SH +QBj=pmi_1_ZQOs; +R:fjjNRlOQERhbeRsRHlk_M.O_D O_M0br_H4S9 +mM=k.D_O M_O0__bH9r4 +jSQ=.kM_ OD_0OM_4br9s; +R:fjjNRlOQERhbeRsRHlhc_(_SH +m_=h(Hc_ +jSQ=(h_cs; R:fjjNRlOQERhbeRsRHle_uq1BYh_sj3 =Sme_uq1BYh_kj3MSd -Qhj=_c.j;R +Qhj=_6.j;R sfjj:ROlNEhRq7b.RsRHle_uq1BYh_lj3 =Sme_uq1BYh_kj3MS4 -Qhj=__(jHQ -S4_=h.;jc +Qhj=__(cHQ +S4_=h.;j6 fsRjR:jlENOR7qh.sRbHelRu1q_Y_hBj 3MSem=u1q_Y_hBjM3kjQ Sju=eqY_1hSB @@ -1933,7 +1940,7 @@ R:fjjNRlOQERhbeRsRHle_vqQ_haj jSQ=N#00lC_NHOEM3C\k6M4_ OD_jjj_;8j fsRjR:jlENOR7qh.sRbHelRvQq_hja_3Sl mv=eqh_Qa3_jk -M4S=QjhU_c_SH +M4S=Qjhj_6_SH Q#4=0CN0_OlNECHM\M3k4O6_Dj _j8j_js; R:fjjNRlOqERhR7.blsHRqev_aQh_Mj3 =Sme_vqQ_hajM3kjQ @@ -1943,237 +1950,267 @@ fsRjR:jlENOR.m)RHbslvReqh_Qa3_jbm S=gh_ jSQ=qev_aQh_kj3MS4 Qe4=vQq_hja_3jkM;R -sfjj:ROlNEhRQesRbHAlRtiqB_jjd_aQh_sj3 -=SmABtqid_jjh_Qa3_jk -MdS=Qj#00NCN_lOMEHCk\3MLn_o NO_jjj;R -sfjj:ROlNEhRq7b.RsRHlABtqid_jjh_Qa3_jlm -S=qAtBji_dQj_hja_34kM -jSQ=qAtBji_jOj_ -4SQ=N#00lC_NHOEM3C\k_MnLOoN j_jjs; -R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3SM -mt=Aq_Bij_djQ_hajM3kjQ -Sjt=Aq_Bij_djQ -haS=Q4ABtqid_jjh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbsltRAq_Bij_djQ_haj +sfjj:ROlNEhRQesRbHAlRtj_jj3_jsm +S=_Atj_jjjM3kdQ +Sj0=#N_0ClENOH\MC34kMjo_L_jjd;R +sfjj:ROlNEhRq7b.RsRHlAjt_jjj_3Sl +mt=A_jjj_kj3MS4 +QAj=td_jj +_OS=Q4#00NCN_lOMEHCk\3M_4jLjo_d +j;sjRf:ljRNROEq.h7RHbsltRA_jjj_Mj3 +=SmAjt_jjj_3jkM +jSQ=_Atj_jjOQ +S4t=A_jjj_kj3M +d;sjRf:ljRNROEmR).blsHR_Atj_jjj 3bShm=_ -4jS=QjABtqid_jjh_Qa3_jk -M4S=Q4ABtqid_jjh_Qa3_jk;Mj -fsRjR:jlENOReQhRHbsl1Rq_jjj_aQh_sj3 -=Smqj1_jQj_hja_3dkM -jSQ=_q1j_jjQ_ha4J_#lNkG;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja_3Sl -m1=q_jjj_aQh_kj3MS4 -Qqj=1j_jjh_QaQ -S41=q_jjj_aQh_#4_JGlkNs; +4jS=QjAjt_jjj_34kM +4SQ=_Atj_jjjM3kjs; +R:fjjNRlOQERhbeRsRHlABtqid_jjh_Qa3_jsm +S=qAtBji_dQj_hja_3dkM +jSQ=N#00lC_NHOEM3C\k_MnLOoN j_jjs; +R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3Sl +mt=Aq_Bij_djQ_hajM3k4Q +Sjt=Aq_Bij_jjOQ +S40=#N_0ClENOH\MC3nkM_NLoOj _j +j;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_haj +3MSAm=tiqB_jjd_aQh_kj3MSj +QAj=tiqB_jjd_aQh +4SQ=qAtBji_dQj_hja_3dkM;R +sfjj:ROlNE)Rm.sRbHAlRtiqB_jjd_aQh_bj3 +=Smh4_4 +jSQ=qAtBji_dQj_hja_34kM +4SQ=qAtBji_dQj_hja_3jkM;R +sfjj:ROlNEhRQesRbHqlR1j_jjh_Qa3_jsm +S=_q1j_jjQ_hajM3kdQ +Sj1=q_jjj_aQh_#4_JGlkNs; R:fjjNRlOqERhR7.blsHR_q1j_jjQ_haj -3MSqm=1j_jjh_Qa3_jk -MjS=Qj1qv_vqQt_6Hr9Q -S41=q_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR_q1j_jjQ_haj -3bShm=_ -44S=Qjqj1_jQj_hja_34kM -4SQ=_q1j_jjQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHlQ_upj_djj__j3Ss -mu=Qpd_jj__jjk_3MSd -Qhj=_;cg -fsRjR:jlENOR7qh.sRbHQlRujp_djj__3j_lm -S=pQu_jjd_jj__M3k4Q -Sju=Qpd_jjr_OjS9 -Qh4=_;cg -fsRjR:jlENOR7qh.sRbHQlRujp_djj__3j_Mm -S=pQu_jjd_jj__M3kjQ -Sju=Qpr_OjS9 -QQ4=ujp_djj__3j_k;Md -fsRjR:jlENOR.m)RHbsluRQpd_jj__jjb_3 +3lSqm=1j_jjh_Qa3_jk +M4S=Qjqj1_jQj_hSa +Qq4=1j_jjh_Qa__4#kJlG +N;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_Mj3 +=Smqj1_jQj_hja_3jkM +jSQ=_1vqtvQqr_H6S9 +Qq4=1j_jjh_Qa3_jk;Md +fsRjR:jlENOR.m)RHbsl1Rq_jjj_aQh_bj3 =Smh._4 -jSQ=pQu_jjd_jj__M3k4Q -S4u=Qpd_jj__jjk_3M -j;sjRf:ljRNROEQRheblsHRpQu_jjd_4j__ -3sSQm=ujp_djj__34_k -MdS=Qjhg_c;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__43Sl -mu=Qpd_jj__j4k_3MS4 +jSQ=_q1j_jjQ_hajM3k4Q +S41=q_jjj_aQh_kj3M +j;sjRf:ljRNROEQRheblsHRpQu_jjd_jj__ +3sSQm=ujp_djj__3j_k +MdS=Qjhc_6;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__j3Sl +mu=Qpd_jj__jjk_3MS4 QQj=ujp_dOj_r -49S=Q4hg_c;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__43SM -mu=Qpd_jj__j4k_3MSj +j9S=Q4hc_6;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__j3SM +mu=Qpd_jj__jjk_3MSj QQj=uOp_r -49S=Q4Q_upj_djj__43dkM;R -sfjj:ROlNE)Rm.sRbHQlRujp_djj__34_bm +j9S=Q4Q_upj_djj__j3dkM;R +sfjj:ROlNE)Rm.sRbHQlRujp_djj__3j_bm S=4h_dQ -Sju=Qpd_jj__j4k_3MS4 -QQ4=ujp_djj__34_k;Mj -fsRjR:jlENOReQhRHbsluRQpd_jj__j.s_3 -=SmQ_upj_djj__.3dkM -jSQ=ch_gs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_.j__ -3lSQm=ujp_djj__3._k -M4S=QjQ_upj_djO9r. -4SQ=ch_gs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_.j__ -3MSQm=ujp_djj__3._k -MjS=QjQ_upO9r. -4SQ=pQu_jjd_.j__M3kds; -R:fjjNRlOmER)b.RsRHlQ_upj_djj__.3Sb +Sju=Qpd_jj__jjk_3MS4 +QQ4=ujp_djj__3j_k;Mj +fsRjR:jlENOReQhRHbsluRQpd_jj__j4s_3 +=SmQ_upj_djj__43dkM +jSQ=6h_cs; +R:fjjNRlOqERhR7.blsHRpQu_jjd_4j__ +3lSQm=ujp_djj__34_k +M4S=QjQ_upj_djO9r4 +4SQ=6h_cs; +R:fjjNRlOqERhR7.blsHRpQu_jjd_4j__ +3MSQm=ujp_djj__34_k +MjS=QjQ_upO9r4 +4SQ=pQu_jjd_4j__M3kds; +R:fjjNRlOmER)b.RsRHlQ_upj_djj__43Sb m_=h4Sc -QQj=ujp_djj__3._k -M4S=Q4Q_upj_djj__.3jkM;R -sfjj:ROlNEhRQesRbHOlRbCk_#C0#_sj3 -=SmO_bkC##0C3_jk -MdS=Qjhg_c;R -sfjj:ROlNEhRq7b.RsRHlO_bkC##0C3_jlm -S=kOb_0C##jC_34kM -jSQ=kOb_0C#r -49S=Q4hg_c;R -sfjj:ROlNEhRq7b.RsRHlO_bkC##0C3_jMm -S=kOb_0C##jC_3jkM -jSQ=kOb_0C#_rM#4S9 -QO4=bCk_#C0#_kj3M -d;sjRf:ljRNROEmR).blsHRkOb_0C##jC_3Sb -mb=Ok#_C0#_M_4Cr9Q -Sjb=Ok#_C0_#CjM3k4Q -S4b=Ok#_C0_#CjM3kjs; -R:fjjNRlOQERhbeRsRHlO_bkC##0C3_4sm -S=kOb_0C##4C_3dkM -jSQ=ch_gs; -R:fjjNRlOqERhR7.blsHRkOb_0C##4C_3Sl -mb=Ok#_C0_#C4M3k4Q -Sjb=Ok#_C09r. -4SQ=ch_gs; -R:fjjNRlOqERhR7.blsHRkOb_0C##4C_3SM -mb=Ok#_C0_#C4M3kjQ +QQj=ujp_djj__34_k +M4S=Q4Q_upj_djj__43jkM;R +sfjj:ROlNEhRQesRbHQlRujp_djj__3._sm +S=pQu_jjd_.j__M3kdQ +Sj_=h6 +c;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j.l_3 +=SmQ_upj_djj__.34kM +jSQ=pQu_jjd_.Or9Q +S4_=h6 +c;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j.M_3 +=SmQ_upj_djj__.3jkM +jSQ=pQu_.Or9Q +S4u=Qpd_jj__j.k_3M +d;sjRf:ljRNROEmR).blsHRpQu_jjd_.j__ +3bShm=_ +46S=QjQ_upj_djj__.34kM +4SQ=pQu_jjd_.j__M3kjs; +R:fjjNRlOQERhbeRsRHlO_bkC##0C3_jsm +S=kOb_0C##jC_3dkM +jSQ=6h_cs; +R:fjjNRlOqERhR7.blsHRkOb_0C##jC_3Sl +mb=Ok#_C0_#CjM3k4Q +Sjb=Ok#_C09r4 +4SQ=6h_cs; +R:fjjNRlOqERhR7.blsHRkOb_0C##jC_3SM +mb=Ok#_C0_#CjM3kjQ Sjb=Ok#_C0#_Mr -.9S=Q4O_bkC##0C3_4k;Md -fsRjR:jlENOR.m)RHbslbROk#_C0_#C4 -3bSOm=bCk_#M0_#r_C.S9 -QOj=bCk_#C0#_k43MS4 -QO4=bCk_#C0#_k43M -j;sjRf:ljRNROEQRheblsHRkOb_0C##.C_3Ss -mb=Ok#_C0_#C.M3kdQ -Sj_=hc -g;sjRf:ljRNROEq.h7RHbslbROk#_C0_#C. -3lSOm=bCk_#C0#_k.3MS4 -QOj=bCk_#d0r9Q -S4_=hc -g;sjRf:ljRNROEq.h7RHbslbROk#_C0_#C. -3MSOm=bCk_#C0#_k.3MSj -Qhj=_(46_SH +49S=Q4O_bkC##0C3_jk;Md +fsRjR:jlENOR.m)RHbslbROk#_C0_#Cj +3bSOm=bCk_#M0_#r_C4S9 +QOj=bCk_#C0#_kj3MS4 +QO4=bCk_#C0#_kj3M +j;sjRf:ljRNROEQRheblsHRkOb_0C##4C_3Ss +mb=Ok#_C0_#C4M3kdQ +Sj_=h6 +c;sjRf:ljRNROEq.h7RHbslbROk#_C0_#C4 +3lSOm=bCk_#C0#_k43MS4 +QOj=bCk_#.0r9Q +S4_=h6 +c;sjRf:ljRNROEq.h7RHbslbROk#_C0_#C4 +3MSOm=bCk_#C0#_k43MSj +QOj=bCk_#M0_#9r. +4SQ=kOb_0C##4C_3dkM;R +sfjj:ROlNE)Rm.sRbHOlRbCk_#C0#_b43 +=SmO_bkC_#0MC#_r +.9S=QjO_bkC##0C3_4k +M4S=Q4O_bkC##0C3_4k;Mj +fsRjR:jlENOReQhRHbslbROk#_C0_#C. +3sSOm=bCk_#C0#_k.3MSd +Qhj=_;6c +fsRjR:jlENOR7qh.sRbHOlRbCk_#C0#_l.3 +=SmO_bkC##0C3_.k +M4S=QjO_bkCr#0dS9 +Qh4=_;6c +fsRjR:jlENOR7qh.sRbHOlRbCk_#C0#_M.3 +=SmO_bkC##0C3_.k +MjS=Qjh6_4U +_HS=Q4O_bkC##0C3_.k;Md +fsRjR:jlENOR.m)RHbslbROk#_C0_#C. +3bSOm=bCk_#M0_#r_CdS9 +QOj=bCk_#C0#_k.3MS4 QO4=bCk_#C0#_k.3M -d;sjRf:ljRNROEmR).blsHRkOb_0C##.C_3Sb -mb=Ok#_C0#_M_dCr9Q -Sjb=Ok#_C0_#C.M3k4Q -S4b=Ok#_C0_#C.M3kjs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\kdM._ OD_jjj_ -8jS#m=0CN0_OlNECHM\M3k.Od_Dj _j8j_j -_jS=Qj7BaqiY_1hSB -Qe4=u1q_Y;hB -fsRjR:jlENOReQhRHbslpRBij_jj6_7_SH -mp=Bij_jj6_7_SH -QBj=pji_j7j_6s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_MnO_D j_jj8Sc -m0=#N_0ClENOH\MC3nkM_ OD_jjj_ -8cS=QjB_pij_jj7Sc -QB4=pji_j7j_6;_H -fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haHm -S=qAtBji_dQj_hHa_ -jSQ=qAtBji_dQj_h -a;sjRf:ljRNROEQRheblsHRzwu__B1Q_haHm -S=zwu__B1Q_haHQ -Sju=wz1_B_aQh;R -sfjj:ROlNEhRq7b.RsRHlO\D 34kM.D_O M_O0 -_bSOm=D3 \k.M4_ OD_0OM_Hb_ -jSQ=.kM_ OD_0OM_4br9Q -S4M=kcD_O M_O0r_M4 -9;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_sj3 -=Smqj1_djj_j1j_Y_hBjM3kdQ -Sj_=h. -(;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB3_jlm -S=_q1j_djj_jj1BYh_kj3MS4 -Qkj=Mq4_vqQt_1Az_q hA_p 4J_#lNkG_S. -Qh4=_;.( -fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1hjB_3SM -m1=q_jjd_jjj_h1YB3_jk -MjS=Qjqj1_djj_j1j_Y -hBS=Q4qj1_djj_j1j_Y_hBjM3kds; -R:fjjNRlOmER)b.RsRHlqj1_djj_j1j_Y_hBj -3bShm=_S4 -Qqj=1d_jjj_jjY_1hjB_34kM -4SQ=_q1j_djj_jj1BYh_kj3M -j;sjRf:ljRNROEQRheblsHR(h_. -_HShm=__(.HQ -Sj_=h( -.;sjRf:ljRNROEQRheblsHRq7aB1i_Y_hBj -3sS7m=aiqB_h1YB3_jk -MdS=Qjhd_.;R -sfjj:ROlNEhRq7b.RsRHl7BaqiY_1hjB_3Sl -ma=7q_Bi1BYh_kj3MS4 -Qhj=__(.HQ -S4_=h. -d;sjRf:ljRNROEq.h7RHbslaR7q_Bi1BYh_Mj3 -=Sm7BaqiY_1hjB_3jkM +j;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3.kMdD_O j_jjj_8 +=Sm#00NCN_lOMEHCk\3M_.dO_D j_jj8jj_ jSQ=q7aB1i_Y -hBS=Q47BaqiY_1hjB_3dkM;R -sfjj:ROlNE)Rm.sRbH7lRaiqB_h1YB3_jbm -S=.h_ -jSQ=q7aB1i_Y_hBjM3k4Q +hBS=Q4e_uq1BYh;R +sfjj:ROlNEhRQesRbHBlRpji_j7j_n +_HSBm=pji_j7j_n +_HS=QjB_pij_jj7 +n;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3nkM_ OD_jjj_ +86S#m=0CN0_OlNECHM\M3knD_O j_jj6_8 +jSQ=iBp_jjj_ +76S=Q4B_pij_jj7Hn_;R +sfjj:ROlNEhRQesRbHwlRuBz_1h_Qa +_HSwm=uBz_1h_Qa +_HS=Qjw_uzBQ1_h +a;sjRf:ljRNROEq.h7RHbslDRO k\3M_4.O_D O_M0bm +S= OD\M3k4O._DO _Mb0__SH +Qkj=MO._DO _Mb0_r +49S=Q4k_McO_D O_M0M9r4;R +sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1hjB_3Ss +m1=q_jjd_jjj_h1YB3_jk +MdS=Qjhj_d;R +sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hBj +3lSqm=1d_jjj_jjY_1hjB_34kM +jSQ=4kM_QqvtAq_z 1_hpqA __4#kJlG.N_ +4SQ=dh_js; +R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_Mj3 +=Smqj1_djj_j1j_Y_hBjM3kjQ +Sj1=q_jjd_jjj_h1YBQ +S41=q_jjd_jjj_h1YB3_jk;Md +fsRjR:jlENOR.m)RHbsl1Rq_jjd_jjj_h1YB3_jbm +S=4h_ +jSQ=_q1j_djj_jj1BYh_kj3MS4 +Qq4=1d_jjj_jjY_1hjB_3jkM;R +sfjj:ROlNEhRQesRbHhlR__(UHm +S=(h_U +_HS=QjhU_(;R +sfjj:ROlNEhRQesRbH7lRaiqB_h1YB3_jsm +S=q7aB1i_Y_hBjM3kdQ +Sj_=h. +n;sjRf:ljRNROEq.h7RHbslaR7q_Bi1BYh_lj3 +=Sm7BaqiY_1hjB_34kM +jSQ=(h_U +_HS=Q4hn_.;R +sfjj:ROlNEhRq7b.RsRHl7BaqiY_1hjB_3SM +ma=7q_Bi1BYh_kj3MSj +Q7j=aiqB_h1YBQ S4a=7q_Bi1BYh_kj3M -j;sjRf:ljRNROEQRheblsHRzwu__B1Q_haj -3sSwm=uBz_1h_Qa3_jk -MdS=Qjhj_.6s; -R:fjjNRlOqERhR7.blsHRzwu__B1Q_haj -3lSwm=uBz_1h_Qa3_jk -M4S=Qjqj1_dOj_ -4SQ=.h_j -6;sjRf:ljRNROEq.h7RHbsluRwz1_B_aQh_Mj3 -=Smw_uzBQ1_hja_3jkM -jSQ=zwu__B1Q -haS=Q4w_uzBQ1_hja_3dkM;R -sfjj:ROlNE)Rm.sRbHwlRuBz_1h_Qa3_jbm -S=dh_ -jSQ=zwu__B1Q_hajM3k4Q +d;sjRf:ljRNROEmR).blsHRq7aB1i_Y_hBj +3bShm=_S. +Q7j=aiqB_h1YB3_jk +M4S=Q47BaqiY_1hjB_3jkM;R +sfjj:ROlNEhRQesRbHwlRuBz_1h_Qa3_jsm +S=zwu__B1Q_hajM3kdQ +Sj_=h.;jn +fsRjR:jlENOR7qh.sRbHwlRuBz_1h_Qa3_jlm +S=zwu__B1Q_hajM3k4Q +Sj1=q_jjd_SO +Qh4=_n.j;R +sfjj:ROlNEhRq7b.RsRHlw_uzBQ1_hja_3SM +mu=wz1_B_aQh_kj3MSj +Qwj=uBz_1h_QaQ S4u=wz1_B_aQh_kj3M -j;sjRf:ljRNROEQRheblsHRq71BQi_hja__34_sm -S=q71BQi_hja__34_k -MdS=Qjhj_6;R -sfjj:ROlNEhRq7b.RsRHl7B1qih_Qa__j4l_3 -=Sm7B1qih_Qa__j4k_3MS4 -Qhj=_ -66S=Q4hj_6;R -sfjj:ROlNEhRq7b.RsRHl7B1qih_Qa__j4M_3 -=Sm7B1qih_Qa__j4k_3MSj -Q7j=1iqB_aQhr -49S=Q47B1qih_Qa__j4k_3M -d;sjRf:ljRNROEmR).blsHRq71BQi_hja__34_bm -S=ch_ -jSQ=q71BQi_hja__34_k -M4S=Q47B1qih_Qa__j4k_3M -j;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA 3_jsm -S=QqvtAq_z 1_hpqA 3_jk -MdS=Qj)_1aOs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA 3_jlm -S=QqvtAq_z 1_hpqA 3_jk -M4S=Qjh4_c_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_Mj3 -=SmqtvQqz_A1h_ q Ap_kj3MSj -Qqj=vqQt_1Az_q hA_p OQ -S4v=qQ_tqA_z1 Ahqpj _3dkM;R -sfjj:ROlNE)Rm.sRbHqlRvqQt_1Az_q hA_p j -3bShm=_S6 -Qqj=vqQt_1Az_q hA_p jM3k4Q -S4v=qQ_tqA_z1 Ahqpj _3jkM;R -sfjj:ROlNEhRQesRbHzlR7j1_jQj_hja_3Ss +d;sjRf:ljRNROEmR).blsHRzwu__B1Q_haj +3bShm=_Sd +Qwj=uBz_1h_Qa3_jk +M4S=Q4w_uzBQ1_hja_3jkM;R +sfjj:ROlNEhRQesRbH7lR1iqB_aQh_4j__ +3sS7m=1iqB_aQh_4j__M3kdQ +Sj_=h6 +4;sjRf:ljRNROEq.h7RHbsl1R7q_BiQ_haj__43Sl +m1=7q_BiQ_haj__434kM +jSQ=6h_(Q +S4_=h6 +4;sjRf:ljRNROEq.h7RHbsl1R7q_BiQ_haj__43SM +m1=7q_BiQ_haj__43jkM +jSQ=q71BQi_h4ar9Q +S41=7q_BiQ_haj__43dkM;R +sfjj:ROlNE)Rm.sRbH7lR1iqB_aQh_4j__ +3bShm=_Sc +Q7j=1iqB_aQh_4j__M3k4Q +S41=7q_BiQ_haj__43jkM;R +sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p j +3sSqm=vqQt_1Az_q hA_p jM3kdQ +Sj1=)a;_O +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p j +3lSqm=vqQt_1Az_q hA_p jM3k4Q +Sj_=hcHc_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqpj _3SM +mv=qQ_tqA_z1 Ahqpj _3jkM +jSQ=QqvtAq_z 1_hpqA +_OS=Q4qtvQqz_A1h_ q Ap_kj3M +d;sjRf:ljRNROEmR).blsHRQqvtAq_z 1_hpqA 3_jbm +S=6h_ +jSQ=QqvtAq_z 1_hpqA 3_jk +M4S=Q4qtvQqz_A1h_ q Ap_kj3M +j;sjRf:ljRNROEQRheblsHR1z7_jjj_aQh_sj3 +=Smz_71j_jjQ_hajM3kdQ +Sj_=h.;jc +fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_hja_3Sl m7=z1j_jjh_Qa3_jk -MdS=Qjhj_.ds; -R:fjjNRlOqERhR7.blsHR1z7_jjj_aQh_lj3 -=Smz_71j_jjQ_hajM3k4Q -Sj0=#N_0ClENOH\MC31z7_jjj_aQh_S6 -Qh4=_d.j;R -sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_haj -3MSzm=7j1_jQj_hja_3jkM -jSQ=1z7_jjj_aQh -4SQ=1z7_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR1z7_jjj_aQh_bj3 -=Smh -_nS=Qjz_71j_jjQ_hajM3k4Q -S47=z1j_jjh_Qa3_jk;Mj +M4S=Qj#00NCN_lOMEHCz\37j1_jQj_h6a_ +4SQ=.h_j +c;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa3_jMm +S=1z7_jjj_aQh_kj3MSj +Qzj=7j1_jQj_hSa +Qz4=7j1_jQj_hja_3dkM;R +sfjj:ROlNE)Rm.sRbHzlR7j1_jQj_hja_3Sb +m_=hnQ +Sj7=z1j_jjh_Qa3_jk +M4S=Q4z_71j_jjQ_hajM3kjs; +R:fjjNRlOQERhbeRsRHlp_71j_jjQ_haj +3sSpm=7j1_jQj_hja_3dkM +jSQ=.h_j +c;sjRf:ljRNROEq.h7RHbsl7Rp1j_jjh_Qa3_jlm +S=1p7_jjj_aQh_kj3MS4 +Q#j=0CN0_OlNECHM\73p1j_jjh_Qa +_6S=Q4hj_.cs; +R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_Mj3 +=Smp_71j_jjQ_hajM3kjQ +Sj7=p1j_jjh_QaQ +S47=p1j_jjh_Qa3_jk;Md +fsRjR:jlENOR.m)RHbsl7Rp1j_jjh_Qa3_jbm +S=(h_ +jSQ=1p7_jjj_aQh_kj3MS4 +Qp4=7j1_jQj_hja_3jkM; + + + diff --git a/Logic/BUS68030.srr b/Logic/BUS68030.srr index 2f03f0d..231b78a 100644 --- a/Logic/BUS68030.srr +++ b/Logic/BUS68030.srr @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Sat May 24 16:11:44 2014 +#Sat May 24 19:56:13 2014 Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013 @N|Running in 64-bit mode @@ -21,7 +21,6 @@ File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - @W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":113:7:113:15|Signal clk_030_d is undriven Post processing for work.bus68030.behavioral @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":221:2:221:3|Pruning register CLK_REF(1 downto 0) -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":119:32:119:34|Pruning register CLK_000_D6 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":112:31:112:33|Pruning register cpu_est_d(3 downto 0) @A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:52:110:55|Feedback mux created for signal AMIGA_BUS_ENABLE -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. @W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:52:110:55|Optimizing register bit DSACK_INT(0) to a constant 1 @@ -55,7 +54,7 @@ State machine has 11 reachable states with original encodings of: @W: CL249 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":112:31:112:33|Initial value is not supported on state machine cpu_est @END Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sat May 24 16:11:44 2014 +# Sat May 24 19:56:13 2014 ###########################################################] Map & Optimize Report @@ -91,16 +90,16 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFFRH 18 uses -DFFSH 23 uses +DFFRH 17 uses +DFFSH 25 uses DFF 1 use IBUF 35 uses BUFTH 7 uses OBUF 15 uses BI_DIR 2 uses -AND2 146 uses -INV 129 uses -OR2 18 uses +AND2 148 uses +INV 131 uses +OR2 19 uses XOR2 2 uses @@ -111,6 +110,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sat May 24 16:11:46 2014 +# Sat May 24 19:56:15 2014 ###########################################################] diff --git a/Logic/BUS68030.srs b/Logic/BUS68030.srs index 8cf2ac5..ced07c2 100644 Binary files a/Logic/BUS68030.srs and b/Logic/BUS68030.srs differ diff --git a/Logic/bus68030.exf b/Logic/bus68030.exf index d22563e..6fe97d0 100644 --- a/Logic/bus68030.exf +++ b/Logic/bus68030.exf @@ -55,19 +55,25 @@ Section Member Rename Array-Notation Array Number Port FC_0_ FC[0] 4 1 End Section Cross Reference File -Design 'BUS68030' created Sat May 24 16:11:50 2014 +Design 'BUS68030' created Sat May 24 19:56:20 2014 Type New Name Original Name // ---------------------------------------------------------------------- - Inst i_z2M2M AS_000 - Inst i_z2O2O UDS_000 - Inst i_z2P2P LDS_000 - Inst i_z3E3E BERR - Inst i_z4141 DTACK - Inst i_z4343 AVEC_EXP - Inst i_z4F4F CIIN + Inst i_z2N2N AS_000 + Inst i_z2P2P UDS_000 + Inst i_z2Q2Q LDS_000 + Inst i_z3F3F BERR + Inst i_z4242 DTACK + Inst i_z4444 AVEC_EXP + Inst i_z4G4G CIIN + Inst cpu_est_i_3_ cpu_est_i[3] + Inst cpu_est_ns_i_0_o2_3_ cpu_est_ns_i_0_o2[3] + Inst state_machine_UDS_000_INT_5_0_o3 state_machine.UDS_000_INT_5_0_o3 Inst SM_AMIGA_ns_i_0_o2_1_ SM_AMIGA_ns_i_0_o2[1] Inst SM_AMIGA_i_4_ SM_AMIGA_i[4] Inst SM_AMIGA_ns_i_0_o2_4_ SM_AMIGA_ns_i_0_o2[4] + Inst SM_AMIGA_ns_i_0_6_ SM_AMIGA_ns_i_0[6] + Inst SM_AMIGA_ns_0_7_ SM_AMIGA_ns_0[7] + Inst cpu_est_ns_i_0_3_ cpu_est_ns_i_0[3] Inst state_machine_AMIGA_BUS_ENABLE_3_f0_i state_machine.AMIGA_BUS_ENABLE_3_f0_i Inst state_machine_UDS_000_INT_5_0_m2_r state_machine.UDS_000_INT_5_0_m2.r Inst state_machine_UDS_000_INT_5_0_m2_m state_machine.UDS_000_INT_5_0_m2.m @@ -77,54 +83,53 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Inst SM_AMIGA_i_6_ SM_AMIGA_i[6] Inst state_machine_AMIGA_BUS_ENABLE_3_f0_i_o2 state_machine.AMIGA_BUS_ENABLE_3_f0_i_o2 Inst SM_AMIGA_i_1_ SM_AMIGA_i[1] - Inst state_machine_un6_clk_000_d4_i state_machine.un6_clk_000_d4_i - Inst cpu_est_i_3_ cpu_est_i[3] - Inst cpu_est_ns_i_0_o2_3_ cpu_est_ns_i_0_o2[3] + Inst state_machine_un6_clk_000_d5_i state_machine.un6_clk_000_d5_i + Inst state_machine_un6_bgack_000_0 state_machine.un6_bgack_000_0 + Inst state_machine_un10_bg_030_0 state_machine.un10_bg_030_0 Inst state_machine_un15_clk_000_d0_0 state_machine.un15_clk_000_d0_0 Inst state_machine_UDS_000_INT_5_0 state_machine.UDS_000_INT_5_0 Inst state_machine_LDS_000_INT_5_0 state_machine.LDS_000_INT_5_0 Inst SM_AMIGA_ns_i_0_1_ SM_AMIGA_ns_i_0[1] - Inst SM_AMIGA_ns_i_0_2_ SM_AMIGA_ns_i_0[2] - Inst SM_AMIGA_ns_i_0_3_ SM_AMIGA_ns_i_0[3] - Inst SM_AMIGA_ns_i_0_4_ SM_AMIGA_ns_i_0[4] - Inst SM_AMIGA_ns_0_5_ SM_AMIGA_ns_0[5] - Inst SM_AMIGA_ns_i_0_6_ SM_AMIGA_ns_i_0[6] - Inst SM_AMIGA_ns_0_7_ SM_AMIGA_ns_0[7] - Inst cpu_est_ns_i_0_3_ cpu_est_ns_i_0[3] - Inst cpu_est_2_ cpu_est[2] - Inst cpu_est_ns_0_0_a3_0_2_ cpu_est_ns_0_0_a3_0[2] - Inst cpu_est_3_ cpu_est[3] - Inst cpu_est_ns_0_0_a3_1_2_ cpu_est_ns_0_0_a3_1[2] - Inst cpu_est_0_ cpu_est[0] - Inst SM_AMIGA_ns_0_a3_0_ SM_AMIGA_ns_0_a3[0] Inst cpu_est_1_ cpu_est[1] - Inst SM_AMIGA_ns_0_a3_0_0_ SM_AMIGA_ns_0_a3_0[0] - Inst SM_AMIGA_3_ SM_AMIGA[3] - Inst SM_AMIGA_2_ SM_AMIGA[2] - Inst SM_AMIGA_1_ SM_AMIGA[1] - Inst cpu_est_i_0_ cpu_est_i[0] - Inst SM_AMIGA_0_ SM_AMIGA[0] - Inst cpu_est_ns_0_0_a2_1_ cpu_est_ns_0_0_a2[1] - Inst CLK_CNT_N_0_ CLK_CNT_N[0] - Inst cpu_est_ns_i_0_a2_3_ cpu_est_ns_i_0_a2[3] - Inst CLK_CNT_N_1_ CLK_CNT_N[1] - Inst cpu_est_i_1_ cpu_est_i[1] - Inst CLK_CNT_P_0_ CLK_CNT_P[0] - Inst cpu_est_ns_0_0_a2_0_1_ cpu_est_ns_0_0_a2_0[1] - Inst CLK_CNT_P_1_ CLK_CNT_P[1] - Inst SM_AMIGA_ns_0_a2_0_ SM_AMIGA_ns_0_a2[0] - Inst IPL_030DFFSH_0_ IPL_030DFFSH[0] - Inst SM_AMIGA_ns_i_0_a2_4_ SM_AMIGA_ns_i_0_a2[4] - Inst IPL_030DFFSH_1_ IPL_030DFFSH[1] - Inst state_machine_un6_bgack_000_0 state_machine.un6_bgack_000_0 - Inst IPL_030DFFSH_2_ IPL_030DFFSH[2] - Inst SM_AMIGA_7_ SM_AMIGA[7] - Inst SM_AMIGA_i_3_ SM_AMIGA_i[3] - Inst SM_AMIGA_6_ SM_AMIGA[6] - Inst SM_AMIGA_ns_i_0_a3_4_ SM_AMIGA_ns_i_0_a3[4] + Inst SM_AMIGA_ns_i_0_2_ SM_AMIGA_ns_i_0[2] + Inst cpu_est_2_ cpu_est[2] + Inst SM_AMIGA_ns_i_0_3_ SM_AMIGA_ns_i_0[3] + Inst cpu_est_3_ cpu_est[3] + Inst SM_AMIGA_ns_i_0_4_ SM_AMIGA_ns_i_0[4] + Inst cpu_est_0_ cpu_est[0] + Inst SM_AMIGA_ns_0_5_ SM_AMIGA_ns_0[5] Inst SM_AMIGA_5_ SM_AMIGA[5] - Inst SM_AMIGA_ns_0_a3_5_ SM_AMIGA_ns_0_a3[5] Inst SM_AMIGA_4_ SM_AMIGA[4] + Inst cpu_est_ns_0_0_a3_2_ cpu_est_ns_0_0_a3[2] + Inst SM_AMIGA_3_ SM_AMIGA[3] + Inst cpu_est_ns_0_0_a3_0_2_ cpu_est_ns_0_0_a3_0[2] + Inst SM_AMIGA_2_ SM_AMIGA[2] + Inst cpu_est_ns_0_0_a3_1_2_ cpu_est_ns_0_0_a3_1[2] + Inst SM_AMIGA_1_ SM_AMIGA[1] + Inst SM_AMIGA_ns_0_a3_0_ SM_AMIGA_ns_0_a3[0] + Inst SM_AMIGA_0_ SM_AMIGA[0] + Inst SM_AMIGA_ns_0_a3_0_0_ SM_AMIGA_ns_0_a3_0[0] + Inst CLK_CNT_N_0_ CLK_CNT_N[0] + Inst CLK_CNT_N_1_ CLK_CNT_N[1] + Inst CLK_CNT_P_0_ CLK_CNT_P[0] + Inst cpu_est_i_0_ cpu_est_i[0] + Inst CLK_CNT_P_1_ CLK_CNT_P[1] + Inst cpu_est_ns_0_0_a2_1_ cpu_est_ns_0_0_a2[1] + Inst IPL_030DFFSH_0_ IPL_030DFFSH[0] + Inst cpu_est_ns_i_0_a2_3_ cpu_est_ns_i_0_a2[3] + Inst IPL_030DFFSH_1_ IPL_030DFFSH[1] + Inst cpu_est_i_1_ cpu_est_i[1] + Inst IPL_030DFFSH_2_ IPL_030DFFSH[2] + Inst cpu_est_ns_0_0_a2_0_1_ cpu_est_ns_0_0_a2_0[1] + Inst SM_AMIGA_7_ SM_AMIGA[7] + Inst SM_AMIGA_ns_0_a2_0_ SM_AMIGA_ns_0_a2[0] + Inst SM_AMIGA_6_ SM_AMIGA[6] + Inst SM_AMIGA_ns_i_0_a2_4_ SM_AMIGA_ns_i_0_a2[4] + Inst SM_AMIGA_i_5_ SM_AMIGA_i[5] + Inst SM_AMIGA_ns_i_0_a3_3_ SM_AMIGA_ns_i_0_a3[3] + Inst SM_AMIGA_i_3_ SM_AMIGA_i[3] + Inst SM_AMIGA_ns_i_0_a3_4_ SM_AMIGA_ns_i_0_a3[4] + Inst SM_AMIGA_ns_0_a3_5_ SM_AMIGA_ns_0_a3[5] Inst SM_AMIGA_ns_0_a3_0_5_ SM_AMIGA_ns_0_a3_0[5] Inst SM_AMIGA_ns_i_0_a3_6_ SM_AMIGA_ns_i_0_a3[6] Inst SM_AMIGA_i_2_ SM_AMIGA_i[2] @@ -132,10 +137,9 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Inst SM_AMIGA_ns_0_a3_7_ SM_AMIGA_ns_0_a3[7] Inst cpu_est_ns_0_0_a3_1_ cpu_est_ns_0_0_a3[1] Inst cpu_est_i_2_ cpu_est_i[2] + Inst DSACK_INT_1_ DSACK_INT[1] Inst cpu_est_ns_0_0_a3_0_1_ cpu_est_ns_0_0_a3_0[1] Inst cpu_est_ns_i_0_a3_3_ cpu_est_ns_i_0_a3[3] - Inst cpu_est_ns_0_0_a3_2_ cpu_est_ns_0_0_a3[2] - Inst DSACK_INT_1_ DSACK_INT[1] Inst state_machine_un7_as_000_int_0_a3 state_machine.un7_as_000_int_0_a3 Inst A_i_0_ A_i[0] Inst SIZE_i_1_ SIZE_i[1] @@ -143,14 +147,9 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Inst SM_AMIGA_ns_i_0_a3_1_ SM_AMIGA_ns_i_0_a3[1] Inst state_machine_un8_clk_000_d2_i state_machine.un8_clk_000_d2_i Inst SM_AMIGA_ns_i_0_a3_0_1_ SM_AMIGA_ns_i_0_a3_0[1] - Inst SM_AMIGA_ns_i_0_a3_2_ SM_AMIGA_ns_i_0_a3[2] - Inst SM_AMIGA_i_5_ SM_AMIGA_i[5] - Inst SM_AMIGA_ns_i_0_a3_3_ SM_AMIGA_ns_i_0_a3[3] - Inst A_i_16_ A_i[16] Inst SIZE_0_ SIZE[0] - Inst A_i_18_ A_i[18] + Inst SM_AMIGA_ns_i_0_a3_2_ SM_AMIGA_ns_i_0_a3[2] Inst SIZE_1_ SIZE[1] - Inst A_i_19_ A_i[19] Inst A_0_ A[0] Inst A_i_24_ A_i[24] Inst A_16_ A[16] @@ -168,22 +167,20 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Inst A_22_ A[22] Inst A_i_31_ A_i[31] Inst A_23_ A[23] + Inst A_i_16_ A_i[16] Inst A_24_ A[24] + Inst A_i_18_ A_i[18] Inst A_25_ A[25] - Inst state_machine_un7_as_000_int_i state_machine.un7_as_000_int_i + Inst A_i_19_ A_i[19] Inst A_26_ A[26] - Inst state_machine_un12_bg_030_i state_machine.un12_bg_030_i Inst A_27_ A[27] - Inst un4_clk_cnt_n_i_1_ un4_clk_cnt_n_i[1] Inst A_28_ A[28] + Inst state_machine_un7_as_000_int_i state_machine.un7_as_000_int_i Inst A_29_ A[29] - Inst un2_clk_cnt_p_i_1_ un2_clk_cnt_p_i[1] + Inst un4_clk_cnt_n_i_1_ un4_clk_cnt_n_i[1] Inst A_30_ A[30] - Inst LDS_000_INT_0_r LDS_000_INT_0.r Inst A_31_ A[31] - Inst LDS_000_INT_0_m LDS_000_INT_0.m - Inst LDS_000_INT_0_n LDS_000_INT_0.n - Inst LDS_000_INT_0_p LDS_000_INT_0.p + Inst un2_clk_cnt_p_i_1_ un2_clk_cnt_p_i[1] Inst VPA_SYNC_0_r VPA_SYNC_0.r Inst VPA_SYNC_0_m VPA_SYNC_0.m Inst VPA_SYNC_0_n VPA_SYNC_0.n @@ -192,22 +189,26 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Inst VMA_INT_0_m VMA_INT_0.m Inst VMA_INT_0_n VMA_INT_0.n Inst VMA_INT_0_p VMA_INT_0.p - Inst BGACK_030_INT_0_r BGACK_030_INT_0.r + Inst BG_000_0_r BG_000_0.r + Inst BG_000_0_m BG_000_0.m + Inst BG_000_0_n BG_000_0.n Inst IPL_030_0_ IPL_030[0] - Inst BGACK_030_INT_0_m BGACK_030_INT_0.m + Inst BG_000_0_p BG_000_0.p Inst IPL_030_1_ IPL_030[1] - Inst BGACK_030_INT_0_n BGACK_030_INT_0.n + Inst BGACK_030_INT_0_r BGACK_030_INT_0.r Inst IPL_030_2_ IPL_030[2] - Inst BGACK_030_INT_0_p BGACK_030_INT_0.p + Inst BGACK_030_INT_0_m BGACK_030_INT_0.m Inst IPL_0_ IPL[0] - Inst AS_000_INT_0_r AS_000_INT_0.r + Inst BGACK_030_INT_0_n BGACK_030_INT_0.n Inst IPL_1_ IPL[1] - Inst AS_000_INT_0_m AS_000_INT_0.m + Inst BGACK_030_INT_0_p BGACK_030_INT_0.p Inst IPL_2_ IPL[2] - Inst AS_000_INT_0_n AS_000_INT_0.n + Inst AS_000_INT_0_r AS_000_INT_0.r Inst DSACK_0_ DSACK[0] - Inst AS_000_INT_0_p AS_000_INT_0.p + Inst AS_000_INT_0_m AS_000_INT_0.m Inst DSACK_1_ DSACK[1] + Inst AS_000_INT_0_n AS_000_INT_0.n + Inst AS_000_INT_0_p AS_000_INT_0.p Inst IPL_030_0_0__r IPL_030_0_0_.r Inst IPL_030_0_0__m IPL_030_0_0_.m Inst IPL_030_0_0__n IPL_030_0_0_.n @@ -216,57 +217,56 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Inst IPL_030_0_1__m IPL_030_0_1_.m Inst IPL_030_0_1__n IPL_030_0_1_.n Inst IPL_030_0_1__p IPL_030_0_1_.p - Inst IPL_030_0_2__r IPL_030_0_2_.r - Inst IPL_030_0_2__m IPL_030_0_2_.m Inst FC_0_ FC[0] - Inst IPL_030_0_2__n IPL_030_0_2_.n + Inst IPL_030_0_2__r IPL_030_0_2_.r Inst FC_1_ FC[1] + Inst IPL_030_0_2__m IPL_030_0_2_.m + Inst IPL_030_0_2__n IPL_030_0_2_.n Inst IPL_030_0_2__p IPL_030_0_2_.p Inst cpu_estse_0_r cpu_estse_0.r Inst cpu_estse_0_m cpu_estse_0.m + Inst state_machine_un15_clk_000_d0_0_a3_0_1 state_machine.un15_clk_000_d0_0_a3_0_1 Inst cpu_estse_0_n cpu_estse_0.n + Inst state_machine_un15_clk_000_d0_0_a3_0 state_machine.un15_clk_000_d0_0_a3_0 Inst cpu_estse_0_p cpu_estse_0.p - Inst state_machine_un15_clk_000_d0_0_a3 state_machine.un15_clk_000_d0_0_a3 + Inst state_machine_un15_clk_000_d0_0_a3_1 state_machine.un15_clk_000_d0_0_a3_1 Inst cpu_estse_1_r cpu_estse_1.r + Inst state_machine_un15_clk_000_d0_0_a3 state_machine.un15_clk_000_d0_0_a3 Inst cpu_estse_1_m cpu_estse_1.m Inst cpu_estse_1_n cpu_estse_1.n - Inst SM_AMIGA_ns_0_1_0_ SM_AMIGA_ns_0_1[0] Inst cpu_estse_1_p cpu_estse_1.p - Inst SM_AMIGA_ns_0_0_ SM_AMIGA_ns_0[0] + Inst state_machine_un10_bg_030_0_a3_1 state_machine.un10_bg_030_0_a3_1 Inst cpu_estse_2_r cpu_estse_2.r - Inst cpu_est_ns_0_0_1_2_ cpu_est_ns_0_0_1[2] + Inst state_machine_un10_bg_030_0_a3_2 state_machine.un10_bg_030_0_a3_2 Inst cpu_estse_2_m cpu_estse_2.m - Inst cpu_est_ns_0_0_2_ cpu_est_ns_0_0[2] + Inst state_machine_un10_bg_030_0_a3 state_machine.un10_bg_030_0_a3 Inst cpu_estse_2_n cpu_estse_2.n - Inst state_machine_AMIGA_BUS_ENABLE_3_f0_i_a3_1 state_machine.AMIGA_BUS_ENABLE_3_f0_i_a3_1 + Inst SM_AMIGA_ns_0_1_0_ SM_AMIGA_ns_0_1[0] Inst cpu_estse_2_p cpu_estse_2.p - Inst state_machine_AMIGA_BUS_ENABLE_3_f0_i_a3 state_machine.AMIGA_BUS_ENABLE_3_f0_i_a3 + Inst SM_AMIGA_ns_0_0_ SM_AMIGA_ns_0[0] Inst state_machine_un23_clk_000_d0 state_machine.un23_clk_000_d0 - Inst SM_AMIGA_ns_0_a3_0_1_7_ SM_AMIGA_ns_0_a3_0_1[7] - Inst SM_AMIGA_ns_0_a3_0_7_ SM_AMIGA_ns_0_a3_0[7] - Inst state_machine_un6_clk_000_d4 state_machine.un6_clk_000_d4 - Inst state_machine_LDS_000_INT_5_0_a3_1 state_machine.LDS_000_INT_5_0_a3_1 - Inst state_machine_LDS_000_INT_5_0_a3 state_machine.LDS_000_INT_5_0_a3 - Inst state_machine_un15_clk_000_d0_0_a3_0_1 state_machine.un15_clk_000_d0_0_a3_0_1 + Inst cpu_est_ns_0_0_1_2_ cpu_est_ns_0_0_1[2] + Inst cpu_est_ns_0_0_2_ cpu_est_ns_0_0[2] + Inst state_machine_un6_clk_000_d5 state_machine.un6_clk_000_d5 + Inst state_machine_AMIGA_BUS_ENABLE_3_f0_i_a3_1 state_machine.AMIGA_BUS_ENABLE_3_f0_i_a3_1 + Inst state_machine_AMIGA_BUS_ENABLE_3_f0_i_a3 state_machine.AMIGA_BUS_ENABLE_3_f0_i_a3 Inst clk_un12_clk_cnt_p clk.un12_clk_cnt_p - Inst state_machine_un15_clk_000_d0_0_a3_0 state_machine.un15_clk_000_d0_0_a3_0 + Inst SM_AMIGA_ns_0_a3_0_1_7_ SM_AMIGA_ns_0_a3_0_1[7] Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r - Inst state_machine_un15_clk_000_d0_0_a3_1 state_machine.un15_clk_000_d0_0_a3_1 + Inst SM_AMIGA_ns_0_a3_0_7_ SM_AMIGA_ns_0_a3_0[7] Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m - Inst cpu_est_ns_0_0_2_1_ cpu_est_ns_0_0_2[1] + Inst state_machine_LDS_000_INT_5_0_a3_1 state_machine.LDS_000_INT_5_0_a3_1 Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n - Inst cpu_est_ns_0_0_1_ cpu_est_ns_0_0[1] + Inst state_machine_LDS_000_INT_5_0_a3 state_machine.LDS_000_INT_5_0_a3 Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p - Inst state_machine_un12_bg_030_0_a3_1 state_machine.un12_bg_030_0_a3_1 - Inst state_machine_un12_bg_030_0_a3_2 state_machine.un12_bg_030_0_a3_2 Inst DTACK_SYNC_0_r DTACK_SYNC_0.r - Inst state_machine_un12_bg_030_0_a3_3 state_machine.un12_bg_030_0_a3_3 Inst DTACK_SYNC_0_m DTACK_SYNC_0.m - Inst state_machine_un12_bg_030_0_a3_4 state_machine.un12_bg_030_0_a3_4 Inst DTACK_SYNC_0_n DTACK_SYNC_0.n - Inst state_machine_un12_bg_030_0_a3 state_machine.un12_bg_030_0_a3 + Inst cpu_est_ns_0_0_1_1_ cpu_est_ns_0_0_1[1] Inst DTACK_SYNC_0_p DTACK_SYNC_0.p + Inst cpu_est_ns_0_0_2_1_ cpu_est_ns_0_0_2[1] Inst FPU_CS_INT_0_r FPU_CS_INT_0.r + Inst cpu_est_ns_0_0_1_ cpu_est_ns_0_0[1] Inst FPU_CS_INT_0_m FPU_CS_INT_0.m Inst FPU_CS_INT_0_n FPU_CS_INT_0.n Inst FPU_CS_INT_0_p FPU_CS_INT_0.p @@ -276,22 +276,26 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Inst DSACK_INT_0_1__p DSACK_INT_0_1_.p Inst AMIGA_BUS_ENABLE_0_r AMIGA_BUS_ENABLE_0.r Inst AMIGA_BUS_ENABLE_0_m AMIGA_BUS_ENABLE_0.m + Inst state_machine_un23_clk_000_d0_i state_machine.un23_clk_000_d0_i Inst AMIGA_BUS_ENABLE_0_n AMIGA_BUS_ENABLE_0.n Inst AMIGA_BUS_ENABLE_0_p AMIGA_BUS_ENABLE_0.p Inst UDS_000_INT_0_r UDS_000_INT_0.r Inst UDS_000_INT_0_m UDS_000_INT_0.m - Inst state_machine_un8_clk_000_d2_1 state_machine.un8_clk_000_d2_1 Inst UDS_000_INT_0_n UDS_000_INT_0.n - Inst state_machine_un8_clk_000_d2 state_machine.un8_clk_000_d2 Inst UDS_000_INT_0_p UDS_000_INT_0.p - Inst cpu_est_ns_0_0_1_1_ cpu_est_ns_0_0_1[1] + Inst LDS_000_INT_0_r LDS_000_INT_0.r + Inst LDS_000_INT_0_m LDS_000_INT_0.m + Inst LDS_000_INT_0_n LDS_000_INT_0.n + Inst LDS_000_INT_0_p LDS_000_INT_0.p + Inst state_machine_un8_clk_000_d2_1 state_machine.un8_clk_000_d2_1 + Inst state_machine_un8_clk_000_d2 state_machine.un8_clk_000_d2 + Inst state_machine_UDS_000_INT_5_0_i state_machine.UDS_000_INT_5_0_i Inst state_machine_un15_clk_000_d0_0_i state_machine.un15_clk_000_d0_0_i + Inst state_machine_un10_bg_030_0_i state_machine.un10_bg_030_0_i Inst state_machine_un6_bgack_000_0_i state_machine.un6_bgack_000_0_i - Inst state_machine_un23_clk_000_d0_i state_machine.un23_clk_000_d0_i Inst SM_AMIGA_ns_0_i_7_ SM_AMIGA_ns_0_i[7] Inst SM_AMIGA_ns_0_i_5_ SM_AMIGA_ns_0_i[5] Inst state_machine_LDS_000_INT_5_0_i state_machine.LDS_000_INT_5_0_i - Inst state_machine_UDS_000_INT_5_0_i state_machine.UDS_000_INT_5_0_i Inst SM_AMIGA_ns_0_i_0_ SM_AMIGA_ns_0_i[0] Inst cpu_est_ns_0_0_i_2_ cpu_est_ns_0_0_i[2] Inst SM_AMIGA_ns_i_0_o2_i_4_ SM_AMIGA_ns_i_0_o2_i[4] @@ -301,7 +305,6 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Inst state_machine_AMIGA_BUS_ENABLE_3_f0_i_o2_i state_machine.AMIGA_BUS_ENABLE_3_f0_i_o2_i Inst clk_un3_clk_000_d1_0_o2_i clk.un3_clk_000_d1_0_o2_i Inst cpu_est_ns_0_0_i_1_ cpu_est_ns_0_0_i[1] - Inst state_machine_UDS_000_INT_5_0_o3 state_machine.UDS_000_INT_5_0_o3 Net ipl_030_c_0__n IPL_030_c[0] Net ipl_030_0__n IPL_030[0] Net ipl_030_c_1__n IPL_030_c[1] @@ -312,34 +315,34 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Net ipl_c_1__n IPL_c[1] Net ipl_1__n IPL[1] Net ipl_c_2__n IPL_c[2] - Net dsack_0__n DSACK[0] Net sm_amiga_5__n SM_AMIGA[5] - Net dsack_c_1__n DSACK_c[1] Net sm_amiga_6__n SM_AMIGA[6] + Net dsack_0__n DSACK[0] Net vcc_n_n VCC + Net dsack_c_1__n DSACK_c[1] Net gnd_n_n GND Net dsack_int_1__n DSACK_INT[1] Net state_machine_un8_clk_000_d2_n state_machine.un8_clk_000_d2 Net sm_amiga_4__n SM_AMIGA[4] Net state_machine_un23_clk_000_d0_n state_machine.un23_clk_000_d0 - Net state_machine_un6_clk_000_d4_n state_machine.un6_clk_000_d4 - Net state_machine_un12_bg_030_n state_machine.un12_bg_030 + Net state_machine_un6_clk_000_d5_n state_machine.un6_clk_000_d5 Net sm_amiga_7__n SM_AMIGA[7] - Net fc_c_0__n FC_c[0] Net sm_amiga_3__n SM_AMIGA[3] - Net fc_0__n FC[0] Net state_machine_un6_bgack_000_n state_machine.un6_bgack_000 - Net fc_c_1__n FC_c[1] Net sm_amiga_1__n SM_AMIGA[1] + Net fc_c_0__n FC_c[0] Net un4_clk_cnt_n_1__n un4_clk_cnt_n[1] + Net fc_0__n FC[0] Net clk_cnt_n_0__n CLK_CNT_N[0] + Net fc_c_1__n FC_c[1] Net clk_cnt_n_1__n CLK_CNT_N[1] Net un2_clk_cnt_p_1__n un2_clk_cnt_p[1] Net clk_cnt_p_0__n CLK_CNT_P[0] Net clk_cnt_p_1__n CLK_CNT_P[1] - Net cpu_est_ns_0_1__n cpu_est_ns_0[1] Net sm_amiga_2__n SM_AMIGA[2] Net sm_amiga_0__n SM_AMIGA[0] + Net state_machine_un10_bg_030_n state_machine.un10_bg_030 + Net cpu_est_ns_0_1__n cpu_est_ns_0[1] Net state_machine_un7_as_000_int_n state_machine.un7_as_000_int Net state_machine_un15_clk_000_d0_n state_machine.un15_clk_000_d0 Net state_machine_lds_000_int_5_n state_machine.LDS_000_INT_5 @@ -365,21 +368,18 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Net state_machine_lds_000_int_5_0_n state_machine.LDS_000_INT_5_0 Net state_machine_uds_000_int_5_0_n state_machine.UDS_000_INT_5_0 Net state_machine_un15_clk_000_d0_0_n state_machine.un15_clk_000_d0_0 + Net state_machine_un10_bg_030_0_n state_machine.un10_bg_030_0 Net state_machine_un6_bgack_000_0_n state_machine.un6_bgack_000_0 Net clk_un12_clk_cnt_p_i_n clk.un12_clk_cnt_p_i Net state_machine_un23_clk_000_d0_0_n state_machine.un23_clk_000_d0_0 Net state_machine_un8_clk_000_d2_1_n state_machine.un8_clk_000_d2_1 Net cpu_est_ns_0_1_1__n cpu_est_ns_0_1[1] Net cpu_est_ns_0_2_1__n cpu_est_ns_0_2[1] - Net state_machine_un12_bg_030_1_n state_machine.un12_bg_030_1 - Net state_machine_un12_bg_030_2_n state_machine.un12_bg_030_2 - Net state_machine_un12_bg_030_3_n state_machine.un12_bg_030_3 - Net state_machine_un12_bg_030_4_n state_machine.un12_bg_030_4 Net sm_amiga_i_4__n SM_AMIGA_i[4] Net cpu_est_i_3__n cpu_est_i[3] Net sm_amiga_i_1__n SM_AMIGA_i[1] Net sm_amiga_ns_0_1_0__n SM_AMIGA_ns_0_1[0] - Net state_machine_un6_clk_000_d4_i_n state_machine.un6_clk_000_d4_i + Net state_machine_un6_clk_000_d5_i_n state_machine.un6_clk_000_d5_i Net cpu_est_ns_0_1_2__n cpu_est_ns_0_1[2] Net sm_amiga_i_6__n SM_AMIGA_i[6] Net cpu_est_i_1__n cpu_est_i[1] @@ -389,47 +389,46 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Net cpu_est_i_2__n cpu_est_i[2] Net state_machine_uds_000_int_5_0_m2_un0_n state_machine.UDS_000_INT_5_0_m2.un0 Net sm_amiga_i_2__n SM_AMIGA_i[2] - Net lds_000_int_0_un3_n LDS_000_INT_0.un3 - Net sm_amiga_i_3__n SM_AMIGA_i[3] - Net lds_000_int_0_un1_n LDS_000_INT_0.un1 - Net sm_amiga_i_5__n SM_AMIGA_i[5] - Net lds_000_int_0_un0_n LDS_000_INT_0.un0 - Net state_machine_un8_clk_000_d2_i_n state_machine.un8_clk_000_d2_i Net vpa_sync_0_un3_n VPA_SYNC_0.un3 - Net sm_amiga_i_7__n SM_AMIGA_i[7] + Net sm_amiga_i_3__n SM_AMIGA_i[3] Net vpa_sync_0_un1_n VPA_SYNC_0.un1 - Net a_i_0__n A_i[0] + Net sm_amiga_i_5__n SM_AMIGA_i[5] Net vpa_sync_0_un0_n VPA_SYNC_0.un0 - Net size_i_1__n SIZE_i[1] + Net state_machine_un8_clk_000_d2_i_n state_machine.un8_clk_000_d2_i Net vma_int_0_un3_n VMA_INT_0.un3 - Net dsack_i_1__n DSACK_i[1] + Net sm_amiga_i_7__n SM_AMIGA_i[7] Net vma_int_0_un1_n VMA_INT_0.un1 + Net a_i_0__n A_i[0] Net vma_int_0_un0_n VMA_INT_0.un0 + Net size_i_1__n SIZE_i[1] + Net bg_000_0_un3_n BG_000_0.un3 + Net dsack_i_1__n DSACK_i[1] + Net bg_000_0_un1_n BG_000_0.un1 + Net bg_000_0_un0_n BG_000_0.un0 Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3 - Net a_i_30__n A_i[30] Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1 - Net a_i_31__n A_i[31] - Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0 - Net a_i_28__n A_i[28] - Net as_000_int_0_un3_n AS_000_INT_0.un3 - Net a_i_29__n A_i[29] - Net as_000_int_0_un1_n AS_000_INT_0.un1 - Net a_i_26__n A_i[26] - Net as_000_int_0_un0_n AS_000_INT_0.un0 - Net a_i_27__n A_i[27] - Net ipl_030_0_0__un3_n IPL_030_0_0_.un3 - Net a_i_24__n A_i[24] - Net ipl_030_0_0__un1_n IPL_030_0_0_.un1 - Net a_i_25__n A_i[25] - Net ipl_030_0_0__un0_n IPL_030_0_0_.un0 Net a_i_19__n A_i[19] - Net ipl_030_0_1__un3_n IPL_030_0_1_.un3 + Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0 Net a_i_16__n A_i[16] - Net ipl_030_0_1__un1_n IPL_030_0_1_.un1 + Net as_000_int_0_un3_n AS_000_INT_0.un3 Net a_i_18__n A_i[18] + Net as_000_int_0_un1_n AS_000_INT_0.un1 + Net a_i_30__n A_i[30] + Net as_000_int_0_un0_n AS_000_INT_0.un0 + Net a_i_31__n A_i[31] + Net ipl_030_0_0__un3_n IPL_030_0_0_.un3 + Net a_i_28__n A_i[28] + Net ipl_030_0_0__un1_n IPL_030_0_0_.un1 + Net a_i_29__n A_i[29] + Net ipl_030_0_0__un0_n IPL_030_0_0_.un0 + Net a_i_26__n A_i[26] + Net ipl_030_0_1__un3_n IPL_030_0_1_.un3 + Net a_i_27__n A_i[27] + Net ipl_030_0_1__un1_n IPL_030_0_1_.un1 + Net a_i_24__n A_i[24] Net ipl_030_0_1__un0_n IPL_030_0_1_.un0 + Net a_i_25__n A_i[25] Net ipl_030_0_2__un3_n IPL_030_0_2_.un3 - Net state_machine_un12_bg_030_i_n state_machine.un12_bg_030_i Net ipl_030_0_2__un1_n IPL_030_0_2_.un1 Net state_machine_un7_as_000_int_i_n state_machine.un7_as_000_int_i Net ipl_030_0_2__un0_n IPL_030_0_2_.un0 @@ -449,17 +448,17 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0 Net dtack_sync_0_un3_n DTACK_SYNC_0.un3 Net dtack_sync_0_un1_n DTACK_SYNC_0.un1 - Net dtack_sync_0_un0_n DTACK_SYNC_0.un0 Net size_c_0__n SIZE_c[0] - Net fpu_cs_int_0_un3_n FPU_CS_INT_0.un3 + Net dtack_sync_0_un0_n DTACK_SYNC_0.un0 Net size_0__n SIZE[0] - Net fpu_cs_int_0_un1_n FPU_CS_INT_0.un1 + Net fpu_cs_int_0_un3_n FPU_CS_INT_0.un3 Net size_c_1__n SIZE_c[1] + Net fpu_cs_int_0_un1_n FPU_CS_INT_0.un1 Net fpu_cs_int_0_un0_n FPU_CS_INT_0.un0 - Net dsack_int_0_1__un3_n DSACK_INT_0_1_.un3 Net a_c_0__n A_c[0] - Net dsack_int_0_1__un1_n DSACK_INT_0_1_.un1 + Net dsack_int_0_1__un3_n DSACK_INT_0_1_.un3 Net a_0__n A[0] + Net dsack_int_0_1__un1_n DSACK_INT_0_1_.un1 Net dsack_int_0_1__un0_n DSACK_INT_0_1_.un0 Net amiga_bus_enable_0_un3_n AMIGA_BUS_ENABLE_0.un3 Net amiga_bus_enable_0_un1_n AMIGA_BUS_ENABLE_0.un1 @@ -467,45 +466,48 @@ Design 'BUS68030' created Sat May 24 16:11:50 2014 Net uds_000_int_0_un3_n UDS_000_INT_0.un3 Net uds_000_int_0_un1_n UDS_000_INT_0.un1 Net uds_000_int_0_un0_n UDS_000_INT_0.un0 + Net lds_000_int_0_un3_n LDS_000_INT_0.un3 + Net lds_000_int_0_un1_n LDS_000_INT_0.un1 + Net lds_000_int_0_un0_n LDS_000_INT_0.un0 Net a_15__n A[15] Net a_14__n A[14] Net a_13__n A[13] - Net a_12__n A[12] - Net a_11__n A[11] Net a_c_16__n A_c[16] Net a_16__n A[16] - Net a_10__n A[10] + Net a_12__n A[12] Net a_c_17__n A_c[17] Net a_17__n A[17] - Net a_9__n A[9] + Net a_11__n A[11] Net a_c_18__n A_c[18] Net a_18__n A[18] - Net a_8__n A[8] + Net a_10__n A[10] Net a_c_19__n A_c[19] Net a_19__n A[19] - Net a_7__n A[7] + Net a_9__n A[9] Net a_c_20__n A_c[20] Net a_20__n A[20] - Net a_6__n A[6] + Net a_8__n A[8] Net a_c_21__n A_c[21] Net a_21__n A[21] - Net a_5__n A[5] + Net a_7__n A[7] Net a_c_22__n A_c[22] Net a_22__n A[22] - Net a_4__n A[4] + Net a_6__n A[6] Net a_c_23__n A_c[23] Net a_23__n A[23] - Net a_3__n A[3] + Net a_5__n A[5] Net a_c_24__n A_c[24] Net a_24__n A[24] - Net a_2__n A[2] + Net a_4__n A[4] Net a_c_25__n A_c[25] Net a_25__n A[25] - Net a_1__n A[1] + Net a_3__n A[3] Net a_c_26__n A_c[26] Net a_26__n A[26] + Net a_2__n A[2] Net a_c_27__n A_c[27] Net a_27__n A[27] + Net a_1__n A[1] Net a_c_28__n A_c[28] Net a_28__n A[28] Net a_c_29__n A_c[29] diff --git a/Logic/bus68030.srf b/Logic/bus68030.srf index 2f03f0d..231b78a 100644 --- a/Logic/bus68030.srf +++ b/Logic/bus68030.srf @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Sat May 24 16:11:44 2014 +#Sat May 24 19:56:13 2014 Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013 @N|Running in 64-bit mode @@ -21,7 +21,6 @@ File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - @W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":113:7:113:15|Signal clk_030_d is undriven Post processing for work.bus68030.behavioral @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":221:2:221:3|Pruning register CLK_REF(1 downto 0) -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":119:32:119:34|Pruning register CLK_000_D6 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":112:31:112:33|Pruning register cpu_est_d(3 downto 0) @A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:52:110:55|Feedback mux created for signal AMIGA_BUS_ENABLE -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. @W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:52:110:55|Optimizing register bit DSACK_INT(0) to a constant 1 @@ -55,7 +54,7 @@ State machine has 11 reachable states with original encodings of: @W: CL249 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":112:31:112:33|Initial value is not supported on state machine cpu_est @END Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sat May 24 16:11:44 2014 +# Sat May 24 19:56:13 2014 ###########################################################] Map & Optimize Report @@ -91,16 +90,16 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFFRH 18 uses -DFFSH 23 uses +DFFRH 17 uses +DFFSH 25 uses DFF 1 use IBUF 35 uses BUFTH 7 uses OBUF 15 uses BI_DIR 2 uses -AND2 146 uses -INV 129 uses -OR2 18 uses +AND2 148 uses +INV 131 uses +OR2 19 uses XOR2 2 uses @@ -111,6 +110,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sat May 24 16:11:46 2014 +# Sat May 24 19:56:15 2014 ###########################################################] diff --git a/Logic/run_options.txt b/Logic/run_options.txt index 15065b6..9a39d84 100644 --- a/Logic/run_options.txt +++ b/Logic/run_options.txt @@ -1,7 +1,7 @@ #-- Synopsys, Inc. #-- Version G-2012.09LC-SP1 #-- Project file C:\users\matze\documents\github\68030tk\logic\run_options.txt -#-- Written on Sat May 24 16:11:44 2014 +#-- Written on Sat May 24 19:56:13 2014 #project files diff --git a/Logic/synlog/bus68030_fpga_mapper.srr b/Logic/synlog/bus68030_fpga_mapper.srr index fb2ed00..f008fbf 100644 --- a/Logic/synlog/bus68030_fpga_mapper.srr +++ b/Logic/synlog/bus68030_fpga_mapper.srr @@ -29,16 +29,16 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFFRH 18 uses -DFFSH 23 uses +DFFRH 17 uses +DFFSH 25 uses DFF 1 use IBUF 35 uses BUFTH 7 uses OBUF 15 uses BI_DIR 2 uses -AND2 146 uses -INV 129 uses -OR2 18 uses +AND2 148 uses +INV 131 uses +OR2 19 uses XOR2 2 uses @@ -49,6 +49,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 95MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Sat May 24 16:11:46 2014 +# Sat May 24 19:56:15 2014 ###########################################################] diff --git a/Logic/synlog/report/BUS68030_compiler_runstatus.xml b/Logic/synlog/report/BUS68030_compiler_runstatus.xml index 7abea18..efe259f 100644 --- a/Logic/synlog/report/BUS68030_compiler_runstatus.xml +++ b/Logic/synlog/report/BUS68030_compiler_runstatus.xml @@ -18,7 +18,7 @@ The file contains the job information from compiler to be displayed as part of t C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_notes.txt - 8 + 7 C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_warnings.txt @@ -29,13 +29,13 @@ The file contains the job information from compiler to be displayed as part of t - - 0h:00m:00s + 0h:00m:01s - - 1400940704 + 1400954173 \ No newline at end of file diff --git a/Logic/synlog/report/BUS68030_compiler_warnings.txt b/Logic/synlog/report/BUS68030_compiler_warnings.txt index 62cf801..0434475 100644 --- a/Logic/synlog/report/BUS68030_compiler_warnings.txt +++ b/Logic/synlog/report/BUS68030_compiler_warnings.txt @@ -1,6 +1,5 @@ @W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":113:7:113:15|Signal clk_030_d is undriven @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":221:2:221:3|Pruning register CLK_REF(1 downto 0) -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":119:32:119:34|Pruning register CLK_000_D6 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":112:31:112:33|Pruning register cpu_est_d(3 downto 0) @W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:52:110:55|Optimizing register bit DSACK_INT(0) to a constant 1 @W: CL260 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:52:110:55|Pruning register bit 0 of DSACK_INT(1 downto 0) diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml index f3dd730..7858efd 100644 --- a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml +++ b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml @@ -39,7 +39,7 @@ The file contains the job information from mapper to be displayed as part of the 95MB -1400940706 +1400954175 diff --git a/Logic/syntmp/run_option.xml b/Logic/syntmp/run_option.xml index a392795..8a83eb7 100644 --- a/Logic/syntmp/run_option.xml +++ b/Logic/syntmp/run_option.xml @@ -3,7 +3,7 @@ Synopsys, Inc. Version G-2012.09LC-SP1 Project file C:\users\matze\documents\github\68030tk\logic\syntmp\run_option.xml - Written on Sat May 24 16:11:44 2014 + Written on Sat May 24 19:56:13 2014 --> diff --git a/Logic/synwork/BUS68030_compiler.fdep b/Logic/synwork/BUS68030_compiler.fdep index 14a44f8..007fc3f 100644 --- a/Logic/synwork/BUS68030_compiler.fdep +++ b/Logic/synwork/BUS68030_compiler.fdep @@ -10,7 +10,7 @@ #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328 #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328 #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400940700 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400954168 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_compiler.fdeporig b/Logic/synwork/BUS68030_compiler.fdeporig index f8e9aee..9bfbc4e 100644 --- a/Logic/synwork/BUS68030_compiler.fdeporig +++ b/Logic/synwork/BUS68030_compiler.fdeporig @@ -10,7 +10,7 @@ #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328 #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328 #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400940700 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1400954168 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_compiler.srs b/Logic/synwork/BUS68030_compiler.srs index 8cf2ac5..ced07c2 100644 Binary files a/Logic/synwork/BUS68030_compiler.srs and b/Logic/synwork/BUS68030_compiler.srs differ diff --git a/Logic/synwork/BUS68030_compiler.tlg b/Logic/synwork/BUS68030_compiler.tlg index ec82448..b311291 100644 --- a/Logic/synwork/BUS68030_compiler.tlg +++ b/Logic/synwork/BUS68030_compiler.tlg @@ -2,7 +2,6 @@ @W: CD638 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":113:7:113:15|Signal clk_030_d is undriven Post processing for work.bus68030.behavioral @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":221:2:221:3|Pruning register CLK_REF(1 downto 0) -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":119:32:119:34|Pruning register CLK_000_D6 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":112:31:112:33|Pruning register cpu_est_d(3 downto 0) @A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:52:110:55|Feedback mux created for signal AMIGA_BUS_ENABLE -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. @W: CL190 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:52:110:55|Optimizing register bit DSACK_INT(0) to a constant 1