From c39e83d66de6df6030c6bd88a8136ae8f77ca284 Mon Sep 17 00:00:00 2001 From: MHeinrichs Date: Sat, 18 Jul 2015 14:06:08 +0200 Subject: [PATCH] some erratic tries --- Logic/68030-68000-bus.vhd | 6 +- Logic/68030_TK.STY | 6 +- Logic/68030_TK.cmi | 4 +- Logic/68030_TK.tcl | 2413 ++++++++ Logic/68030_tk.bl2 | 2656 ++++---- Logic/68030_tk.bl3 | 560 +- Logic/68030_tk.crf | 2 +- Logic/68030_tk.eq3 | 72 +- Logic/68030_tk.fti | 211 +- Logic/68030_tk.grp | 42 +- Logic/68030_tk.ipr | 2 +- Logic/68030_tk.jed | 987 ++- Logic/68030_tk.lco | 159 +- Logic/68030_tk.out | 1665 +++++ Logic/68030_tk.plc | 171 +- Logic/68030_tk.prd | 1335 ++-- Logic/68030_tk.rpt | 890 ++- Logic/68030_tk.tal | 6 +- Logic/68030_tk.tt2 | 1239 ++-- Logic/68030_tk.tt3 | 1239 ++-- Logic/68030_tk.tt4 | 619 +- Logic/68030_tk.tte | 619 +- Logic/68030_tk.vcl | 91 +- Logic/68030_tk.vco | 159 +- Logic/68030_tk.xrf | 2 +- Logic/BUS68030.bl0 | 3569 ++++++----- Logic/BUS68030.bl1 | 2658 ++++---- Logic/BUS68030.cmd | 8 - Logic/BUS68030.edi | 4026 ++++++------ Logic/BUS68030.prj | 2 +- Logic/BUS68030.srm | 5506 ++++++++--------- Logic/BUS68030.srr | 15 +- Logic/BUS68030.srs | Bin 12819 -> 12715 bytes Logic/Programming.xcf | 4 +- Logic/bus68030.exf | 1080 ++-- Logic/bus68030.srf | 51 +- Logic/run_options.txt | 2 +- Logic/synlog/BUS68030_multi_srs_gen.srr | 2 +- Logic/synlog/bus68030_fpga_mapper.srr | 8 +- .../report/BUS68030_compiler_errors.txt | 3 +- .../report/BUS68030_compiler_runstatus.xml | 6 +- .../report/BUS68030_compiler_warnings.txt | 1 + .../report/BUS68030_fpga_mapper_runstatus.xml | 2 +- Logic/syntmp/BUS68030_srr.htm | 65 +- Logic/syntmp/BUS68030_toc.htm | 2 +- Logic/syntmp/run_option.xml | 2 +- Logic/syntmp/statusReport.html | 10 +- Logic/synwork/BUS68030_comp.fdep | 2 +- Logic/synwork/BUS68030_comp.fdeporig | 2 +- Logic/synwork/BUS68030_comp.srs | Bin 12312 -> 12174 bytes Logic/synwork/BUS68030_comp.tlg | 1 + Logic/synwork/BUS68030_mult.srs | Bin 12819 -> 12715 bytes Logic/synwork/BUS68030_mult_srs/skeleton.srs | Bin 1145 -> 1142 bytes Logic/synwork/BUS68030_s.srs | Bin 12312 -> 12174 bytes 54 files changed, 18137 insertions(+), 14045 deletions(-) delete mode 100644 Logic/BUS68030.cmd diff --git a/Logic/68030-68000-bus.vhd b/Logic/68030-68000-bus.vhd index e5eabad..8b455c6 100644 --- a/Logic/68030-68000-bus.vhd +++ b/Logic/68030-68000-bus.vhd @@ -556,9 +556,9 @@ begin --cache inhibit: Tristate for expansion (it decides) and off for the Amiga - CIIN <= '1' WHEN A(31 downto 20) = x"00F" and AS_030_D0 ='0' ELSE -- Enable for Kick-rom - 'Z' WHEN nEXP_SPACE_D0 = '0' ELSE --Tristate for expansion (it decides) - '0'; --off for the Amiga + CIIN <= 'Z' WHEN nEXP_SPACE_D0 = '0' ELSE --Tristate for expansion (it decides) + '1' WHEN A(31 downto 24) = x"00" and AS_030_D0 ='0' and nEXP_SPACE_D0 = '1' ELSE -- Enable for Kick-rom + 'Z'; --off for the Amiga --e and VMA diff --git a/Logic/68030_TK.STY b/Logic/68030_TK.STY index 919df85..1bb8e88 100644 --- a/Logic/68030_TK.STY +++ b/Logic/68030_TK.STY @@ -1,6 +1,4 @@ -[STRATEGY-LIST] -Normal=True, 1412327082 -[TOUCHED-REPORT] -Design.tt4File=1426539232 [synthesis-type] tool=Synplify +[STRATEGY-LIST] +Normal=True, 1412327082 diff --git a/Logic/68030_TK.cmi b/Logic/68030_TK.cmi index 7103306..2ce0769 100644 --- a/Logic/68030_TK.cmi +++ b/Logic/68030_TK.cmi @@ -9,7 +9,7 @@ Remember_Setting=1 Open_PV_Opt=2 Open_PV=0 PV_IS_ACTIVE=0 -ACTIVE_SHEET=Pin Attributes +ACTIVE_SHEET=Global Constraints Show_Def_Opt=2 Show_Def_Val=1 Expand_All_Column=0 @@ -18,7 +18,7 @@ Sort_Type=0 Sort_Direction=0 Skip_Next_Pin=0 [Pin Attributes] -sort_column_-1=Pin +sort_column_-1=Power Type=42,no Signal/Group Name=209,no Group Members=111,no diff --git a/Logic/68030_TK.tcl b/Logic/68030_TK.tcl index e2dcbe2..aee4a85 100644 --- a/Logic/68030_TK.tcl +++ b/Logic/68030_TK.tcl @@ -339983,3 +339983,2416 @@ if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 6 ########## Tcl recorder end at 05/13/15 22:59:07 ########### + +########## Tcl recorder starts at 07/08/15 19:00:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:00:59 ########### + + +########## Tcl recorder starts at 07/08/15 19:00:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:00:59 ########### + + +########## Tcl recorder starts at 07/08/15 19:01:23 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:01:23 ########### + + +########## Tcl recorder starts at 07/08/15 19:01:24 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:01:24 ########### + + +########## Tcl recorder starts at 07/08/15 19:01:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:01:47 ########### + + +########## Tcl recorder starts at 07/08/15 19:01:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:01:47 ########### + + +########## Tcl recorder starts at 07/08/15 19:02:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:02:47 ########### + + +########## Tcl recorder starts at 07/08/15 19:02:48 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:02:48 ########### + + +########## Tcl recorder starts at 07/08/15 19:11:05 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:11:05 ########### + + +########## Tcl recorder starts at 07/08/15 19:11:05 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:11:05 ########### + + +########## Tcl recorder starts at 07/08/15 19:14:12 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:14:12 ########### + + +########## Tcl recorder starts at 07/08/15 19:14:12 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:14:12 ########### + + +########## Tcl recorder starts at 07/08/15 19:18:00 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:18:00 ########### + + +########## Tcl recorder starts at 07/08/15 19:18:00 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:18:00 ########### + + +########## Tcl recorder starts at 07/08/15 19:19:27 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:19:27 ########### + + +########## Tcl recorder starts at 07/08/15 19:19:27 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:19:27 ########### + + +########## Tcl recorder starts at 07/08/15 19:27:39 ########## + +# Commands to make the Process: +# Constraint Editor +# - none - +# Application to view the Process: +# Constraint Editor +if [catch {open lattice_cmd.rs2 w} rspFile] { + puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" +} else { + puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:27:39 ########### + + +########## Tcl recorder starts at 07/08/15 19:30:36 ########## + +# Commands to make the Process: +# JEDEC File +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:30:36 ########### + + +########## Tcl recorder starts at 07/08/15 19:30:46 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:30:46 ########### + + +########## Tcl recorder starts at 07/08/15 19:33:36 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:33:36 ########### + + +########## Tcl recorder starts at 07/08/15 19:34:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/08/15 19:34:16 ########### + + +########## Tcl recorder starts at 07/09/15 18:46:25 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/09/15 18:46:25 ########### + + +########## Tcl recorder starts at 07/09/15 18:46:25 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/09/15 18:46:25 ########### + + +########## Tcl recorder starts at 07/09/15 18:48:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/09/15 18:48:44 ########### + + +########## Tcl recorder starts at 07/09/15 18:48:45 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 07/09/15 18:48:45 ########### + diff --git a/Logic/68030_tk.bl2 b/Logic/68030_tk.bl2 index 91eb977..e27bb89 100644 --- a/Logic/68030_tk.bl2 +++ b/Logic/68030_tk.bl2 @@ -1,130 +1,141 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Wed May 13 22:59:21 2015 +#$ DATE Thu Jul 09 18:48:59 2015 #$ MODULE 68030_tk -#$ PINS 75 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ -# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 A_15_ DS_030 A_14_ UDS_000 \ -# A_13_ LDS_000 A_12_ A0 A_11_ A1 A_10_ nEXP_SPACE A_9_ BERR A_8_ BG_030 A_7_ BG_000 A_6_ \ -# BGACK_030 A_5_ BGACK_000 A_4_ CLK_030 A_3_ CLK_000 A_2_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT \ -# IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E VPA VMA RST \ -# RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -# AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ -#$ NODES 695 N_310 un1_rst_dly_i_m_i_5__n sm_amiga_srsts_i_0_m2_3__un0_n N_220 \ -# sm_amiga_srsts_i_0_m2_1__un3_n pos_clk_cpu_est_11_1__n un1_rst_dly_i_m_i_6__n \ -# sm_amiga_srsts_i_0_m2_1__un1_n N_14 sm_amiga_srsts_i_0_m2_1__un0_n N_18 \ -# un1_rst_dly_i_m_i_7__n un1_amiga_bus_enable_dma_high_0_m2_0__un3_n N_22 \ -# un1_amiga_bus_enable_dma_high_0_m2_0__un1_n inst_BGACK_030_INTreg \ -# RESET_OUT_0_sqmuxa_1 un1_rst_dly_i_m_i_8__n \ -# un1_amiga_bus_enable_dma_high_0_m2_0__un0_n vcc_n_n N_205 \ -# un1_sm_amiga_7_i_m2_un3_n cpu_est_3_reg N_213 un1_rst_dly_i_m_i_2__n \ -# un1_sm_amiga_7_i_m2_un1_n inst_VMA_INTreg pos_clk_RST_DLY_5_iv_0_x2_0_ \ -# un1_sm_amiga_7_i_m2_un0_n inst_RESET_OUTreg N_105 N_98_i size_dma_0_0__un3_n \ -# gnd_n_n N_98 size_dma_0_0__un1_n un1_amiga_bus_enable_low RESET_OUT_0_sqmuxa \ -# N_105_i size_dma_0_0__un0_n un6_as_030 un1_rst_dly_i_m_2__n size_dma_0_1__un3_n \ -# un3_size G_137 N_22_i size_dma_0_1__un1_n un4_size un1_rst_dly_i_m_8__n N_33_0 \ -# size_dma_0_1__un0_n un5_ciin G_149 N_18_i ipl_030_0_0__un3_n un4_as_000 \ -# RESET_OUT_0_sqmuxa_5 N_37_0 ipl_030_0_0__un1_n un21_fpu_cs RESET_OUT_0_sqmuxa_7 \ -# N_14_i ipl_030_0_0__un0_n un22_berr G_147 N_41_0 ipl_030_0_1__un3_n un6_ds_030 G_145 \ -# N_10_i ipl_030_0_1__un1_n un6_uds_000 N_211 N_44_0 ipl_030_0_1__un0_n un6_lds_000 \ -# G_143 pos_clk_cpu_est_11_0_1__n ipl_030_0_2__un3_n cpu_est_0_ N_209 N_312_i \ -# ipl_030_0_2__un1_n cpu_est_1_ G_141 N_90_i ipl_030_0_2__un0_n inst_AS_000_INT G_139 \ -# N_88_i amiga_bus_enable_dma_high_0_un3_n SM_AMIGA_5_ un1_rst_dly_i_m_7__n N_299_i \ -# amiga_bus_enable_dma_high_0_un1_n inst_AMIGA_BUS_ENABLE_DMA_LOW \ -# un1_rst_dly_i_m_6__n N_275_0 amiga_bus_enable_dma_high_0_un0_n inst_AS_030_D0 \ -# un1_rst_dly_i_m_5__n N_274_0 bg_000_0_un3_n inst_nEXP_SPACE_D0reg \ -# un1_rst_dly_i_m_4__n N_273_i bg_000_0_un1_n inst_DS_030_D0 un1_rst_dly_i_m_3__n \ -# N_272_i bg_000_0_un0_n inst_AS_030_000_SYNC N_71_i N_270_i ds_000_dma_0_un3_n \ -# inst_BGACK_030_INT_D un1_amiga_bus_enable_low_i N_268_i ds_000_dma_0_un1_n \ -# inst_AS_000_DMA un21_fpu_cs_i N_310_i ds_000_dma_0_un0_n inst_DS_000_DMA \ -# RESET_OUT_i N_311_i as_000_dma_0_un3_n CYCLE_DMA_0_ BGACK_030_INT_i N_267_0 \ -# as_000_dma_0_un1_n CYCLE_DMA_1_ RESET_OUT_0_sqmuxa_i N_309_i as_000_dma_0_un0_n \ -# SIZE_DMA_0_ un1_rst_dly_i_3__n N_308_i a0_dma_0_un3_n SIZE_DMA_1_ \ -# un1_rst_dly_i_4__n pos_clk_un7_clk_000_pe_0_n a0_dma_0_un1_n inst_VPA_D \ -# un1_rst_dly_i_5__n N_264_0 a0_dma_0_un0_n inst_UDS_000_INT un1_rst_dly_i_6__n \ -# N_304_i dsack1_int_0_un3_n inst_LDS_000_INT un1_rst_dly_i_7__n N_303_i \ -# dsack1_int_0_un1_n inst_CLK_OUT_PRE_D un1_rst_dly_i_8__n N_186_i \ -# dsack1_int_0_un0_n inst_DTACK_D0 un1_rst_dly_i_2__n VPA_c_i as_000_int_0_un3_n \ -# inst_CLK_OUT_PRE_50 N_87_i_i N_56_0 as_000_int_0_un1_n inst_CLK_000_D1 \ -# cpu_est_i_3__n DTACK_c_i as_000_int_0_un0_n inst_CLK_000_D0 cpu_est_i_0__n N_57_0 \ -# ds_000_enable_0_un3_n inst_CLK_000_PE VPA_D_i N_97_i ds_000_enable_0_un1_n \ -# CLK_000_P_SYNC_9_ VMA_INT_i ds_000_enable_0_un0_n inst_CLK_000_NE cpu_est_i_1__n \ -# N_96_i as_030_000_sync_0_un3_n CLK_000_N_SYNC_11_ CLK_000_PE_i N_95_i \ -# as_030_000_sync_0_un1_n cpu_est_2_ BERR_i N_94_i as_030_000_sync_0_un0_n IPL_D0_0_ \ -# sm_amiga_i_4__n N_313_i lds_000_int_0_un3_n IPL_D0_1_ cpu_est_i_2__n N_136_i \ -# lds_000_int_0_un1_n IPL_D0_2_ sm_amiga_i_5__n N_81_0 lds_000_int_0_un0_n \ -# SM_AMIGA_3_ DTACK_D0_i N_116_i rw_000_dma_0_un3_n inst_CLK_000_NE_D0 \ -# sm_amiga_i_0__n N_77_i rw_000_dma_0_un1_n pos_clk_un6_bg_030_n sm_amiga_i_3__n \ -# N_73_i rw_000_dma_0_un0_n SM_AMIGA_0_ CLK_000_NE_i N_101_i uds_000_int_0_un3_n \ -# inst_AMIGA_BUS_ENABLE_DMA_HIGH sm_amiga_i_6__n uds_000_int_0_un1_n \ -# inst_DSACK1_INTreg sm_amiga_i_1__n clk_000_n_sync_i_10__n uds_000_int_0_un0_n \ -# CLK_OUT_PRE_D_i pos_clk_un9_clk_000_n_sync_i_n amiga_bus_enable_dma_low_0_un3_n \ -# pos_clk_ipl_n pos_clk_un11_clk_000_n_sync_i_n pos_clk_un14_clk_000_n_sync_0_n \ -# amiga_bus_enable_dma_low_0_un1_n pos_clk_un3_ds_030_d0_n LDS_000_i \ -# pos_clk_un22_bgack_030_int_i_n amiga_bus_enable_dma_low_0_un0_n SM_AMIGA_6_ \ -# UDS_000_i N_86_i a_15__n AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa nEXP_SPACE_D0_i N_93_i \ -# RST_DLY_0_ sm_amiga_i_2__n a_14__n RST_DLY_1_ AS_030_i N_99_i RST_DLY_2_ A1_i \ -# pos_clk_size_dma_6_0_1__n a_13__n RST_DLY_3_ CLK_000_D1_i N_100_i RST_DLY_4_ \ -# RW_000_i pos_clk_size_dma_6_0_0__n a_12__n RST_DLY_5_ CLK_030_H_i N_245_0 RST_DLY_6_ \ -# AS_000_DMA_i N_108_i a_11__n RST_DLY_7_ AS_000_i N_109_i pos_clk_un8_bg_030_n \ -# sm_amiga_i_i_7__n N_246_0 a_10__n CLK_000_P_SYNC_0_ RW_i un5_ciin_i \ -# CLK_000_P_SYNC_1_ AMIGA_BUS_ENABLE_DMA_HIGH_i N_247_0 a_9__n CLK_000_P_SYNC_2_ \ -# FPU_SENSE_i N_248_0 CLK_000_P_SYNC_3_ AS_030_D0_i CLK_000_D0_i a_8__n \ -# CLK_000_P_SYNC_4_ a_i_24__n N_249_i CLK_000_P_SYNC_5_ size_dma_i_0__n \ -# AS_030_000_SYNC_i a_7__n CLK_000_P_SYNC_6_ size_dma_i_1__n N_251_0 \ -# CLK_000_P_SYNC_7_ a_i_16__n pos_clk_un3_as_030_d0_i_n a_6__n CLK_000_P_SYNC_8_ \ -# a_i_18__n pos_clk_un5_bgack_030_int_d_i_n CLK_000_N_SYNC_0_ a_i_19__n N_75_i a_5__n \ -# CLK_000_N_SYNC_1_ a_i_31__n N_76_i CLK_000_N_SYNC_2_ a_i_29__n N_78_0 a_4__n \ -# CLK_000_N_SYNC_3_ a_i_30__n N_80_0 CLK_000_N_SYNC_4_ a_i_27__n CLK_EXP_c_i a_3__n \ -# CLK_000_N_SYNC_5_ a_i_28__n N_258_0 CLK_000_N_SYNC_6_ a_i_25__n N_283_i a_2__n \ -# CLK_000_N_SYNC_7_ a_i_26__n N_284_i CLK_000_N_SYNC_8_ UDS_000_INT_i \ -# CLK_000_N_SYNC_9_ LDS_000_INT_i N_290_i CLK_000_N_SYNC_10_ DS_030_i N_291_i \ -# pos_clk_un5_bgack_030_int_d_n N_224_i inst_RW_000_INT N_225_i N_279_i \ -# inst_RW_000_DMA N_226_i N_293_i inst_A0_DMA inst_CLK_030_H N_82_i SM_AMIGA_1_ N_83_i \ -# SM_AMIGA_4_ N_104_i N_259_0 SM_AMIGA_2_ N_103_i N_84_i pos_clk_un3_as_030_d0_n \ -# N_282_i N_115_0 inst_DS_000_ENABLE N_92_i N_85_i AS_000_INT_1_sqmuxa un6_lds_000_i \ -# N_294_i DS_000_ENABLE_1_sqmuxa_1 un6_uds_000_i N_296_i pos_clk_a0_dma_3_n \ -# un6_ds_030_i pos_clk_cpu_est_11_0_3__n pos_clk_ds_000_dma_4_n DS_000_DMA_i N_91_i \ -# N_3 un4_as_000_i N_260_0 AS_000_INT_i N_301_i un6_as_030_i pos_clk_ds_000_dma_4_0_n \ -# N_6 AMIGA_BUS_ENABLE_DMA_LOW_i N_305_i N_8 DS_030_D0_i N_306_i N_9 AS_030_c \ -# AMIGA_BUS_DATA_DIR_c_0 N_10 N_307_i N_11 AS_000_c N_12 N_13 RW_000_c N_15 N_265_0 N_16 \ -# DS_030_c N_269_i N_19 pos_clk_un24_bgack_030_int_i_0_i_n N_20 UDS_000_c N_62_0 N_21 \ -# N_276_0 N_23 LDS_000_c N_277_0 N_24 N_286_i N_25 size_c_0__n N_288_i N_289_i size_c_1__n \ -# pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i N_32_0 N_24_i N_31_0 N_23_i \ -# N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 nEXP_SPACE_c_i \ -# N_55_0 N_50_0 N_3_i N_49_0 N_6_i N_48_0 N_8_i N_46_0 N_9_i N_45_0 N_12_i SM_AMIGA_i_7_ \ -# N_43_0 N_115 N_13_i pos_clk_size_dma_6_0__n a_c_16__n N_42_0 pos_clk_size_dma_6_1__n \ -# N_15_i pos_clk_cpu_est_11_3__n a_c_17__n N_40_0 G_165 N_16_i G_166 a_c_18__n N_39_0 \ -# G_167 N_19_i un6_uds_000_1 a_c_19__n N_36_0 pos_clk_un24_bgack_030_int_i_0_n N_20_i \ -# N_245 a_c_20__n N_35_0 N_246 N_21_i N_247 a_c_21__n N_34_0 N_248 BG_030_c_i N_89 \ -# a_c_22__n pos_clk_un6_bg_030_i_n N_92 pos_clk_un8_bg_030_0_n N_102 a_c_23__n \ -# N_127_i_1 N_103 N_127_i_2 N_104 a_c_24__n pos_clk_un24_bgack_030_int_i_0_i_1_n N_112 \ -# N_80_0_1 N_256 a_c_25__n N_75_i_1 N_258 N_251_0_1 a_c_26__n \ -# pos_clk_un11_ds_030_d0_i_1_n N_260 N_340_1 N_265 a_c_27__n N_340_2 N_282 N_340_3 N_71 \ -# a_c_28__n N_340_4 cpu_est_0_0_x2_0_ un5_ciin_1 pos_clk_un11_clk_000_n_sync_n \ -# a_c_29__n un5_ciin_2 N_76 un5_ciin_3 pos_clk_CYCLE_DMA_5_1_i_x2 a_c_30__n un5_ciin_4 \ -# pos_clk_CYCLE_DMA_5_0_i_x2 un5_ciin_5 pos_clk_un24_bgack_030_int_i_0_x2 a_c_31__n \ -# un5_ciin_6 pos_clk_un22_bgack_030_int_n un5_ciin_7 N_268 A0_c un5_ciin_8 N_270 \ -# un5_ciin_9 N_73 A1_c un5_ciin_10 N_75 un5_ciin_11 N_251 nEXP_SPACE_c un22_berr_1_0 \ -# un22_berr_1 un21_fpu_cs_1 N_95 BERR_c pos_clk_un6_bg_030_1_n N_94 N_131_i_1 N_288 \ -# BG_030_c N_131_i_2 N_289 N_131_i_3 N_286 BG_000DFFreg N_96_1 N_279 N_96_2 N_277 N_96_3 \ -# N_276 BGACK_000_c pos_clk_cpu_est_11_0_1_1__n N_62 pos_clk_cpu_est_11_0_2_1__n \ -# N_274 N_310_1 N_313 N_310_2 N_307 N_310_3 N_305 CLK_OSZI_c N_310_4 N_306 N_309_1 N_303 \ -# N_309_2 N_304 CLK_EXP_c N_308_1 N_301 N_308_2 N_91 RESET_OUT_0_sqmuxa_5_1 N_85 \ -# FPU_SENSE_c RESET_OUT_0_sqmuxa_7_1 N_294 RESET_OUT_0_sqmuxa_7_2 N_296 \ -# IPL_030DFF_0_reg RESET_OUT_0_sqmuxa_7_3 N_84 N_94_1 N_82 IPL_030DFF_1_reg N_95_1 N_83 \ -# N_119_i_1 N_293 IPL_030DFF_2_reg N_82_1 N_290 N_83_1 N_291 ipl_c_0__n N_296_1 N_283 \ -# N_303_1 N_284 ipl_c_1__n N_304_1 N_86 N_306_1 N_80 ipl_c_2__n N_129_i_1 N_78 N_125_i_1 \ -# N_108 N_123_i_1 N_109 DTACK_c N_115_0_1 N_100 pos_clk_cpu_est_11_0_1_3__n N_99 \ -# N_260_0_1 N_93 N_261_i_1 pos_clk_un14_clk_000_n_sync_n VPA_c N_262_i_1 \ -# pos_clk_un9_clk_000_n_sync_n N_263_i_1 N_340 pos_clk_ipl_1_n N_97 RST_c \ -# cpu_est_0_3__un3_n N_136 cpu_est_0_3__un1_n N_101 cpu_est_0_3__un0_n N_81 RW_c \ -# cpu_est_0_2__un3_n N_116 cpu_est_0_2__un1_n N_96 fc_c_0__n cpu_est_0_2__un0_n N_113 \ -# cpu_est_0_1__un3_n N_275 fc_c_1__n cpu_est_0_1__un1_n N_273 cpu_est_0_1__un0_n N_88 \ -# bgack_030_int_0_un3_n N_272 AMIGA_BUS_DATA_DIR_c bgack_030_int_0_un1_n N_299 \ -# bgack_030_int_0_un0_n N_90 vma_int_0_un3_n N_311 vma_int_0_un1_n N_312 \ -# vma_int_0_un0_n N_267 un1_as_000_i rw_000_int_0_un3_n N_264 un1_rst_dly_i_m_i_3__n \ -# rw_000_int_0_un1_n pos_clk_un7_clk_000_pe_n rw_000_int_0_un0_n N_308 \ -# un1_rst_dly_i_m_i_4__n sm_amiga_srsts_i_0_m2_3__un3_n N_309 \ -# sm_amiga_srsts_i_0_m2_3__un1_n +#$ PINS 75 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ IPL_030_2_ A_25_ A_24_ \ +# IPL_2_ A_23_ A_22_ FC_1_ A_21_ AS_030 A_20_ AS_000 A_19_ RW_000 A_18_ DS_030 A_17_ UDS_000 \ +# A_16_ LDS_000 A_15_ A0 A_14_ A1 A_13_ nEXP_SPACE A_12_ BERR A_11_ BG_030 A_10_ BG_000 A_9_ \ +# BGACK_030 A_8_ BGACK_000 A_7_ CLK_030 A_6_ CLK_000 A_5_ CLK_OSZI A_4_ CLK_DIV_OUT A_3_ \ +# CLK_EXP A_2_ FPU_CS IPL_030_1_ FPU_SENSE IPL_030_0_ DSACK1 IPL_1_ DTACK IPL_0_ AVEC FC_0_ \ +# E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ +# AMIGA_BUS_ENABLE_HIGH CIIN +#$ NODES 694 N_193 cpu_est_0_1__un3_n N_190 RW_c cpu_est_0_1__un1_n N_189 \ +# cpu_est_0_1__un0_n N_140 fc_c_0__n bgack_030_int_0_un3_n \ +# pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n pos_clk_un23_clk_000_ne_d0_n \ +# fc_c_1__n bgack_030_int_0_un0_n inst_BGACK_030_INTreg \ +# pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n vcc_n_n \ +# pos_clk_un7_clk_000_pe_n un1_amiga_bus_enable_dma_high_i_m4_0__un1_n \ +# cpu_est_3_reg N_18 AMIGA_BUS_DATA_DIR_c \ +# un1_amiga_bus_enable_dma_high_i_m4_0__un0_n inst_VMA_INTreg N_22 \ +# ds_000_enable_1_sqmuxa_1_i_m4_un3_n inst_RESET_OUTreg \ +# pos_clk_un11_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n \ +# pos_clk_un9_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un0_n \ +# un1_amiga_bus_enable_low pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n \ +# un6_as_030 pos_clk_un22_bgack_030_int_n N_6_i size_dma_0_0__un1_n un3_size G_161 \ +# N_48_0 size_dma_0_0__un0_n un4_size N_220 N_3_i size_dma_0_1__un3_n un8_ciin G_159 \ +# N_49_0 size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n \ +# pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 CLK_030_H_0_sqmuxa \ +# pos_clk_un26_bgack_030_int_i_n ipl_030_0_0__un3_n un21_fpu_cs AS_000_DMA_1_sqmuxa \ +# pos_clk_un27_bgack_030_int_0_n ipl_030_0_0__un1_n un22_berr \ +# pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i ipl_030_0_0__un0_n un6_ds_030 \ +# pos_clk_un27_bgack_030_int_n N_7_i ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 \ +# ipl_030_0_1__un1_n un6_lds_000 N_165 N_133_i ipl_030_0_1__un0_n cpu_est_0_ N_133 \ +# N_176_i ipl_030_0_2__un3_n cpu_est_1_ N_163 N_175_i ipl_030_0_2__un1_n \ +# inst_AS_000_INT N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n SM_AMIGA_5_ N_164 \ +# pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n inst_AMIGA_BUS_ENABLE_DMA_LOW \ +# N_176 N_162_i dsack1_int_0_un1_n inst_AS_030_D0 DS_000_DMA_2_sqmuxa N_163_i \ +# dsack1_int_0_un0_n inst_nEXP_SPACE_D0reg pos_clk_ds_000_dma_4_n N_164_i \ +# as_000_int_0_un3_n inst_DS_030_D0 DS_000_DMA_0_sqmuxa N_165_i as_000_int_0_un1_n \ +# inst_AS_030_000_SYNC pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n \ +# inst_BGACK_030_INT_D N_175 pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n \ +# inst_AS_000_DMA N_47 pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n \ +# inst_DS_000_DMA N_7 clk_000_n_sync_i_10__n ds_000_enable_0_un0_n CYCLE_DMA_0_ \ +# un1_rst_2 pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n CYCLE_DMA_1_ \ +# pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n SIZE_DMA_0_ \ +# pos_clk_un29_bgack_030_int_n N_33_0 as_030_000_sync_0_un0_n SIZE_DMA_1_ N_3 N_18_i \ +# lds_000_int_0_un3_n inst_VPA_D N_6 N_37_0 lds_000_int_0_un1_n inst_UDS_000_INT \ +# un1_amiga_bus_enable_low_i pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n \ +# inst_LDS_000_INT un21_fpu_cs_i pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n \ +# inst_CLK_OUT_PRE_D AS_000_i pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n \ +# inst_DTACK_D0 DS_000_DMA_i pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n \ +# inst_CLK_OUT_PRE_50 pos_clk_un24_bgack_030_int_i_n \ +# pos_clk_un21_clk_000_ne_d0_i_n rw_000_dma_0_un3_n inst_CLK_000_D1 \ +# cycle_dma_i_1__n pos_clk_un23_clk_000_ne_d0_0_n rw_000_dma_0_un1_n \ +# inst_CLK_000_D0 cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n inst_CLK_000_PE \ +# AS_000_DMA_i N_140_0 uds_000_int_0_un3_n CLK_000_P_SYNC_9_ CLK_EXP_i N_195_i \ +# uds_000_int_0_un1_n inst_CLK_000_NE BERR_i N_196_i uds_000_int_0_un0_n \ +# CLK_000_N_SYNC_11_ RW_000_i N_186_i amiga_bus_enable_dma_low_0_un3_n cpu_est_2_ \ +# DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n IPL_D0_0_ \ +# pos_clk_un40_bgack_030_int_1_i_n N_189_i amiga_bus_enable_dma_low_0_un0_n \ +# IPL_D0_1_ BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n IPL_D0_2_ \ +# nEXP_SPACE_D0_i N_193_i amiga_bus_enable_dma_high_0_un1_n SM_AMIGA_3_ CLK_000_PE_i \ +# N_191_i amiga_bus_enable_dma_high_0_un0_n inst_CLK_000_NE_D0 CLK_000_NE_i N_192_i \ +# bg_000_0_un3_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n \ +# SM_AMIGA_0_ sm_amiga_i_0__n pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n \ +# inst_AMIGA_BUS_ENABLE_DMA_HIGH pos_clk_un7_clk_000_d0_i_n N_198_i a0_dma_0_un3_n \ +# inst_DSACK1_INTreg UDS_000_i N_197_i a0_dma_0_un1_n LDS_000_i N_199_i a0_dma_0_un0_n \ +# pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n \ +# pos_clk_cpu_est_11_0_3__n a_23__n pos_clk_ipl_n CLK_OUT_PRE_D_i N_151_i \ +# pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n SM_AMIGA_6_ sm_amiga_i_2__n \ +# AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n N_135_i a_21__n \ +# RST_DLY_0_ cpu_est_i_0__n N_252_0 RST_DLY_1_ cpu_est_i_3__n N_85_i a_20__n RST_DLY_2_ \ +# cpu_est_i_2__n RST_DLY_3_ cpu_est_i_1__n N_38_0 a_15__n RST_DLY_4_ VPA_D_i \ +# un1_rst_dly_i_m_i_2__n RST_DLY_5_ VMA_INT_i a_14__n RST_DLY_6_ sm_amiga_i_1__n \ +# un1_rst_dly_i_m_i_3__n RST_DLY_7_ RESET_OUT_0_sqmuxa_i a_13__n \ +# pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n CLK_000_P_SYNC_0_ \ +# un1_rst_dly_i_2__n a_12__n CLK_000_P_SYNC_1_ un1_rst_dly_i_3__n \ +# un1_rst_dly_i_m_i_5__n CLK_000_P_SYNC_2_ un1_rst_dly_i_4__n a_11__n \ +# CLK_000_P_SYNC_3_ un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n CLK_000_P_SYNC_4_ \ +# un1_rst_dly_i_6__n a_10__n CLK_000_P_SYNC_5_ un1_rst_dly_i_7__n \ +# un1_rst_dly_i_m_i_7__n CLK_000_P_SYNC_6_ un1_rst_dly_i_8__n a_9__n \ +# CLK_000_P_SYNC_7_ RESET_OUT_i un1_rst_dly_i_m_i_8__n CLK_000_P_SYNC_8_ AS_030_D0_i \ +# a_8__n CLK_000_N_SYNC_0_ AS_030_i un3_as_030_i CLK_000_N_SYNC_1_ A1_i N_76_i a_7__n \ +# CLK_000_N_SYNC_2_ CLK_000_D1_i N_83_i CLK_000_N_SYNC_3_ sm_amiga_i_i_7__n a_6__n \ +# CLK_000_N_SYNC_4_ N_248_i N_84_i CLK_000_N_SYNC_5_ sm_amiga_i_5__n N_115_0 a_5__n \ +# CLK_000_N_SYNC_6_ RW_i N_86_i CLK_000_N_SYNC_7_ CLK_000_D0_i \ +# pos_clk_size_dma_6_0_1__n a_4__n CLK_000_N_SYNC_8_ AS_030_000_SYNC_i N_87_i \ +# CLK_000_N_SYNC_9_ sm_amiga_i_6__n pos_clk_size_dma_6_0_0__n a_3__n \ +# CLK_000_N_SYNC_10_ sm_amiga_i_4__n N_88_i pos_clk_un5_bgack_030_int_d_n \ +# FPU_SENSE_i a_2__n inst_RW_000_INT size_dma_i_0__n N_241_0 inst_RW_000_DMA \ +# size_dma_i_1__n N_242_0 inst_A0_DMA a_i_16__n N_243_0 inst_CLK_030_H a_i_18__n N_93_i \ +# SM_AMIGA_1_ a_i_19__n N_94_i SM_AMIGA_4_ a_i_30__n N_244_0 SM_AMIGA_2_ a_i_31__n \ +# N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n N_246_0 inst_DS_000_ENABLE a_i_29__n \ +# pos_clk_un3_as_030_d0_i_n a_i_26__n pos_clk_un5_bgack_030_int_d_i_n a_i_27__n \ +# N_249_i pos_clk_a0_dma_3_n a_i_24__n N_251_0 a_i_25__n N_71_0 LDS_000_INT_i N_104_i \ +# N_8 DS_030_i N_137_i N_9 UDS_000_INT_i N_10 N_224_i N_160_i N_11 N_225_i N_161_i N_12 \ +# N_226_i N_13 N_159_i N_14 N_157_i N_15 N_158_i N_16 N_91_i N_19 N_90_i N_155_i N_20 \ +# un14_amiga_bus_data_dir_i N_156_i N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 \ +# un6_uds_000_i N_152_i N_25 un6_ds_030_i N_153_i cpu_est_0_0_ un4_as_000_i N_142_0 \ +# AS_000_INT_i N_141_0 un6_as_030_i N_138_0 AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i \ +# DS_030_D0_i un1_as_030_i AS_030_c pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c \ +# size_c_i_1__n N_25_i RW_000_c N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 \ +# ipl_c_i_2__n LDS_000_c N_54_0 ipl_c_i_1__n size_c_0__n N_53_0 ipl_c_i_0__n \ +# size_c_1__n N_52_0 DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_8_i \ +# N_46_0 N_9_i N_45_0 N_10_i SM_AMIGA_i_7_ N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n \ +# N_43_0 pos_clk_size_dma_6_1__n N_13_i G_165 N_42_0 G_166 N_14_i G_167 N_41_0 \ +# un6_uds_000_1 N_15_i N_241 N_40_0 N_242 N_16_i N_243 N_39_0 N_244 N_19_i N_245 N_36_0 \ +# N_246 N_20_i N_78 N_35_0 N_80 N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 \ +# pos_clk_un6_bg_030_i_n N_98 a_c_17__n pos_clk_un8_bg_030_0_n N_99 N_251_0_1 N_249 \ +# a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n \ +# pos_clk_cpu_est_11_0_1_1__n N_136 pos_clk_cpu_est_11_0_2_1__n \ +# pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 \ +# pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 N_155 un8_ciin_2 N_141 un8_ciin_3 N_156 \ +# un8_ciin_4 N_157 a_c_24__n un8_ciin_5 N_138 un8_ciin_6 N_158 a_c_25__n un8_ciin_7 N_159 \ +# un8_ciin_8 N_160 a_c_26__n N_116_1 N_142 N_116_2 N_161 a_c_27__n N_116_3 N_132 N_116_4 \ +# N_104 a_c_28__n un22_berr_1_0 N_76 un21_fpu_cs_1 N_71 a_c_29__n N_123_i_1 N_251 \ +# N_123_i_2 N_93 a_c_30__n N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 N_87 \ +# N_129_i_1 N_86 A0_c pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c \ +# RESET_OUT_0_sqmuxa_7_1 N_116 RESET_OUT_0_sqmuxa_7_2 G_149 nEXP_SPACE_c \ +# RESET_OUT_0_sqmuxa_7_3 G_147 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 G_145 \ +# pos_clk_un27_clk_000_ne_d0_1_n N_211 BG_030_c pos_clk_un27_clk_000_ne_d0_2_n G_143 \ +# pos_clk_un27_clk_000_ne_d0_3_n N_209 BG_000DFFreg pos_clk_un5_clk_000_pe_1_n G_141 \ +# pos_clk_un5_clk_000_pe_2_n G_139 pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c \ +# pos_clk_un9_clk_000_ne_1_n G_137 pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 \ +# pos_clk_un9_clk_000_ne_3_n RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n \ +# RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 \ +# un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n N_165_1 \ +# un1_rst_dly_i_m_6__n CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 \ +# un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 \ +# un1_rst_dly_i_m_2__n N_176_1_0 N_38 IPL_030DFF_0_reg DS_000_DMA_2_sqmuxa_1 N_85 \ +# N_119_i_1 pos_clk_RST_DLY_5_iv_0_x2_0_ IPL_030DFF_1_reg N_115_0_1 N_252 \ +# pos_clk_ipl_1_n N_97 IPL_030DFF_2_reg as_000_dma_0_un3_n \ +# pos_clk_un27_clk_000_ne_d0_n as_000_dma_0_un1_n N_199_1 ipl_c_0__n \ +# as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n ds_000_dma_0_un3_n \ +# pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 ds_000_dma_0_un0_n \ +# N_151 ipl_c_2__n vma_int_0_un3_n N_199 vma_int_0_un1_n N_196 vma_int_0_un0_n N_195 \ +# DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 sm_amiga_srsts_i_0_m2_1__un1_n \ +# pos_clk_cpu_est_11_3__n sm_amiga_srsts_i_0_m2_1__un0_n N_197 cpu_est_0_3__un3_n \ +# N_198 VPA_c cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 \ +# cpu_est_0_2__un3_n N_192 RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ @@ -134,357 +145,377 @@ A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF \ A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF A_7_.BLIF A_6_.BLIF \ A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF \ -LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_310.BLIF \ -un1_rst_dly_i_m_i_5__n.BLIF sm_amiga_srsts_i_0_m2_3__un0_n.BLIF N_220.BLIF \ -sm_amiga_srsts_i_0_m2_1__un3_n.BLIF pos_clk_cpu_est_11_1__n.BLIF \ -un1_rst_dly_i_m_i_6__n.BLIF sm_amiga_srsts_i_0_m2_1__un1_n.BLIF N_14.BLIF \ -sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_18.BLIF un1_rst_dly_i_m_i_7__n.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un3_n.BLIF N_22.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un1_n.BLIF inst_BGACK_030_INTreg.BLIF \ -RESET_OUT_0_sqmuxa_1.BLIF un1_rst_dly_i_m_i_8__n.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un0_n.BLIF vcc_n_n.BLIF N_205.BLIF \ -un1_sm_amiga_7_i_m2_un3_n.BLIF cpu_est_3_reg.BLIF N_213.BLIF \ -un1_rst_dly_i_m_i_2__n.BLIF un1_sm_amiga_7_i_m2_un1_n.BLIF \ -inst_VMA_INTreg.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF \ -un1_sm_amiga_7_i_m2_un0_n.BLIF inst_RESET_OUTreg.BLIF N_105.BLIF N_98_i.BLIF \ -size_dma_0_0__un3_n.BLIF gnd_n_n.BLIF N_98.BLIF size_dma_0_0__un1_n.BLIF \ -un1_amiga_bus_enable_low.BLIF RESET_OUT_0_sqmuxa.BLIF N_105_i.BLIF \ -size_dma_0_0__un0_n.BLIF un6_as_030.BLIF un1_rst_dly_i_m_2__n.BLIF \ -size_dma_0_1__un3_n.BLIF un3_size.BLIF G_137.BLIF N_22_i.BLIF \ -size_dma_0_1__un1_n.BLIF un4_size.BLIF un1_rst_dly_i_m_8__n.BLIF N_33_0.BLIF \ -size_dma_0_1__un0_n.BLIF un5_ciin.BLIF G_149.BLIF N_18_i.BLIF \ -ipl_030_0_0__un3_n.BLIF un4_as_000.BLIF RESET_OUT_0_sqmuxa_5.BLIF N_37_0.BLIF \ -ipl_030_0_0__un1_n.BLIF un21_fpu_cs.BLIF RESET_OUT_0_sqmuxa_7.BLIF N_14_i.BLIF \ -ipl_030_0_0__un0_n.BLIF un22_berr.BLIF G_147.BLIF N_41_0.BLIF \ -ipl_030_0_1__un3_n.BLIF un6_ds_030.BLIF G_145.BLIF N_10_i.BLIF \ -ipl_030_0_1__un1_n.BLIF un6_uds_000.BLIF N_211.BLIF N_44_0.BLIF \ -ipl_030_0_1__un0_n.BLIF un6_lds_000.BLIF G_143.BLIF \ -pos_clk_cpu_est_11_0_1__n.BLIF ipl_030_0_2__un3_n.BLIF cpu_est_0_.BLIF \ -N_209.BLIF N_312_i.BLIF ipl_030_0_2__un1_n.BLIF cpu_est_1_.BLIF G_141.BLIF \ -N_90_i.BLIF ipl_030_0_2__un0_n.BLIF inst_AS_000_INT.BLIF G_139.BLIF \ -N_88_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF SM_AMIGA_5_.BLIF \ -un1_rst_dly_i_m_7__n.BLIF N_299_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF un1_rst_dly_i_m_6__n.BLIF N_275_0.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF inst_AS_030_D0.BLIF \ -un1_rst_dly_i_m_5__n.BLIF N_274_0.BLIF bg_000_0_un3_n.BLIF \ -inst_nEXP_SPACE_D0reg.BLIF un1_rst_dly_i_m_4__n.BLIF N_273_i.BLIF \ -bg_000_0_un1_n.BLIF inst_DS_030_D0.BLIF un1_rst_dly_i_m_3__n.BLIF N_272_i.BLIF \ -bg_000_0_un0_n.BLIF inst_AS_030_000_SYNC.BLIF N_71_i.BLIF N_270_i.BLIF \ -ds_000_dma_0_un3_n.BLIF inst_BGACK_030_INT_D.BLIF \ -un1_amiga_bus_enable_low_i.BLIF N_268_i.BLIF ds_000_dma_0_un1_n.BLIF \ -inst_AS_000_DMA.BLIF un21_fpu_cs_i.BLIF N_310_i.BLIF ds_000_dma_0_un0_n.BLIF \ -inst_DS_000_DMA.BLIF RESET_OUT_i.BLIF N_311_i.BLIF as_000_dma_0_un3_n.BLIF \ -CYCLE_DMA_0_.BLIF BGACK_030_INT_i.BLIF N_267_0.BLIF as_000_dma_0_un1_n.BLIF \ -CYCLE_DMA_1_.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_309_i.BLIF \ -as_000_dma_0_un0_n.BLIF SIZE_DMA_0_.BLIF un1_rst_dly_i_3__n.BLIF N_308_i.BLIF \ -a0_dma_0_un3_n.BLIF SIZE_DMA_1_.BLIF un1_rst_dly_i_4__n.BLIF \ -pos_clk_un7_clk_000_pe_0_n.BLIF a0_dma_0_un1_n.BLIF inst_VPA_D.BLIF \ -un1_rst_dly_i_5__n.BLIF N_264_0.BLIF a0_dma_0_un0_n.BLIF inst_UDS_000_INT.BLIF \ -un1_rst_dly_i_6__n.BLIF N_304_i.BLIF dsack1_int_0_un3_n.BLIF \ -inst_LDS_000_INT.BLIF un1_rst_dly_i_7__n.BLIF N_303_i.BLIF \ -dsack1_int_0_un1_n.BLIF inst_CLK_OUT_PRE_D.BLIF un1_rst_dly_i_8__n.BLIF \ -N_186_i.BLIF dsack1_int_0_un0_n.BLIF inst_DTACK_D0.BLIF \ -un1_rst_dly_i_2__n.BLIF VPA_c_i.BLIF as_000_int_0_un3_n.BLIF \ -inst_CLK_OUT_PRE_50.BLIF N_87_i_i.BLIF N_56_0.BLIF as_000_int_0_un1_n.BLIF \ -inst_CLK_000_D1.BLIF cpu_est_i_3__n.BLIF DTACK_c_i.BLIF \ -as_000_int_0_un0_n.BLIF inst_CLK_000_D0.BLIF cpu_est_i_0__n.BLIF N_57_0.BLIF \ -ds_000_enable_0_un3_n.BLIF inst_CLK_000_PE.BLIF VPA_D_i.BLIF N_97_i.BLIF \ -ds_000_enable_0_un1_n.BLIF CLK_000_P_SYNC_9_.BLIF VMA_INT_i.BLIF \ -ds_000_enable_0_un0_n.BLIF inst_CLK_000_NE.BLIF cpu_est_i_1__n.BLIF \ -N_96_i.BLIF as_030_000_sync_0_un3_n.BLIF CLK_000_N_SYNC_11_.BLIF \ -CLK_000_PE_i.BLIF N_95_i.BLIF as_030_000_sync_0_un1_n.BLIF cpu_est_2_.BLIF \ -BERR_i.BLIF N_94_i.BLIF as_030_000_sync_0_un0_n.BLIF IPL_D0_0_.BLIF \ -sm_amiga_i_4__n.BLIF N_313_i.BLIF lds_000_int_0_un3_n.BLIF IPL_D0_1_.BLIF \ -cpu_est_i_2__n.BLIF N_136_i.BLIF lds_000_int_0_un1_n.BLIF IPL_D0_2_.BLIF \ -sm_amiga_i_5__n.BLIF N_81_0.BLIF lds_000_int_0_un0_n.BLIF SM_AMIGA_3_.BLIF \ -DTACK_D0_i.BLIF N_116_i.BLIF rw_000_dma_0_un3_n.BLIF inst_CLK_000_NE_D0.BLIF \ -sm_amiga_i_0__n.BLIF N_77_i.BLIF rw_000_dma_0_un1_n.BLIF \ -pos_clk_un6_bg_030_n.BLIF sm_amiga_i_3__n.BLIF N_73_i.BLIF \ -rw_000_dma_0_un0_n.BLIF SM_AMIGA_0_.BLIF CLK_000_NE_i.BLIF N_101_i.BLIF \ -uds_000_int_0_un3_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -sm_amiga_i_6__n.BLIF uds_000_int_0_un1_n.BLIF inst_DSACK1_INTreg.BLIF \ -sm_amiga_i_1__n.BLIF clk_000_n_sync_i_10__n.BLIF uds_000_int_0_un0_n.BLIF \ -CLK_OUT_PRE_D_i.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ -amiga_bus_enable_dma_low_0_un3_n.BLIF pos_clk_ipl_n.BLIF \ -pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_un14_clk_000_n_sync_0_n.BLIF \ -amiga_bus_enable_dma_low_0_un1_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ -LDS_000_i.BLIF pos_clk_un22_bgack_030_int_i_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF SM_AMIGA_6_.BLIF UDS_000_i.BLIF \ -N_86_i.BLIF a_15__n.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF \ -nEXP_SPACE_D0_i.BLIF N_93_i.BLIF RST_DLY_0_.BLIF sm_amiga_i_2__n.BLIF \ -a_14__n.BLIF RST_DLY_1_.BLIF AS_030_i.BLIF N_99_i.BLIF RST_DLY_2_.BLIF \ -A1_i.BLIF pos_clk_size_dma_6_0_1__n.BLIF a_13__n.BLIF RST_DLY_3_.BLIF \ -CLK_000_D1_i.BLIF N_100_i.BLIF RST_DLY_4_.BLIF RW_000_i.BLIF \ -pos_clk_size_dma_6_0_0__n.BLIF a_12__n.BLIF RST_DLY_5_.BLIF CLK_030_H_i.BLIF \ -N_245_0.BLIF RST_DLY_6_.BLIF AS_000_DMA_i.BLIF N_108_i.BLIF a_11__n.BLIF \ -RST_DLY_7_.BLIF AS_000_i.BLIF N_109_i.BLIF pos_clk_un8_bg_030_n.BLIF \ -sm_amiga_i_i_7__n.BLIF N_246_0.BLIF a_10__n.BLIF CLK_000_P_SYNC_0_.BLIF \ -RW_i.BLIF un5_ciin_i.BLIF CLK_000_P_SYNC_1_.BLIF \ -AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF N_247_0.BLIF a_9__n.BLIF \ -CLK_000_P_SYNC_2_.BLIF FPU_SENSE_i.BLIF N_248_0.BLIF CLK_000_P_SYNC_3_.BLIF \ -AS_030_D0_i.BLIF CLK_000_D0_i.BLIF a_8__n.BLIF CLK_000_P_SYNC_4_.BLIF \ -a_i_24__n.BLIF N_249_i.BLIF CLK_000_P_SYNC_5_.BLIF size_dma_i_0__n.BLIF \ -AS_030_000_SYNC_i.BLIF a_7__n.BLIF CLK_000_P_SYNC_6_.BLIF size_dma_i_1__n.BLIF \ -N_251_0.BLIF CLK_000_P_SYNC_7_.BLIF a_i_16__n.BLIF \ -pos_clk_un3_as_030_d0_i_n.BLIF a_6__n.BLIF CLK_000_P_SYNC_8_.BLIF \ -a_i_18__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF CLK_000_N_SYNC_0_.BLIF \ -a_i_19__n.BLIF N_75_i.BLIF a_5__n.BLIF CLK_000_N_SYNC_1_.BLIF a_i_31__n.BLIF \ -N_76_i.BLIF CLK_000_N_SYNC_2_.BLIF a_i_29__n.BLIF N_78_0.BLIF a_4__n.BLIF \ -CLK_000_N_SYNC_3_.BLIF a_i_30__n.BLIF N_80_0.BLIF CLK_000_N_SYNC_4_.BLIF \ -a_i_27__n.BLIF CLK_EXP_c_i.BLIF a_3__n.BLIF CLK_000_N_SYNC_5_.BLIF \ -a_i_28__n.BLIF N_258_0.BLIF CLK_000_N_SYNC_6_.BLIF a_i_25__n.BLIF N_283_i.BLIF \ -a_2__n.BLIF CLK_000_N_SYNC_7_.BLIF a_i_26__n.BLIF N_284_i.BLIF \ -CLK_000_N_SYNC_8_.BLIF UDS_000_INT_i.BLIF CLK_000_N_SYNC_9_.BLIF \ -LDS_000_INT_i.BLIF N_290_i.BLIF CLK_000_N_SYNC_10_.BLIF DS_030_i.BLIF \ -N_291_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF N_224_i.BLIF \ -inst_RW_000_INT.BLIF N_225_i.BLIF N_279_i.BLIF inst_RW_000_DMA.BLIF \ -N_226_i.BLIF N_293_i.BLIF inst_A0_DMA.BLIF inst_CLK_030_H.BLIF N_82_i.BLIF \ -SM_AMIGA_1_.BLIF N_83_i.BLIF SM_AMIGA_4_.BLIF N_104_i.BLIF N_259_0.BLIF \ -SM_AMIGA_2_.BLIF N_103_i.BLIF N_84_i.BLIF pos_clk_un3_as_030_d0_n.BLIF \ -N_282_i.BLIF N_115_0.BLIF inst_DS_000_ENABLE.BLIF N_92_i.BLIF N_85_i.BLIF \ -AS_000_INT_1_sqmuxa.BLIF un6_lds_000_i.BLIF N_294_i.BLIF \ -DS_000_ENABLE_1_sqmuxa_1.BLIF un6_uds_000_i.BLIF N_296_i.BLIF \ -pos_clk_a0_dma_3_n.BLIF un6_ds_030_i.BLIF pos_clk_cpu_est_11_0_3__n.BLIF \ -pos_clk_ds_000_dma_4_n.BLIF DS_000_DMA_i.BLIF N_91_i.BLIF N_3.BLIF \ -un4_as_000_i.BLIF N_260_0.BLIF AS_000_INT_i.BLIF N_301_i.BLIF \ -un6_as_030_i.BLIF pos_clk_ds_000_dma_4_0_n.BLIF N_6.BLIF \ -AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_305_i.BLIF N_8.BLIF DS_030_D0_i.BLIF \ -N_306_i.BLIF N_9.BLIF AS_030_c.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_10.BLIF \ -N_307_i.BLIF N_11.BLIF AS_000_c.BLIF N_12.BLIF N_13.BLIF RW_000_c.BLIF \ -N_15.BLIF N_265_0.BLIF N_16.BLIF DS_030_c.BLIF N_269_i.BLIF N_19.BLIF \ -pos_clk_un24_bgack_030_int_i_0_i_n.BLIF N_20.BLIF UDS_000_c.BLIF N_62_0.BLIF \ -N_21.BLIF N_276_0.BLIF N_23.BLIF LDS_000_c.BLIF N_277_0.BLIF N_24.BLIF \ -N_286_i.BLIF N_25.BLIF size_c_0__n.BLIF N_288_i.BLIF N_289_i.BLIF \ -size_c_1__n.BLIF pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF \ -size_c_i_1__n.BLIF N_25_i.BLIF N_32_0.BLIF N_24_i.BLIF N_31_0.BLIF N_23_i.BLIF \ -N_30_0.BLIF ipl_c_i_2__n.BLIF N_54_0.BLIF ipl_c_i_1__n.BLIF N_53_0.BLIF \ -ipl_c_i_0__n.BLIF N_52_0.BLIF nEXP_SPACE_c_i.BLIF N_55_0.BLIF N_50_0.BLIF \ -N_3_i.BLIF N_49_0.BLIF N_6_i.BLIF N_48_0.BLIF N_8_i.BLIF N_46_0.BLIF \ -N_9_i.BLIF N_45_0.BLIF N_12_i.BLIF SM_AMIGA_i_7_.BLIF N_43_0.BLIF N_115.BLIF \ -N_13_i.BLIF pos_clk_size_dma_6_0__n.BLIF a_c_16__n.BLIF N_42_0.BLIF \ -pos_clk_size_dma_6_1__n.BLIF N_15_i.BLIF pos_clk_cpu_est_11_3__n.BLIF \ -a_c_17__n.BLIF N_40_0.BLIF G_165.BLIF N_16_i.BLIF G_166.BLIF a_c_18__n.BLIF \ -N_39_0.BLIF G_167.BLIF N_19_i.BLIF un6_uds_000_1.BLIF a_c_19__n.BLIF \ -N_36_0.BLIF pos_clk_un24_bgack_030_int_i_0_n.BLIF N_20_i.BLIF N_245.BLIF \ -a_c_20__n.BLIF N_35_0.BLIF N_246.BLIF N_21_i.BLIF N_247.BLIF a_c_21__n.BLIF \ -N_34_0.BLIF N_248.BLIF BG_030_c_i.BLIF N_89.BLIF a_c_22__n.BLIF \ -pos_clk_un6_bg_030_i_n.BLIF N_92.BLIF pos_clk_un8_bg_030_0_n.BLIF N_102.BLIF \ -a_c_23__n.BLIF N_127_i_1.BLIF N_103.BLIF N_127_i_2.BLIF N_104.BLIF \ -a_c_24__n.BLIF pos_clk_un24_bgack_030_int_i_0_i_1_n.BLIF N_112.BLIF \ -N_80_0_1.BLIF N_256.BLIF a_c_25__n.BLIF N_75_i_1.BLIF N_258.BLIF \ -N_251_0_1.BLIF a_c_26__n.BLIF pos_clk_un11_ds_030_d0_i_1_n.BLIF N_260.BLIF \ -N_340_1.BLIF N_265.BLIF a_c_27__n.BLIF N_340_2.BLIF N_282.BLIF N_340_3.BLIF \ -N_71.BLIF a_c_28__n.BLIF N_340_4.BLIF cpu_est_0_0_x2_0_.BLIF un5_ciin_1.BLIF \ -pos_clk_un11_clk_000_n_sync_n.BLIF a_c_29__n.BLIF un5_ciin_2.BLIF N_76.BLIF \ -un5_ciin_3.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF a_c_30__n.BLIF un5_ciin_4.BLIF \ -pos_clk_CYCLE_DMA_5_0_i_x2.BLIF un5_ciin_5.BLIF \ -pos_clk_un24_bgack_030_int_i_0_x2.BLIF a_c_31__n.BLIF un5_ciin_6.BLIF \ -pos_clk_un22_bgack_030_int_n.BLIF un5_ciin_7.BLIF N_268.BLIF A0_c.BLIF \ -un5_ciin_8.BLIF N_270.BLIF un5_ciin_9.BLIF N_73.BLIF A1_c.BLIF \ -un5_ciin_10.BLIF N_75.BLIF un5_ciin_11.BLIF N_251.BLIF nEXP_SPACE_c.BLIF \ -un22_berr_1_0.BLIF un22_berr_1.BLIF un21_fpu_cs_1.BLIF N_95.BLIF BERR_c.BLIF \ -pos_clk_un6_bg_030_1_n.BLIF N_94.BLIF N_131_i_1.BLIF N_288.BLIF BG_030_c.BLIF \ -N_131_i_2.BLIF N_289.BLIF N_131_i_3.BLIF N_286.BLIF BG_000DFFreg.BLIF \ -N_96_1.BLIF N_279.BLIF N_96_2.BLIF N_277.BLIF N_96_3.BLIF N_276.BLIF \ -BGACK_000_c.BLIF pos_clk_cpu_est_11_0_1_1__n.BLIF N_62.BLIF \ -pos_clk_cpu_est_11_0_2_1__n.BLIF N_274.BLIF N_310_1.BLIF N_313.BLIF \ -N_310_2.BLIF N_307.BLIF N_310_3.BLIF N_305.BLIF CLK_OSZI_c.BLIF N_310_4.BLIF \ -N_306.BLIF N_309_1.BLIF N_303.BLIF N_309_2.BLIF N_304.BLIF CLK_EXP_c.BLIF \ -N_308_1.BLIF N_301.BLIF N_308_2.BLIF N_91.BLIF RESET_OUT_0_sqmuxa_5_1.BLIF \ -N_85.BLIF FPU_SENSE_c.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF N_294.BLIF \ -RESET_OUT_0_sqmuxa_7_2.BLIF N_296.BLIF IPL_030DFF_0_reg.BLIF \ -RESET_OUT_0_sqmuxa_7_3.BLIF N_84.BLIF N_94_1.BLIF N_82.BLIF \ -IPL_030DFF_1_reg.BLIF N_95_1.BLIF N_83.BLIF N_119_i_1.BLIF N_293.BLIF \ -IPL_030DFF_2_reg.BLIF N_82_1.BLIF N_290.BLIF N_83_1.BLIF N_291.BLIF \ -ipl_c_0__n.BLIF N_296_1.BLIF N_283.BLIF N_303_1.BLIF N_284.BLIF \ -ipl_c_1__n.BLIF N_304_1.BLIF N_86.BLIF N_306_1.BLIF N_80.BLIF ipl_c_2__n.BLIF \ -N_129_i_1.BLIF N_78.BLIF N_125_i_1.BLIF N_108.BLIF N_123_i_1.BLIF N_109.BLIF \ -DTACK_c.BLIF N_115_0_1.BLIF N_100.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF \ -N_99.BLIF N_260_0_1.BLIF N_93.BLIF N_261_i_1.BLIF \ -pos_clk_un14_clk_000_n_sync_n.BLIF VPA_c.BLIF N_262_i_1.BLIF \ -pos_clk_un9_clk_000_n_sync_n.BLIF N_263_i_1.BLIF N_340.BLIF \ -pos_clk_ipl_1_n.BLIF N_97.BLIF RST_c.BLIF cpu_est_0_3__un3_n.BLIF N_136.BLIF \ -cpu_est_0_3__un1_n.BLIF N_101.BLIF cpu_est_0_3__un0_n.BLIF N_81.BLIF RW_c.BLIF \ -cpu_est_0_2__un3_n.BLIF N_116.BLIF cpu_est_0_2__un1_n.BLIF N_96.BLIF \ -fc_c_0__n.BLIF cpu_est_0_2__un0_n.BLIF N_113.BLIF cpu_est_0_1__un3_n.BLIF \ -N_275.BLIF fc_c_1__n.BLIF cpu_est_0_1__un1_n.BLIF N_273.BLIF \ -cpu_est_0_1__un0_n.BLIF N_88.BLIF bgack_030_int_0_un3_n.BLIF N_272.BLIF \ -AMIGA_BUS_DATA_DIR_c.BLIF bgack_030_int_0_un1_n.BLIF N_299.BLIF \ -bgack_030_int_0_un0_n.BLIF N_90.BLIF vma_int_0_un3_n.BLIF N_311.BLIF \ -vma_int_0_un1_n.BLIF N_312.BLIF vma_int_0_un0_n.BLIF N_267.BLIF \ -un1_as_000_i.BLIF rw_000_int_0_un3_n.BLIF N_264.BLIF \ -un1_rst_dly_i_m_i_3__n.BLIF rw_000_int_0_un1_n.BLIF \ -pos_clk_un7_clk_000_pe_n.BLIF rw_000_int_0_un0_n.BLIF N_308.BLIF \ -un1_rst_dly_i_m_i_4__n.BLIF sm_amiga_srsts_i_0_m2_3__un3_n.BLIF N_309.BLIF \ -sm_amiga_srsts_i_0_m2_3__un1_n.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ -RW_000.PIN.BLIF DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ -SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF +LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_193.BLIF \ +cpu_est_0_1__un3_n.BLIF N_190.BLIF RW_c.BLIF cpu_est_0_1__un1_n.BLIF \ +N_189.BLIF cpu_est_0_1__un0_n.BLIF N_140.BLIF fc_c_0__n.BLIF \ +bgack_030_int_0_un3_n.BLIF pos_clk_un29_clk_000_ne_d0_n.BLIF \ +bgack_030_int_0_un1_n.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF fc_c_1__n.BLIF \ +bgack_030_int_0_un0_n.BLIF inst_BGACK_030_INTreg.BLIF \ +pos_clk_un21_clk_000_ne_d0_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF vcc_n_n.BLIF \ +pos_clk_un7_clk_000_pe_n.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF \ +cpu_est_3_reg.BLIF N_18.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF inst_VMA_INTreg.BLIF \ +N_22.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF inst_RESET_OUTreg.BLIF \ +pos_clk_un11_clk_000_n_sync_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF \ +gnd_n_n.BLIF pos_clk_un9_clk_000_n_sync_n.BLIF \ +ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF un1_amiga_bus_enable_low.BLIF \ +pos_clk_un14_clk_000_n_sync_n.BLIF size_dma_0_0__un3_n.BLIF un6_as_030.BLIF \ +pos_clk_un22_bgack_030_int_n.BLIF N_6_i.BLIF size_dma_0_0__un1_n.BLIF \ +un3_size.BLIF G_161.BLIF N_48_0.BLIF size_dma_0_0__un0_n.BLIF un4_size.BLIF \ +N_220.BLIF N_3_i.BLIF size_dma_0_1__un3_n.BLIF un8_ciin.BLIF G_159.BLIF \ +N_49_0.BLIF size_dma_0_1__un1_n.BLIF un14_amiga_bus_data_dir.BLIF \ +pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF \ +size_dma_0_1__un0_n.BLIF un4_as_000.BLIF CLK_030_H_0_sqmuxa.BLIF \ +pos_clk_un26_bgack_030_int_i_n.BLIF ipl_030_0_0__un3_n.BLIF un21_fpu_cs.BLIF \ +AS_000_DMA_1_sqmuxa.BLIF pos_clk_un27_bgack_030_int_0_n.BLIF \ +ipl_030_0_0__un1_n.BLIF un22_berr.BLIF pos_clk_un24_bgack_030_int_n.BLIF \ +CLK_030_H_0_sqmuxa_i.BLIF ipl_030_0_0__un0_n.BLIF un6_ds_030.BLIF \ +pos_clk_un27_bgack_030_int_n.BLIF N_7_i.BLIF ipl_030_0_1__un3_n.BLIF \ +un6_uds_000.BLIF N_176_1.BLIF N_47_0.BLIF ipl_030_0_1__un1_n.BLIF \ +un6_lds_000.BLIF N_165.BLIF N_133_i.BLIF ipl_030_0_1__un0_n.BLIF \ +cpu_est_0_.BLIF N_133.BLIF N_176_i.BLIF ipl_030_0_2__un3_n.BLIF \ +cpu_est_1_.BLIF N_163.BLIF N_175_i.BLIF ipl_030_0_2__un1_n.BLIF \ +inst_AS_000_INT.BLIF N_162.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ +ipl_030_0_2__un0_n.BLIF SM_AMIGA_5_.BLIF N_164.BLIF \ +pos_clk_ds_000_dma_4_f1_0_n.BLIF dsack1_int_0_un3_n.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_176.BLIF N_162_i.BLIF \ +dsack1_int_0_un1_n.BLIF inst_AS_030_D0.BLIF DS_000_DMA_2_sqmuxa.BLIF \ +N_163_i.BLIF dsack1_int_0_un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF \ +pos_clk_ds_000_dma_4_n.BLIF N_164_i.BLIF as_000_int_0_un3_n.BLIF \ +inst_DS_030_D0.BLIF DS_000_DMA_0_sqmuxa.BLIF N_165_i.BLIF \ +as_000_int_0_un1_n.BLIF inst_AS_030_000_SYNC.BLIF \ +pos_clk_ds_000_dma_4_f1_n.BLIF as_000_int_0_un0_n.BLIF \ +inst_BGACK_030_INT_D.BLIF N_175.BLIF pos_clk_un22_bgack_030_int_0_n.BLIF \ +ds_000_enable_0_un3_n.BLIF inst_AS_000_DMA.BLIF N_47.BLIF \ +pos_clk_un9_clk_000_n_sync_i_n.BLIF ds_000_enable_0_un1_n.BLIF \ +inst_DS_000_DMA.BLIF N_7.BLIF clk_000_n_sync_i_10__n.BLIF \ +ds_000_enable_0_un0_n.BLIF CYCLE_DMA_0_.BLIF un1_rst_2.BLIF \ +pos_clk_un14_clk_000_n_sync_0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ +CYCLE_DMA_1_.BLIF pos_clk_un26_bgack_030_int_n.BLIF N_22_i.BLIF \ +as_030_000_sync_0_un1_n.BLIF SIZE_DMA_0_.BLIF \ +pos_clk_un29_bgack_030_int_n.BLIF N_33_0.BLIF as_030_000_sync_0_un0_n.BLIF \ +SIZE_DMA_1_.BLIF N_3.BLIF N_18_i.BLIF lds_000_int_0_un3_n.BLIF inst_VPA_D.BLIF \ +N_6.BLIF N_37_0.BLIF lds_000_int_0_un1_n.BLIF inst_UDS_000_INT.BLIF \ +un1_amiga_bus_enable_low_i.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ +lds_000_int_0_un0_n.BLIF inst_LDS_000_INT.BLIF un21_fpu_cs_i.BLIF \ +pos_clk_un5_clk_000_pe_i_n.BLIF rw_000_int_0_un3_n.BLIF \ +inst_CLK_OUT_PRE_D.BLIF AS_000_i.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF \ +rw_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF DS_000_DMA_i.BLIF \ +pos_clk_un27_clk_000_ne_d0_i_n.BLIF rw_000_int_0_un0_n.BLIF \ +inst_CLK_OUT_PRE_50.BLIF pos_clk_un24_bgack_030_int_i_n.BLIF \ +pos_clk_un21_clk_000_ne_d0_i_n.BLIF rw_000_dma_0_un3_n.BLIF \ +inst_CLK_000_D1.BLIF cycle_dma_i_1__n.BLIF pos_clk_un23_clk_000_ne_d0_0_n.BLIF \ +rw_000_dma_0_un1_n.BLIF inst_CLK_000_D0.BLIF cycle_dma_i_0__n.BLIF \ +N_136_i.BLIF rw_000_dma_0_un0_n.BLIF inst_CLK_000_PE.BLIF AS_000_DMA_i.BLIF \ +N_140_0.BLIF uds_000_int_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF CLK_EXP_i.BLIF \ +N_195_i.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF BERR_i.BLIF \ +N_196_i.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_11_.BLIF RW_000_i.BLIF \ +N_186_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF cpu_est_2_.BLIF \ +DS_000_DMA_0_sqmuxa_i.BLIF N_188_i.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF \ +IPL_D0_0_.BLIF pos_clk_un40_bgack_030_int_1_i_n.BLIF N_189_i.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF IPL_D0_1_.BLIF BGACK_030_INT_i.BLIF \ +N_190_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF IPL_D0_2_.BLIF \ +nEXP_SPACE_D0_i.BLIF N_193_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF \ +SM_AMIGA_3_.BLIF CLK_000_PE_i.BLIF N_191_i.BLIF \ +amiga_bus_enable_dma_high_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF \ +CLK_000_NE_i.BLIF N_192_i.BLIF bg_000_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF \ +sm_amiga_i_3__n.BLIF N_194_i.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_0_.BLIF \ +sm_amiga_i_0__n.BLIF pos_clk_cpu_est_11_0_1__n.BLIF bg_000_0_un0_n.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF \ +N_198_i.BLIF a0_dma_0_un3_n.BLIF inst_DSACK1_INTreg.BLIF UDS_000_i.BLIF \ +N_197_i.BLIF a0_dma_0_un1_n.BLIF LDS_000_i.BLIF N_199_i.BLIF \ +a0_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF \ +pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF \ +a_23__n.BLIF pos_clk_ipl_n.BLIF CLK_OUT_PRE_D_i.BLIF N_151_i.BLIF \ +pos_clk_un3_ds_030_d0_n.BLIF DTACK_D0_i.BLIF N_150_i.BLIF a_22__n.BLIF \ +SM_AMIGA_6_.BLIF sm_amiga_i_2__n.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF \ +pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_135_i.BLIF a_21__n.BLIF RST_DLY_0_.BLIF \ +cpu_est_i_0__n.BLIF N_252_0.BLIF RST_DLY_1_.BLIF cpu_est_i_3__n.BLIF \ +N_85_i.BLIF a_20__n.BLIF RST_DLY_2_.BLIF cpu_est_i_2__n.BLIF RST_DLY_3_.BLIF \ +cpu_est_i_1__n.BLIF N_38_0.BLIF a_15__n.BLIF RST_DLY_4_.BLIF VPA_D_i.BLIF \ +un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_5_.BLIF VMA_INT_i.BLIF a_14__n.BLIF \ +RST_DLY_6_.BLIF sm_amiga_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF \ +RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_i.BLIF a_13__n.BLIF \ +pos_clk_un8_bg_030_n.BLIF N_77_i_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF \ +CLK_000_P_SYNC_0_.BLIF un1_rst_dly_i_2__n.BLIF a_12__n.BLIF \ +CLK_000_P_SYNC_1_.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_i_5__n.BLIF \ +CLK_000_P_SYNC_2_.BLIF un1_rst_dly_i_4__n.BLIF a_11__n.BLIF \ +CLK_000_P_SYNC_3_.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_i_6__n.BLIF \ +CLK_000_P_SYNC_4_.BLIF un1_rst_dly_i_6__n.BLIF a_10__n.BLIF \ +CLK_000_P_SYNC_5_.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_i_7__n.BLIF \ +CLK_000_P_SYNC_6_.BLIF un1_rst_dly_i_8__n.BLIF a_9__n.BLIF \ +CLK_000_P_SYNC_7_.BLIF RESET_OUT_i.BLIF un1_rst_dly_i_m_i_8__n.BLIF \ +CLK_000_P_SYNC_8_.BLIF AS_030_D0_i.BLIF a_8__n.BLIF CLK_000_N_SYNC_0_.BLIF \ +AS_030_i.BLIF un3_as_030_i.BLIF CLK_000_N_SYNC_1_.BLIF A1_i.BLIF N_76_i.BLIF \ +a_7__n.BLIF CLK_000_N_SYNC_2_.BLIF CLK_000_D1_i.BLIF N_83_i.BLIF \ +CLK_000_N_SYNC_3_.BLIF sm_amiga_i_i_7__n.BLIF a_6__n.BLIF \ +CLK_000_N_SYNC_4_.BLIF N_248_i.BLIF N_84_i.BLIF CLK_000_N_SYNC_5_.BLIF \ +sm_amiga_i_5__n.BLIF N_115_0.BLIF a_5__n.BLIF CLK_000_N_SYNC_6_.BLIF RW_i.BLIF \ +N_86_i.BLIF CLK_000_N_SYNC_7_.BLIF CLK_000_D0_i.BLIF \ +pos_clk_size_dma_6_0_1__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_8_.BLIF \ +AS_030_000_SYNC_i.BLIF N_87_i.BLIF CLK_000_N_SYNC_9_.BLIF sm_amiga_i_6__n.BLIF \ +pos_clk_size_dma_6_0_0__n.BLIF a_3__n.BLIF CLK_000_N_SYNC_10_.BLIF \ +sm_amiga_i_4__n.BLIF N_88_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +FPU_SENSE_i.BLIF a_2__n.BLIF inst_RW_000_INT.BLIF size_dma_i_0__n.BLIF \ +N_241_0.BLIF inst_RW_000_DMA.BLIF size_dma_i_1__n.BLIF N_242_0.BLIF \ +inst_A0_DMA.BLIF a_i_16__n.BLIF N_243_0.BLIF inst_CLK_030_H.BLIF \ +a_i_18__n.BLIF N_93_i.BLIF SM_AMIGA_1_.BLIF a_i_19__n.BLIF N_94_i.BLIF \ +SM_AMIGA_4_.BLIF a_i_30__n.BLIF N_244_0.BLIF SM_AMIGA_2_.BLIF a_i_31__n.BLIF \ +N_245_0.BLIF pos_clk_un3_as_030_d0_n.BLIF a_i_28__n.BLIF N_246_0.BLIF \ +inst_DS_000_ENABLE.BLIF a_i_29__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF \ +a_i_26__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF a_i_27__n.BLIF \ +N_249_i.BLIF pos_clk_a0_dma_3_n.BLIF a_i_24__n.BLIF N_251_0.BLIF \ +a_i_25__n.BLIF N_71_0.BLIF LDS_000_INT_i.BLIF N_104_i.BLIF N_8.BLIF \ +DS_030_i.BLIF N_137_i.BLIF N_9.BLIF UDS_000_INT_i.BLIF N_10.BLIF N_224_i.BLIF \ +N_160_i.BLIF N_11.BLIF N_225_i.BLIF N_161_i.BLIF N_12.BLIF N_226_i.BLIF \ +N_13.BLIF N_159_i.BLIF N_14.BLIF N_157_i.BLIF N_15.BLIF N_158_i.BLIF N_16.BLIF \ +N_91_i.BLIF N_19.BLIF N_90_i.BLIF N_155_i.BLIF N_20.BLIF \ +un14_amiga_bus_data_dir_i.BLIF N_156_i.BLIF N_21.BLIF N_80_i.BLIF N_23.BLIF \ +un6_lds_000_i.BLIF N_154_i.BLIF N_24.BLIF un6_uds_000_i.BLIF N_152_i.BLIF \ +N_25.BLIF un6_ds_030_i.BLIF N_153_i.BLIF cpu_est_0_0_.BLIF un4_as_000_i.BLIF \ +N_142_0.BLIF AS_000_INT_i.BLIF N_141_0.BLIF un6_as_030_i.BLIF N_138_0.BLIF \ +AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_132_i.BLIF DS_030_D0_i.BLIF \ +un1_as_030_i.BLIF AS_030_c.BLIF pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF \ +AS_000_c.BLIF size_c_i_1__n.BLIF N_25_i.BLIF RW_000_c.BLIF N_32_0.BLIF \ +N_24_i.BLIF DS_030_c.BLIF N_31_0.BLIF N_23_i.BLIF UDS_000_c.BLIF N_30_0.BLIF \ +ipl_c_i_2__n.BLIF LDS_000_c.BLIF N_54_0.BLIF ipl_c_i_1__n.BLIF \ +size_c_0__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF size_c_1__n.BLIF N_52_0.BLIF \ +DTACK_c_i.BLIF N_57_0.BLIF VPA_c_i.BLIF N_56_0.BLIF nEXP_SPACE_c_i.BLIF \ +N_55_0.BLIF N_50_0.BLIF N_8_i.BLIF N_46_0.BLIF N_9_i.BLIF N_45_0.BLIF \ +N_10_i.BLIF SM_AMIGA_i_7_.BLIF N_44_0.BLIF N_115.BLIF N_12_i.BLIF \ +pos_clk_size_dma_6_0__n.BLIF N_43_0.BLIF pos_clk_size_dma_6_1__n.BLIF \ +N_13_i.BLIF G_165.BLIF N_42_0.BLIF G_166.BLIF N_14_i.BLIF G_167.BLIF \ +N_41_0.BLIF un6_uds_000_1.BLIF N_15_i.BLIF N_241.BLIF N_40_0.BLIF N_242.BLIF \ +N_16_i.BLIF N_243.BLIF N_39_0.BLIF N_244.BLIF N_19_i.BLIF N_245.BLIF \ +N_36_0.BLIF N_246.BLIF N_20_i.BLIF N_78.BLIF N_35_0.BLIF N_80.BLIF N_21_i.BLIF \ +N_89.BLIF N_34_0.BLIF N_90.BLIF a_c_16__n.BLIF BG_030_c_i.BLIF N_91.BLIF \ +pos_clk_un6_bg_030_i_n.BLIF N_98.BLIF a_c_17__n.BLIF \ +pos_clk_un8_bg_030_0_n.BLIF N_99.BLIF N_251_0_1.BLIF N_249.BLIF a_c_18__n.BLIF \ +N_121_i_1.BLIF N_248.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF N_135.BLIF \ +a_c_19__n.BLIF pos_clk_cpu_est_11_0_1_1__n.BLIF N_136.BLIF \ +pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_131_i_1.BLIF \ +un22_berr_1.BLIF N_131_i_2.BLIF N_152.BLIF N_131_i_3.BLIF N_153.BLIF \ +pos_clk_un11_ds_030_d0_i_1_n.BLIF N_154.BLIF un8_ciin_1.BLIF N_155.BLIF \ +un8_ciin_2.BLIF N_141.BLIF un8_ciin_3.BLIF N_156.BLIF un8_ciin_4.BLIF \ +N_157.BLIF a_c_24__n.BLIF un8_ciin_5.BLIF N_138.BLIF un8_ciin_6.BLIF \ +N_158.BLIF a_c_25__n.BLIF un8_ciin_7.BLIF N_159.BLIF un8_ciin_8.BLIF \ +N_160.BLIF a_c_26__n.BLIF N_116_1.BLIF N_142.BLIF N_116_2.BLIF N_161.BLIF \ +a_c_27__n.BLIF N_116_3.BLIF N_132.BLIF N_116_4.BLIF N_104.BLIF a_c_28__n.BLIF \ +un22_berr_1_0.BLIF N_76.BLIF un21_fpu_cs_1.BLIF N_71.BLIF a_c_29__n.BLIF \ +N_123_i_1.BLIF N_251.BLIF N_123_i_2.BLIF N_93.BLIF a_c_30__n.BLIF \ +N_125_i_1.BLIF N_94.BLIF N_127_i_1.BLIF N_88.BLIF a_c_31__n.BLIF \ +N_127_i_2.BLIF N_87.BLIF N_129_i_1.BLIF N_86.BLIF A0_c.BLIF \ +pos_clk_un6_bg_030_1_n.BLIF N_84.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF \ +N_83.BLIF A1_c.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF N_116.BLIF \ +RESET_OUT_0_sqmuxa_7_2.BLIF G_149.BLIF nEXP_SPACE_c.BLIF \ +RESET_OUT_0_sqmuxa_7_3.BLIF G_147.BLIF RESET_OUT_0_sqmuxa_5_1.BLIF N_213.BLIF \ +BERR_c.BLIF N_135_i_1.BLIF G_145.BLIF pos_clk_un27_clk_000_ne_d0_1_n.BLIF \ +N_211.BLIF BG_030_c.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF G_143.BLIF \ +pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_209.BLIF BG_000DFFreg.BLIF \ +pos_clk_un5_clk_000_pe_1_n.BLIF G_141.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ +G_139.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF N_205.BLIF BGACK_000_c.BLIF \ +pos_clk_un9_clk_000_ne_1_n.BLIF G_137.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ +RESET_OUT_0_sqmuxa_1.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ +RESET_OUT_0_sqmuxa.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF \ +RESET_OUT_0_sqmuxa_7.BLIF N_196_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF \ +CLK_OSZI_c.BLIF N_195_1.BLIF un1_rst_dly_i_m_8__n.BLIF \ +pos_clk_un24_bgack_030_int_1_n.BLIF un1_rst_dly_i_m_7__n.BLIF N_165_1.BLIF \ +un1_rst_dly_i_m_6__n.BLIF CLK_EXP_c.BLIF N_165_2.BLIF \ +un1_rst_dly_i_m_5__n.BLIF N_165_3.BLIF un1_rst_dly_i_m_4__n.BLIF N_163_1.BLIF \ +un1_rst_dly_i_m_3__n.BLIF FPU_SENSE_c.BLIF N_162_1.BLIF \ +un1_rst_dly_i_m_2__n.BLIF N_176_1_0.BLIF N_38.BLIF IPL_030DFF_0_reg.BLIF \ +DS_000_DMA_2_sqmuxa_1.BLIF N_85.BLIF N_119_i_1.BLIF \ +pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF IPL_030DFF_1_reg.BLIF N_115_0_1.BLIF \ +N_252.BLIF pos_clk_ipl_1_n.BLIF N_97.BLIF IPL_030DFF_2_reg.BLIF \ +as_000_dma_0_un3_n.BLIF pos_clk_un27_clk_000_ne_d0_n.BLIF \ +as_000_dma_0_un1_n.BLIF N_199_1.BLIF ipl_c_0__n.BLIF as_000_dma_0_un0_n.BLIF \ +pos_clk_un5_clk_000_pe_n.BLIF ds_000_dma_0_un3_n.BLIF \ +pos_clk_un9_clk_000_ne_n.BLIF ipl_c_1__n.BLIF ds_000_dma_0_un1_n.BLIF \ +N_150.BLIF ds_000_dma_0_un0_n.BLIF N_151.BLIF ipl_c_2__n.BLIF \ +vma_int_0_un3_n.BLIF N_199.BLIF vma_int_0_un1_n.BLIF N_196.BLIF \ +vma_int_0_un0_n.BLIF N_195.BLIF DTACK_c.BLIF \ +sm_amiga_srsts_i_0_m2_1__un3_n.BLIF N_188.BLIF \ +sm_amiga_srsts_i_0_m2_1__un1_n.BLIF pos_clk_cpu_est_11_3__n.BLIF \ +sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_197.BLIF cpu_est_0_3__un3_n.BLIF \ +N_198.BLIF VPA_c.BLIF cpu_est_0_3__un1_n.BLIF pos_clk_cpu_est_11_1__n.BLIF \ +cpu_est_0_3__un0_n.BLIF N_194.BLIF cpu_est_0_2__un3_n.BLIF N_192.BLIF \ +RST_c.BLIF cpu_est_0_2__un1_n.BLIF N_191.BLIF cpu_est_0_2__un0_n.BLIF \ +AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF DS_030.PIN.BLIF \ +UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ +A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E \ VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D \ -IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ -IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C \ -SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D \ -SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C \ -SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D \ -cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C \ -IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C \ -CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D \ -CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C RST_DLY_0_.D \ -RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D \ -RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C RST_DLY_6_.D \ -RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ -CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ -SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ -CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C \ -CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D \ -CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ -CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ -CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D \ -CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ -CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ -CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C inst_CLK_030_H.D inst_CLK_030_H.C \ -inst_RESET_OUTreg.D inst_RESET_OUTreg.C inst_DS_000_ENABLE.D \ -inst_DS_000_ENABLE.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_RW_000_DMA.D \ -inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_D0_2_.D IPL_D0_2_.C \ +SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ +SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C \ +SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D \ +SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D \ +cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C IPL_030DFF_0_reg.D \ +IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D \ +IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C \ +RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ +RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C \ +RST_DLY_6_.D RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D \ +CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C \ +SIZE_DMA_1_.D SIZE_DMA_1_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C \ +CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D \ +CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C \ +CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D \ +CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C \ +CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D \ +CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ +CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D \ +CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ +CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.D \ +CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C \ +CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D \ +CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C \ +CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ +CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C inst_LDS_000_INT.D \ inst_LDS_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ inst_A0_DMA.D inst_A0_DMA.C inst_AS_000_DMA.D inst_AS_000_DMA.C \ inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C \ inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D \ inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D \ -inst_DTACK_D0.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C BG_000DFFreg.D \ -BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D inst_VMA_INTreg.C \ +inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUTreg.D \ +inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ +inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C BG_000DFFreg.D BG_000DFFreg.C \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ +inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ +inst_VMA_INTreg.D inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C \ +inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D \ inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D \ inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D \ inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ inst_CLK_000_D0.D inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 RW_000 DS_030 \ -UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_310 un1_rst_dly_i_m_i_5__n \ -sm_amiga_srsts_i_0_m2_3__un0_n N_220 sm_amiga_srsts_i_0_m2_1__un3_n \ -pos_clk_cpu_est_11_1__n un1_rst_dly_i_m_i_6__n sm_amiga_srsts_i_0_m2_1__un1_n \ -N_14 sm_amiga_srsts_i_0_m2_1__un0_n N_18 un1_rst_dly_i_m_i_7__n \ -un1_amiga_bus_enable_dma_high_0_m2_0__un3_n N_22 \ -un1_amiga_bus_enable_dma_high_0_m2_0__un1_n RESET_OUT_0_sqmuxa_1 \ -un1_rst_dly_i_m_i_8__n un1_amiga_bus_enable_dma_high_0_m2_0__un0_n vcc_n_n \ -N_205 un1_sm_amiga_7_i_m2_un3_n N_213 un1_rst_dly_i_m_i_2__n \ -un1_sm_amiga_7_i_m2_un1_n un1_sm_amiga_7_i_m2_un0_n N_105 N_98_i \ -size_dma_0_0__un3_n gnd_n_n N_98 size_dma_0_0__un1_n un1_amiga_bus_enable_low \ -RESET_OUT_0_sqmuxa N_105_i size_dma_0_0__un0_n un6_as_030 un1_rst_dly_i_m_2__n \ -size_dma_0_1__un3_n un3_size N_22_i size_dma_0_1__un1_n un4_size \ -un1_rst_dly_i_m_8__n N_33_0 size_dma_0_1__un0_n un5_ciin N_18_i \ -ipl_030_0_0__un3_n un4_as_000 RESET_OUT_0_sqmuxa_5 N_37_0 ipl_030_0_0__un1_n \ -un21_fpu_cs RESET_OUT_0_sqmuxa_7 N_14_i ipl_030_0_0__un0_n un22_berr N_41_0 \ -ipl_030_0_1__un3_n un6_ds_030 N_10_i ipl_030_0_1__un1_n un6_uds_000 N_211 \ -N_44_0 ipl_030_0_1__un0_n un6_lds_000 pos_clk_cpu_est_11_0_1__n \ -ipl_030_0_2__un3_n N_209 N_312_i ipl_030_0_2__un1_n N_90_i ipl_030_0_2__un0_n \ -N_88_i amiga_bus_enable_dma_high_0_un3_n un1_rst_dly_i_m_7__n N_299_i \ -amiga_bus_enable_dma_high_0_un1_n un1_rst_dly_i_m_6__n N_275_0 \ -amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n N_274_0 bg_000_0_un3_n \ -un1_rst_dly_i_m_4__n N_273_i bg_000_0_un1_n un1_rst_dly_i_m_3__n N_272_i \ -bg_000_0_un0_n N_71_i N_270_i ds_000_dma_0_un3_n un1_amiga_bus_enable_low_i \ -N_268_i ds_000_dma_0_un1_n un21_fpu_cs_i N_310_i ds_000_dma_0_un0_n \ -RESET_OUT_i N_311_i as_000_dma_0_un3_n BGACK_030_INT_i N_267_0 \ -as_000_dma_0_un1_n RESET_OUT_0_sqmuxa_i N_309_i as_000_dma_0_un0_n \ -un1_rst_dly_i_3__n N_308_i a0_dma_0_un3_n un1_rst_dly_i_4__n \ -pos_clk_un7_clk_000_pe_0_n a0_dma_0_un1_n un1_rst_dly_i_5__n N_264_0 \ -a0_dma_0_un0_n un1_rst_dly_i_6__n N_304_i dsack1_int_0_un3_n \ -un1_rst_dly_i_7__n N_303_i dsack1_int_0_un1_n un1_rst_dly_i_8__n N_186_i \ -dsack1_int_0_un0_n un1_rst_dly_i_2__n VPA_c_i as_000_int_0_un3_n N_87_i_i \ -N_56_0 as_000_int_0_un1_n cpu_est_i_3__n DTACK_c_i as_000_int_0_un0_n \ -cpu_est_i_0__n N_57_0 ds_000_enable_0_un3_n VPA_D_i N_97_i \ -ds_000_enable_0_un1_n VMA_INT_i ds_000_enable_0_un0_n cpu_est_i_1__n N_96_i \ -as_030_000_sync_0_un3_n CLK_000_PE_i N_95_i as_030_000_sync_0_un1_n BERR_i \ -N_94_i as_030_000_sync_0_un0_n sm_amiga_i_4__n N_313_i lds_000_int_0_un3_n \ -cpu_est_i_2__n N_136_i lds_000_int_0_un1_n sm_amiga_i_5__n N_81_0 \ -lds_000_int_0_un0_n DTACK_D0_i N_116_i rw_000_dma_0_un3_n sm_amiga_i_0__n \ -N_77_i rw_000_dma_0_un1_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_73_i \ -rw_000_dma_0_un0_n CLK_000_NE_i N_101_i uds_000_int_0_un3_n sm_amiga_i_6__n \ -uds_000_int_0_un1_n sm_amiga_i_1__n clk_000_n_sync_i_10__n uds_000_int_0_un0_n \ -CLK_OUT_PRE_D_i pos_clk_un9_clk_000_n_sync_i_n \ -amiga_bus_enable_dma_low_0_un3_n pos_clk_ipl_n pos_clk_un11_clk_000_n_sync_i_n \ -pos_clk_un14_clk_000_n_sync_0_n amiga_bus_enable_dma_low_0_un1_n \ -pos_clk_un3_ds_030_d0_n LDS_000_i pos_clk_un22_bgack_030_int_i_n \ -amiga_bus_enable_dma_low_0_un0_n UDS_000_i N_86_i a_15__n \ -AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa nEXP_SPACE_D0_i N_93_i sm_amiga_i_2__n \ -a_14__n AS_030_i N_99_i A1_i pos_clk_size_dma_6_0_1__n a_13__n CLK_000_D1_i \ -N_100_i RW_000_i pos_clk_size_dma_6_0_0__n a_12__n CLK_030_H_i N_245_0 \ -AS_000_DMA_i N_108_i a_11__n AS_000_i N_109_i pos_clk_un8_bg_030_n \ -sm_amiga_i_i_7__n N_246_0 a_10__n RW_i un5_ciin_i AMIGA_BUS_ENABLE_DMA_HIGH_i \ -N_247_0 a_9__n FPU_SENSE_i N_248_0 AS_030_D0_i CLK_000_D0_i a_8__n a_i_24__n \ -N_249_i size_dma_i_0__n AS_030_000_SYNC_i a_7__n size_dma_i_1__n N_251_0 \ -a_i_16__n pos_clk_un3_as_030_d0_i_n a_6__n a_i_18__n \ -pos_clk_un5_bgack_030_int_d_i_n a_i_19__n N_75_i a_5__n a_i_31__n N_76_i \ -a_i_29__n N_78_0 a_4__n a_i_30__n N_80_0 a_i_27__n CLK_EXP_c_i a_3__n \ -a_i_28__n N_258_0 a_i_25__n N_283_i a_2__n a_i_26__n N_284_i UDS_000_INT_i \ -LDS_000_INT_i N_290_i DS_030_i N_291_i pos_clk_un5_bgack_030_int_d_n N_224_i \ -N_225_i N_279_i N_226_i N_293_i N_82_i N_83_i N_104_i N_259_0 N_103_i N_84_i \ -pos_clk_un3_as_030_d0_n N_282_i N_115_0 N_92_i N_85_i AS_000_INT_1_sqmuxa \ -un6_lds_000_i N_294_i DS_000_ENABLE_1_sqmuxa_1 un6_uds_000_i N_296_i \ -pos_clk_a0_dma_3_n un6_ds_030_i pos_clk_cpu_est_11_0_3__n \ -pos_clk_ds_000_dma_4_n DS_000_DMA_i N_91_i N_3 un4_as_000_i N_260_0 \ -AS_000_INT_i N_301_i un6_as_030_i pos_clk_ds_000_dma_4_0_n N_6 \ -AMIGA_BUS_ENABLE_DMA_LOW_i N_305_i N_8 DS_030_D0_i N_306_i N_9 AS_030_c \ -AMIGA_BUS_DATA_DIR_c_0 N_10 N_307_i N_11 AS_000_c N_12 N_13 RW_000_c N_15 \ -N_265_0 N_16 DS_030_c N_269_i N_19 pos_clk_un24_bgack_030_int_i_0_i_n N_20 \ -UDS_000_c N_62_0 N_21 N_276_0 N_23 LDS_000_c N_277_0 N_24 N_286_i N_25 \ -size_c_0__n N_288_i N_289_i size_c_1__n pos_clk_un11_ds_030_d0_i_n A0_c_i \ -size_c_i_1__n N_25_i N_32_0 N_24_i N_31_0 N_23_i N_30_0 ipl_c_i_2__n N_54_0 \ -ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_3_i \ -N_49_0 N_6_i N_48_0 N_8_i N_46_0 N_9_i N_45_0 N_12_i N_43_0 N_115 N_13_i \ -pos_clk_size_dma_6_0__n a_c_16__n N_42_0 pos_clk_size_dma_6_1__n N_15_i \ -pos_clk_cpu_est_11_3__n a_c_17__n N_40_0 N_16_i a_c_18__n N_39_0 N_19_i \ -un6_uds_000_1 a_c_19__n N_36_0 pos_clk_un24_bgack_030_int_i_0_n N_20_i N_245 \ -a_c_20__n N_35_0 N_246 N_21_i N_247 a_c_21__n N_34_0 N_248 BG_030_c_i N_89 \ -a_c_22__n pos_clk_un6_bg_030_i_n N_92 pos_clk_un8_bg_030_0_n N_102 a_c_23__n \ -N_127_i_1 N_103 N_127_i_2 N_104 a_c_24__n pos_clk_un24_bgack_030_int_i_0_i_1_n \ -N_112 N_80_0_1 N_256 a_c_25__n N_75_i_1 N_258 N_251_0_1 a_c_26__n \ -pos_clk_un11_ds_030_d0_i_1_n N_260 N_340_1 N_265 a_c_27__n N_340_2 N_282 \ -N_340_3 N_71 a_c_28__n N_340_4 un5_ciin_1 pos_clk_un11_clk_000_n_sync_n \ -a_c_29__n un5_ciin_2 N_76 un5_ciin_3 a_c_30__n un5_ciin_4 un5_ciin_5 a_c_31__n \ -un5_ciin_6 pos_clk_un22_bgack_030_int_n un5_ciin_7 N_268 A0_c un5_ciin_8 N_270 \ -un5_ciin_9 N_73 A1_c un5_ciin_10 N_75 un5_ciin_11 N_251 nEXP_SPACE_c \ -un22_berr_1_0 un22_berr_1 un21_fpu_cs_1 N_95 BERR_c pos_clk_un6_bg_030_1_n \ -N_94 N_131_i_1 N_288 BG_030_c N_131_i_2 N_289 N_131_i_3 N_286 N_96_1 N_279 \ -N_96_2 N_277 N_96_3 N_276 BGACK_000_c pos_clk_cpu_est_11_0_1_1__n N_62 \ -pos_clk_cpu_est_11_0_2_1__n N_274 N_310_1 N_313 N_310_2 N_307 N_310_3 N_305 \ -CLK_OSZI_c N_310_4 N_306 N_309_1 N_303 N_309_2 N_304 CLK_EXP_c N_308_1 N_301 \ -N_308_2 N_91 RESET_OUT_0_sqmuxa_5_1 N_85 FPU_SENSE_c RESET_OUT_0_sqmuxa_7_1 \ -N_294 RESET_OUT_0_sqmuxa_7_2 N_296 RESET_OUT_0_sqmuxa_7_3 N_84 N_94_1 N_82 \ -N_95_1 N_83 N_119_i_1 N_293 N_82_1 N_290 N_83_1 N_291 ipl_c_0__n N_296_1 N_283 \ -N_303_1 N_284 ipl_c_1__n N_304_1 N_86 N_306_1 N_80 ipl_c_2__n N_129_i_1 N_78 \ -N_125_i_1 N_108 N_123_i_1 N_109 DTACK_c N_115_0_1 N_100 \ -pos_clk_cpu_est_11_0_1_3__n N_99 N_260_0_1 N_93 N_261_i_1 \ -pos_clk_un14_clk_000_n_sync_n VPA_c N_262_i_1 pos_clk_un9_clk_000_n_sync_n \ -N_263_i_1 N_340 pos_clk_ipl_1_n N_97 RST_c cpu_est_0_3__un3_n N_136 \ -cpu_est_0_3__un1_n N_101 cpu_est_0_3__un0_n N_81 RW_c cpu_est_0_2__un3_n N_116 \ -cpu_est_0_2__un1_n N_96 fc_c_0__n cpu_est_0_2__un0_n N_113 cpu_est_0_1__un3_n \ -N_275 fc_c_1__n cpu_est_0_1__un1_n N_273 cpu_est_0_1__un0_n N_88 \ -bgack_030_int_0_un3_n N_272 AMIGA_BUS_DATA_DIR_c bgack_030_int_0_un1_n N_299 \ -bgack_030_int_0_un0_n N_90 vma_int_0_un3_n N_311 vma_int_0_un1_n N_312 \ -vma_int_0_un0_n N_267 un1_as_000_i rw_000_int_0_un3_n N_264 \ -un1_rst_dly_i_m_i_3__n rw_000_int_0_un1_n pos_clk_un7_clk_000_pe_n \ -rw_000_int_0_un0_n N_308 un1_rst_dly_i_m_i_4__n sm_amiga_srsts_i_0_m2_3__un3_n \ -N_309 sm_amiga_srsts_i_0_m2_3__un1_n AS_030.OE AS_000.OE RW_000.OE DS_030.OE \ -UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE \ -DSACK1.OE CIIN.OE pos_clk_RST_DLY_5_iv_0_x2_0_ G_137 G_149 G_147 G_145 G_143 \ -G_141 G_139 G_165 G_166 G_167 cpu_est_0_0_x2_0_ pos_clk_CYCLE_DMA_5_1_i_x2 \ -pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 -.names N_32_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names N_52_0.BLIF IPL_D0_0_.D -0 1 -.names N_53_0.BLIF IPL_D0_1_.D -0 1 +UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_193 cpu_est_0_1__un3_n N_190 RW_c \ +cpu_est_0_1__un1_n N_189 cpu_est_0_1__un0_n N_140 fc_c_0__n \ +bgack_030_int_0_un3_n pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n \ +pos_clk_un23_clk_000_ne_d0_n fc_c_1__n bgack_030_int_0_un0_n \ +pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n \ +vcc_n_n pos_clk_un7_clk_000_pe_n un1_amiga_bus_enable_dma_high_i_m4_0__un1_n \ +N_18 AMIGA_BUS_DATA_DIR_c un1_amiga_bus_enable_dma_high_i_m4_0__un0_n N_22 \ +ds_000_enable_1_sqmuxa_1_i_m4_un3_n pos_clk_un11_clk_000_n_sync_n \ +ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n pos_clk_un9_clk_000_n_sync_n \ +ds_000_enable_1_sqmuxa_1_i_m4_un0_n un1_amiga_bus_enable_low \ +pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n un6_as_030 \ +pos_clk_un22_bgack_030_int_n N_6_i size_dma_0_0__un1_n un3_size N_48_0 \ +size_dma_0_0__un0_n un4_size N_220 N_3_i size_dma_0_1__un3_n un8_ciin N_49_0 \ +size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n \ +pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 \ +CLK_030_H_0_sqmuxa pos_clk_un26_bgack_030_int_i_n ipl_030_0_0__un3_n \ +un21_fpu_cs AS_000_DMA_1_sqmuxa pos_clk_un27_bgack_030_int_0_n \ +ipl_030_0_0__un1_n un22_berr pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i \ +ipl_030_0_0__un0_n un6_ds_030 pos_clk_un27_bgack_030_int_n N_7_i \ +ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 ipl_030_0_1__un1_n un6_lds_000 \ +N_165 N_133_i ipl_030_0_1__un0_n N_133 N_176_i ipl_030_0_2__un3_n N_163 \ +N_175_i ipl_030_0_2__un1_n N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n \ +N_164 pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n N_176 N_162_i \ +dsack1_int_0_un1_n DS_000_DMA_2_sqmuxa N_163_i dsack1_int_0_un0_n \ +pos_clk_ds_000_dma_4_n N_164_i as_000_int_0_un3_n DS_000_DMA_0_sqmuxa N_165_i \ +as_000_int_0_un1_n pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n N_175 \ +pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n N_47 \ +pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n N_7 \ +clk_000_n_sync_i_10__n ds_000_enable_0_un0_n un1_rst_2 \ +pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n \ +pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n \ +pos_clk_un29_bgack_030_int_n N_33_0 as_030_000_sync_0_un0_n N_3 N_18_i \ +lds_000_int_0_un3_n N_6 N_37_0 lds_000_int_0_un1_n un1_amiga_bus_enable_low_i \ +pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n un21_fpu_cs_i \ +pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n AS_000_i \ +pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n DS_000_DMA_i \ +pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n \ +pos_clk_un24_bgack_030_int_i_n pos_clk_un21_clk_000_ne_d0_i_n \ +rw_000_dma_0_un3_n cycle_dma_i_1__n pos_clk_un23_clk_000_ne_d0_0_n \ +rw_000_dma_0_un1_n cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n AS_000_DMA_i \ +N_140_0 uds_000_int_0_un3_n CLK_EXP_i N_195_i uds_000_int_0_un1_n BERR_i \ +N_196_i uds_000_int_0_un0_n RW_000_i N_186_i amiga_bus_enable_dma_low_0_un3_n \ +DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n \ +pos_clk_un40_bgack_030_int_1_i_n N_189_i amiga_bus_enable_dma_low_0_un0_n \ +BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n nEXP_SPACE_D0_i \ +N_193_i amiga_bus_enable_dma_high_0_un1_n CLK_000_PE_i N_191_i \ +amiga_bus_enable_dma_high_0_un0_n CLK_000_NE_i N_192_i bg_000_0_un3_n \ +pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n sm_amiga_i_0__n \ +pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n pos_clk_un7_clk_000_d0_i_n N_198_i \ +a0_dma_0_un3_n UDS_000_i N_197_i a0_dma_0_un1_n LDS_000_i N_199_i \ +a0_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n \ +pos_clk_cpu_est_11_0_3__n a_23__n pos_clk_ipl_n CLK_OUT_PRE_D_i N_151_i \ +pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n sm_amiga_i_2__n \ +AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n N_135_i \ +a_21__n cpu_est_i_0__n N_252_0 cpu_est_i_3__n N_85_i a_20__n cpu_est_i_2__n \ +cpu_est_i_1__n N_38_0 a_15__n VPA_D_i un1_rst_dly_i_m_i_2__n VMA_INT_i a_14__n \ +sm_amiga_i_1__n un1_rst_dly_i_m_i_3__n RESET_OUT_0_sqmuxa_i a_13__n \ +pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n un1_rst_dly_i_2__n \ +a_12__n un1_rst_dly_i_3__n un1_rst_dly_i_m_i_5__n un1_rst_dly_i_4__n a_11__n \ +un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n un1_rst_dly_i_6__n a_10__n \ +un1_rst_dly_i_7__n un1_rst_dly_i_m_i_7__n un1_rst_dly_i_8__n a_9__n \ +RESET_OUT_i un1_rst_dly_i_m_i_8__n AS_030_D0_i a_8__n AS_030_i un3_as_030_i \ +A1_i N_76_i a_7__n CLK_000_D1_i N_83_i sm_amiga_i_i_7__n a_6__n N_248_i N_84_i \ +sm_amiga_i_5__n N_115_0 a_5__n RW_i N_86_i CLK_000_D0_i \ +pos_clk_size_dma_6_0_1__n a_4__n AS_030_000_SYNC_i N_87_i sm_amiga_i_6__n \ +pos_clk_size_dma_6_0_0__n a_3__n sm_amiga_i_4__n N_88_i \ +pos_clk_un5_bgack_030_int_d_n FPU_SENSE_i a_2__n size_dma_i_0__n N_241_0 \ +size_dma_i_1__n N_242_0 a_i_16__n N_243_0 a_i_18__n N_93_i a_i_19__n N_94_i \ +a_i_30__n N_244_0 a_i_31__n N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n N_246_0 \ +a_i_29__n pos_clk_un3_as_030_d0_i_n a_i_26__n pos_clk_un5_bgack_030_int_d_i_n \ +a_i_27__n N_249_i pos_clk_a0_dma_3_n a_i_24__n N_251_0 a_i_25__n N_71_0 \ +LDS_000_INT_i N_104_i N_8 DS_030_i N_137_i N_9 UDS_000_INT_i N_10 N_224_i \ +N_160_i N_11 N_225_i N_161_i N_12 N_226_i N_13 N_159_i N_14 N_157_i N_15 \ +N_158_i N_16 N_91_i N_19 N_90_i N_155_i N_20 un14_amiga_bus_data_dir_i N_156_i \ +N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 un6_uds_000_i N_152_i N_25 \ +un6_ds_030_i N_153_i un4_as_000_i N_142_0 AS_000_INT_i N_141_0 un6_as_030_i \ +N_138_0 AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i DS_030_D0_i un1_as_030_i AS_030_c \ +pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c size_c_i_1__n N_25_i RW_000_c \ +N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 ipl_c_i_2__n LDS_000_c \ +N_54_0 ipl_c_i_1__n size_c_0__n N_53_0 ipl_c_i_0__n size_c_1__n N_52_0 \ +DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_8_i N_46_0 \ +N_9_i N_45_0 N_10_i N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n N_43_0 \ +pos_clk_size_dma_6_1__n N_13_i N_42_0 N_14_i N_41_0 un6_uds_000_1 N_15_i N_241 \ +N_40_0 N_242 N_16_i N_243 N_39_0 N_244 N_19_i N_245 N_36_0 N_246 N_20_i N_78 \ +N_35_0 N_80 N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 \ +pos_clk_un6_bg_030_i_n N_98 a_c_17__n pos_clk_un8_bg_030_0_n N_99 N_251_0_1 \ +N_249 a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n \ +pos_clk_cpu_est_11_0_1_1__n N_136 pos_clk_cpu_est_11_0_2_1__n \ +pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 \ +pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 N_155 un8_ciin_2 N_141 \ +un8_ciin_3 N_156 un8_ciin_4 N_157 a_c_24__n un8_ciin_5 N_138 un8_ciin_6 N_158 \ +a_c_25__n un8_ciin_7 N_159 un8_ciin_8 N_160 a_c_26__n N_116_1 N_142 N_116_2 \ +N_161 a_c_27__n N_116_3 N_132 N_116_4 N_104 a_c_28__n un22_berr_1_0 N_76 \ +un21_fpu_cs_1 N_71 a_c_29__n N_123_i_1 N_251 N_123_i_2 N_93 a_c_30__n \ +N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 N_87 N_129_i_1 N_86 A0_c \ +pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c \ +RESET_OUT_0_sqmuxa_7_1 N_116 RESET_OUT_0_sqmuxa_7_2 nEXP_SPACE_c \ +RESET_OUT_0_sqmuxa_7_3 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 \ +pos_clk_un27_clk_000_ne_d0_1_n N_211 BG_030_c pos_clk_un27_clk_000_ne_d0_2_n \ +pos_clk_un27_clk_000_ne_d0_3_n N_209 pos_clk_un5_clk_000_pe_1_n \ +pos_clk_un5_clk_000_pe_2_n pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c \ +pos_clk_un9_clk_000_ne_1_n pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 \ +pos_clk_un9_clk_000_ne_3_n RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n \ +RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 \ +un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n \ +N_165_1 un1_rst_dly_i_m_6__n CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 \ +un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 \ +un1_rst_dly_i_m_2__n N_176_1_0 N_38 DS_000_DMA_2_sqmuxa_1 N_85 N_119_i_1 \ +N_115_0_1 N_252 pos_clk_ipl_1_n N_97 as_000_dma_0_un3_n \ +pos_clk_un27_clk_000_ne_d0_n as_000_dma_0_un1_n N_199_1 ipl_c_0__n \ +as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n ds_000_dma_0_un3_n \ +pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 \ +ds_000_dma_0_un0_n N_151 ipl_c_2__n vma_int_0_un3_n N_199 vma_int_0_un1_n \ +N_196 vma_int_0_un0_n N_195 DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 \ +sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_cpu_est_11_3__n \ +sm_amiga_srsts_i_0_m2_1__un0_n N_197 cpu_est_0_3__un3_n N_198 VPA_c \ +cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 \ +cpu_est_0_2__un3_n N_192 RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n \ +AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \ +SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE G_161 G_159 \ +cpu_est_0_0_ G_165 G_166 G_167 G_149 G_147 G_145 G_143 G_141 G_139 G_137 \ +pos_clk_RST_DLY_5_iv_0_x2_0_ .names N_54_0.BLIF IPL_D0_2_.D 0 1 -.names N_131_i_3.BLIF N_96_i.BLIF SM_AMIGA_i_7_.D +.names N_131_i_3.BLIF N_165_i.BLIF SM_AMIGA_i_7_.D 11 1 .names N_129_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D 11 1 @@ -492,13 +523,13 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 11 1 .names N_125_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D 11 1 -.names N_123_i_1.BLIF RST_c.BLIF SM_AMIGA_3_.D +.names N_123_i_1.BLIF N_123_i_2.BLIF SM_AMIGA_3_.D +11 1 +.names N_121_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D 11 1 -.names N_259_0.BLIF SM_AMIGA_2_.D -0 1 .names N_119_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D 11 1 -.names N_77_i.BLIF N_101_i.BLIF SM_AMIGA_0_.D +.names N_88_i.BLIF N_137_i.BLIF SM_AMIGA_0_.D 11 1 .names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D 1- 1 @@ -513,7 +544,13 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 0 1 .names N_31_0.BLIF IPL_030DFF_1_reg.D 0 1 -.names N_98_i.BLIF RST_c.BLIF RST_DLY_0_.D +.names N_32_0.BLIF IPL_030DFF_2_reg.D +0 1 +.names N_52_0.BLIF IPL_D0_0_.D +0 1 +.names N_53_0.BLIF IPL_D0_1_.D +0 1 +.names N_85_i.BLIF RST_c.BLIF RST_DLY_0_.D 11 1 .names RST_c.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_1_.D 11 1 @@ -529,9 +566,9 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 11 1 .names RST_c.BLIF un1_rst_dly_i_m_i_8__n.BLIF RST_DLY_7_.D 11 1 -.names N_263_i_1.BLIF RST_c.BLIF CYCLE_DMA_0_.D +.names G_159.BLIF un1_rst_2.BLIF CYCLE_DMA_0_.D 11 1 -.names N_262_i_1.BLIF RST_c.BLIF CYCLE_DMA_1_.D +.names G_161.BLIF un1_rst_2.BLIF CYCLE_DMA_1_.D 11 1 .names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 @@ -541,18 +578,6 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 -1 1 .names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D 11 1 -.names N_261_i_1.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF inst_CLK_030_H.D -11 1 -.names N_105_i.BLIF RST_c.BLIF inst_RESET_OUTreg.D -11 1 -.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D -11 1 -.names N_39_0.BLIF inst_UDS_000_INT.D -0 1 -.names N_40_0.BLIF inst_RW_000_DMA.D -0 1 -.names N_41_0.BLIF inst_RW_000_INT.D -0 1 .names N_42_0.BLIF inst_LDS_000_INT.D 0 1 .names N_43_0.BLIF inst_AS_030_000_SYNC.D @@ -569,7 +594,7 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 0 1 .names N_50_0.BLIF inst_DS_030_D0.D 0 1 -.names N_102.BLIF inst_AS_030_D0.D +.names N_89.BLIF inst_AS_030_D0.D 0 1 .names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D 0 1 @@ -577,6 +602,12 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 0 1 .names N_57_0.BLIF inst_DTACK_D0.D 0 1 +.names N_47.BLIF RST_c.BLIF inst_CLK_030_H.D +11 1 +.names N_38.BLIF RST_c.BLIF inst_RESET_OUTreg.D +11 1 +.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D +11 1 .names N_33_0.BLIF inst_BGACK_030_INTreg.D 0 1 .names N_34_0.BLIF BG_000DFFreg.D @@ -587,688 +618,726 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 0 1 .names N_37_0.BLIF inst_VMA_INTreg.D 0 1 +.names N_39_0.BLIF inst_UDS_000_INT.D +0 1 +.names N_40_0.BLIF inst_RW_000_DMA.D +0 1 +.names N_41_0.BLIF inst_RW_000_INT.D +0 1 .names AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF inst_BGACK_030_INT_D.D 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names N_310_4.BLIF N_310_3.BLIF N_310 +.names N_189_i.BLIF cpu_est_0_.BLIF N_193 11 1 -.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n 0 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_3__un3_n.BLIF \ -sm_amiga_srsts_i_0_m2_3__un0_n +.names N_190_i.BLIF N_190 +0 1 +.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n 11 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 +.names N_189_i.BLIF N_189 +0 1 +.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n 11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n +.names N_140_0.BLIF N_140 0 1 -.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n +.names N_97.BLIF bgack_030_int_0_un3_n 0 1 -.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n -0 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n +.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF \ +pos_clk_un29_clk_000_ne_d0_n 11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_14 -1- 1 --1 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF \ -sm_amiga_srsts_i_0_m2_1__un0_n +.names inst_BGACK_030_INTreg.BLIF N_97.BLIF bgack_030_int_0_un1_n +11 1 +.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n +0 1 +.names BGACK_000_c.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n +11 1 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n +11 1 +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un3_n +0 1 +.names vcc_n_n + 1 +.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n +0 1 +.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un1_n 11 1 .names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 1- 1 -1 1 -.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n -0 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_0_m2_0__un3_n +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c 0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un0_n +11 1 .names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_22 1- 1 -1 1 -.names SM_AMIGA_i_7_.BLIF inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un1_n -11 1 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 -11 1 -.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n +.names SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n 0 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un3_n.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un0_n +.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n 11 1 -.names vcc_n_n - 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 +.names RW_i.BLIF SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n 11 1 -.names SM_AMIGA_5_.BLIF un1_sm_amiga_7_i_m2_un3_n -0 1 -.names N_211.BLIF RST_DLY_5_.BLIF N_213 -11 1 -.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF un1_sm_amiga_7_i_m2_un1_n -11 1 -.names sm_amiga_i_3__n.BLIF un1_sm_amiga_7_i_m2_un3_n.BLIF \ -un1_sm_amiga_7_i_m2_un0_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_105 -11 1 -.names N_98.BLIF N_98_i -0 1 -.names N_248.BLIF size_dma_0_0__un3_n -0 1 .names gnd_n_n -.names N_87_i_i.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_98 +.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF \ +pos_clk_un9_clk_000_n_sync_n 11 1 -.names pos_clk_size_dma_6_0__n.BLIF N_248.BLIF size_dma_0_0__un1_n +.names sm_amiga_i_3__n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF \ +ds_000_enable_1_sqmuxa_1_i_m4_un0_n 11 1 .names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ un1_amiga_bus_enable_low 11 1 -.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa -11 1 -.names N_105.BLIF N_105_i +.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n +0 1 +.names N_246.BLIF size_dma_0_0__un3_n 0 1 -.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n -11 1 .names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n -11 1 -.names N_248.BLIF size_dma_0_1__un3_n +.names pos_clk_un22_bgack_030_int_0_n.BLIF pos_clk_un22_bgack_030_int_n 0 1 +.names N_6.BLIF N_6_i +0 1 +.names pos_clk_size_dma_6_0__n.BLIF N_246.BLIF size_dma_0_0__un1_n +11 1 .names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size 11 1 -.names N_22.BLIF N_22_i -0 1 -.names pos_clk_size_dma_6_1__n.BLIF N_248.BLIF size_dma_0_1__un1_n +.names N_6_i.BLIF RST_c.BLIF N_48_0 +11 1 +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n 11 1 .names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size 11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n +.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 11 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 +.names N_3.BLIF N_3_i +0 1 +.names N_246.BLIF size_dma_0_1__un3_n +0 1 +.names un8_ciin_8.BLIF un8_ciin_5.BLIF un8_ciin 11 1 +.names N_3_i.BLIF RST_c.BLIF N_49_0 +11 1 +.names pos_clk_size_dma_6_1__n.BLIF N_246.BLIF size_dma_0_1__un1_n +11 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF un14_amiga_bus_data_dir +11 1 +.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF pos_clk_un40_bgack_030_int_1_n +11 1 +.names pos_clk_un29_bgack_030_int_n.BLIF pos_clk_un29_bgack_030_int_i_n +0 1 .names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n 11 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 11 1 -.names N_18.BLIF N_18_i +.names AS_000_DMA_1_sqmuxa.BLIF AS_000_DMA_i.BLIF CLK_030_H_0_sqmuxa +11 1 +.names pos_clk_un26_bgack_030_int_n.BLIF pos_clk_un26_bgack_030_int_i_n 0 1 .names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n 0 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs 11 1 -.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 +.names CLK_EXP_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF AS_000_DMA_1_sqmuxa 11 1 -.names N_18_i.BLIF RST_c.BLIF N_37_0 +.names pos_clk_un26_bgack_030_int_i_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF \ +pos_clk_un27_bgack_030_int_0_n 11 1 .names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n 11 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs +.names un22_berr_1_0.BLIF N_116.BLIF un22_berr 11 1 -.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 +.names pos_clk_un24_bgack_030_int_1_n.BLIF pos_clk_un27_bgack_030_int_n.BLIF \ +pos_clk_un24_bgack_030_int_n 11 1 -.names N_14.BLIF N_14_i +.names CLK_030_H_0_sqmuxa.BLIF CLK_030_H_0_sqmuxa_i 0 1 .names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n 11 1 -.names un22_berr_1_0.BLIF N_340.BLIF un22_berr -11 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n -0 1 .names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 11 1 -.names N_10.BLIF N_10_i +.names pos_clk_un27_bgack_030_int_0_n.BLIF pos_clk_un27_bgack_030_int_n +0 1 +.names N_7.BLIF N_7_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n 0 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 .names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 11 1 -.names N_209.BLIF RST_DLY_4_.BLIF N_211 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_176_1 11 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 +.names CLK_030_H_0_sqmuxa_i.BLIF N_7_i.BLIF N_47_0 11 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n 11 1 .names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 11 1 -.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF \ -pos_clk_cpu_est_11_0_1__n +.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 11 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i +11 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names N_133_i.BLIF N_133 +0 1 +.names N_176.BLIF N_176_i +0 1 .names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n 0 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 +.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 11 1 -.names N_312.BLIF N_312_i +.names N_175.BLIF N_175_i 0 1 .names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n 11 1 -.names N_90.BLIF N_90_i -0 1 +.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 +11 1 +.names N_175_i.BLIF N_176_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 .names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n 11 1 -.names N_88.BLIF N_88_i -0 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n +.names BERR_i.BLIF N_136_i.BLIF N_164 11 1 -.names N_299.BLIF N_299_i +.names AS_000_DMA_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF \ +pos_clk_ds_000_dma_4_f1_0_n +11 1 +.names N_242.BLIF dsack1_int_0_un3_n 0 1 -.names N_104_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -amiga_bus_enable_dma_high_0_un1_n +.names N_176_1_0.BLIF nEXP_SPACE_D0_i.BLIF N_176 11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n -11 1 -.names N_268_i.BLIF SM_AMIGA_4_.BLIF N_275_0 -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n -11 1 -.names N_268.BLIF sm_amiga_i_3__n.BLIF N_274_0 -11 1 -.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n +.names N_162.BLIF N_162_i 0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n +.names N_80_i.BLIF N_242.BLIF dsack1_int_0_un1_n 11 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_273_i +.names DS_000_DMA_2_sqmuxa_1.BLIF pos_clk_un40_bgack_030_int_1_i_n.BLIF \ +DS_000_DMA_2_sqmuxa 11 1 -.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n -11 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_272_i -11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names N_71.BLIF N_71_i +.names N_163.BLIF N_163_i 0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_270_i -11 1 -.names N_260.BLIF ds_000_dma_0_un3_n -0 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_268_i -11 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_260.BLIF ds_000_dma_0_un1_n -11 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i -0 1 -.names N_310.BLIF N_310_i -0 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names inst_RESET_OUTreg.BLIF RESET_OUT_i -0 1 -.names N_311.BLIF N_311_i -0 1 -.names N_258.BLIF as_000_dma_0_un3_n -0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names N_310_i.BLIF N_311_i.BLIF N_267_0 -11 1 -.names pos_clk_un24_bgack_030_int_i_0_n.BLIF N_258.BLIF as_000_dma_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i -0 1 -.names N_309.BLIF N_309_i -0 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names G_139.BLIF un1_rst_dly_i_3__n -0 1 -.names N_308.BLIF N_308_i -0 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n -0 1 -.names G_141.BLIF un1_rst_dly_i_4__n -0 1 -.names N_308_i.BLIF N_309_i.BLIF pos_clk_un7_clk_000_pe_0_n -11 1 -.names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -a0_dma_0_un1_n -11 1 -.names G_143.BLIF un1_rst_dly_i_5__n -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF N_264_0 -11 1 -.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names G_145.BLIF un1_rst_dly_i_6__n -0 1 -.names N_304.BLIF N_304_i -0 1 -.names N_245.BLIF dsack1_int_0_un3_n -0 1 -.names G_147.BLIF un1_rst_dly_i_7__n -0 1 -.names N_303.BLIF N_303_i -0 1 -.names N_92_i.BLIF N_245.BLIF dsack1_int_0_un1_n -11 1 -.names G_149.BLIF un1_rst_dly_i_8__n -0 1 -.names N_303_i.BLIF N_304_i.BLIF N_186_i -11 1 .names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n 11 1 -.names G_137.BLIF un1_rst_dly_i_2__n -0 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n -0 1 -.names pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF N_87_i_i -0 1 -.names RST_c.BLIF VPA_c_i.BLIF N_56_0 +.names DS_000_DMA_0_sqmuxa_i.BLIF pos_clk_ds_000_dma_4_f1_n.BLIF \ +pos_clk_ds_000_dma_4_n 11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n +.names N_164.BLIF N_164_i +0 1 +.names N_243.BLIF as_000_int_0_un3_n +0 1 +.names RW_000_c.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_0_sqmuxa 11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n +.names N_165.BLIF N_165_i 0 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +.names sm_amiga_i_5__n.BLIF N_243.BLIF as_000_int_0_un1_n 11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n +.names pos_clk_ds_000_dma_4_f1_0_n.BLIF pos_clk_ds_000_dma_4_f1_n 0 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 +.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n 11 1 -.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names N_97.BLIF N_97_i -0 1 -.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF \ -ds_000_enable_0_un1_n +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_175 11 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names N_282_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n +.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un22_bgack_030_int_0_n 11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n +.names N_241.BLIF ds_000_enable_0_un3_n 0 1 -.names N_96.BLIF N_96_i +.names N_47_0.BLIF N_47 0 1 -.names N_246.BLIF as_030_000_sync_0_un3_n +.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n 0 1 -.names inst_CLK_000_PE.BLIF CLK_000_PE_i -0 1 -.names N_95.BLIF N_95_i -0 1 -.names inst_AS_030_000_SYNC.BLIF N_246.BLIF as_030_000_sync_0_un1_n +.names N_248_i.BLIF N_241.BLIF ds_000_enable_0_un1_n 11 1 -.names BERR_c.BLIF BERR_i +.names inst_CLK_030_H.BLIF pos_clk_un24_bgack_030_int_n.BLIF N_7 +11 1 +.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n 0 1 -.names N_94.BLIF N_94_i +.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ +ds_000_enable_0_un0_n +11 1 +.names N_176_1.BLIF RST_c.BLIF un1_rst_2 +11 1 +.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ +pos_clk_un14_clk_000_n_sync_0_n +11 1 +.names N_244.BLIF as_030_000_sync_0_un3_n 0 1 +.names CYCLE_DMA_1_.BLIF cycle_dma_i_0__n.BLIF pos_clk_un26_bgack_030_int_n +11 1 +.names N_22.BLIF N_22_i +0 1 +.names inst_AS_030_000_SYNC.BLIF N_244.BLIF as_030_000_sync_0_un1_n +11 1 +.names CYCLE_DMA_0_.BLIF cycle_dma_i_1__n.BLIF pos_clk_un29_bgack_030_int_n +11 1 +.names N_22_i.BLIF RST_c.BLIF N_33_0 +11 1 .names pos_clk_un3_as_030_d0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ as_030_000_sync_0_un0_n 11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names N_313.BLIF N_313_i +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 +1- 1 +-1 1 +.names N_18.BLIF N_18_i 0 1 .names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n 0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names N_313_i.BLIF SM_AMIGA_3_.BLIF N_136_i +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 +1- 1 +-1 1 +.names N_18_i.BLIF RST_c.BLIF N_37_0 11 1 .names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ lds_000_int_0_un1_n 11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +0 1 +.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n 0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_81_0 -11 1 .names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n 11 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i +.names un21_fpu_cs.BLIF un21_fpu_cs_i 0 1 -.names N_116.BLIF N_116_i +.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n +0 1 +.names N_115.BLIF rw_000_int_0_un3_n +0 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ +pos_clk_un7_clk_000_pe_0_n +11 1 +.names N_245.BLIF N_115.BLIF rw_000_int_0_un1_n +11 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n +0 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names pos_clk_un24_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_i_n +0 1 +.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n 0 1 .names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n 0 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +.names CYCLE_DMA_1_.BLIF cycle_dma_i_1__n 0 1 -.names N_116_i.BLIF RST_c.BLIF N_77_i +.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ +pos_clk_un23_clk_000_ne_d0_0_n 11 1 -.names N_265.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un1_n +.names un14_amiga_bus_data_dir_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +rw_000_dma_0_un1_n 11 1 -.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n 0 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_73_i +.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i 11 1 .names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n 11 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names N_101.BLIF N_101_i +.names inst_AS_000_DMA.BLIF AS_000_DMA_i 0 1 +.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 +11 1 .names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n 0 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +.names CLK_EXP_c.BLIF CLK_EXP_i +0 1 +.names N_195.BLIF N_195_i 0 1 .names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n 11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +.names BERR_c.BLIF BERR_i 0 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n +.names N_196.BLIF N_196_i 0 1 .names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n 11 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n +.names RW_000_c.BLIF RW_000_i 0 1 +.names N_195_i.BLIF N_196_i.BLIF N_186_i +11 1 .names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n 0 1 -.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n -11 1 -.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n +.names DS_000_DMA_0_sqmuxa.BLIF DS_000_DMA_0_sqmuxa_i 0 1 -.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ -pos_clk_un14_clk_000_n_sync_0_n +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i 11 1 -.names N_103_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +.names N_90_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ amiga_bus_enable_dma_low_0_un1_n 11 1 -.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n -11 1 -.names LDS_000_c.BLIF LDS_000_i +.names pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un40_bgack_030_int_1_i_n 0 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un22_bgack_030_int_i_n +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i 11 1 .names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n 11 1 -.names UDS_000_c.BLIF UDS_000_i +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i 0 1 -.names N_86.BLIF N_86_i -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i 11 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 .names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i 0 1 -.names N_93.BLIF N_93_i +.names N_193.BLIF N_193_i +0 1 +.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +amiga_bus_enable_dma_high_0_un1_n +11 1 +.names inst_CLK_000_PE.BLIF CLK_000_PE_i +0 1 +.names N_191.BLIF N_191_i +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names inst_CLK_000_NE.BLIF CLK_000_NE_i +0 1 +.names N_192.BLIF N_192_i +0 1 +.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n +11 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names N_194.BLIF N_194_i +0 1 +.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF \ +pos_clk_cpu_est_11_0_1__n +11 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n +0 1 +.names N_198.BLIF N_198_i +0 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n +0 1 +.names UDS_000_c.BLIF UDS_000_i +0 1 +.names N_197.BLIF N_197_i +0 1 +.names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +a0_dma_0_un1_n +11 1 +.names LDS_000_c.BLIF LDS_000_i +0 1 +.names N_199.BLIF N_199_i +0 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n +11 1 +.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n +0 1 +.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n +11 1 +.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n +11 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i +0 1 +.names N_151.BLIF N_151_i +0 1 +.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n +11 1 +.names inst_DTACK_D0.BLIF DTACK_D0_i +0 1 +.names N_150.BLIF N_150_i 0 1 .names SM_AMIGA_2_.BLIF sm_amiga_i_2__n 0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names N_99.BLIF N_99_i -0 1 -.names A1_c.BLIF A1_i -0 1 -.names N_99_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa 11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i +.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n 0 1 -.names N_100.BLIF N_100_i -0 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_100_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i 11 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i +.names cpu_est_0_.BLIF cpu_est_i_0__n 0 1 -.names N_92_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_245_0 +.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_252_0 11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i +.names cpu_est_3_reg.BLIF cpu_est_i_3__n 0 1 -.names N_108.BLIF N_108_i +.names N_85.BLIF N_85_i 0 1 -.names AS_000_c.BLIF AS_000_i +.names cpu_est_2_.BLIF cpu_est_i_2__n 0 1 -.names N_109.BLIF N_109_i +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_38_0 +11 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n +0 1 +.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i 0 1 .names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n 0 1 +.names pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF N_77_i_i +0 1 +.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n +0 1 +.names G_137.BLIF un1_rst_dly_i_2__n +0 1 +.names G_139.BLIF un1_rst_dly_i_3__n +0 1 +.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n +0 1 +.names G_141.BLIF un1_rst_dly_i_4__n +0 1 +.names G_143.BLIF un1_rst_dly_i_5__n +0 1 +.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n +0 1 +.names G_145.BLIF un1_rst_dly_i_6__n +0 1 +.names G_147.BLIF un1_rst_dly_i_7__n +0 1 +.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n +0 1 +.names G_149.BLIF un1_rst_dly_i_8__n +0 1 +.names inst_RESET_OUTreg.BLIF RESET_OUT_i +0 1 +.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n +0 1 +.names inst_AS_030_D0.BLIF AS_030_D0_i +0 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i +11 1 +.names A1_c.BLIF A1_i +0 1 +.names N_76.BLIF N_76_i +0 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names N_83.BLIF N_83_i +0 1 .names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n 0 1 -.names N_108_i.BLIF N_109_i.BLIF N_246_0 +.names N_248.BLIF N_248_i +0 1 +.names N_84.BLIF N_84_i +0 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 11 1 .names RW_c.BLIF RW_i 0 1 -.names un5_ciin.BLIF un5_ciin_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_i -0 1 -.names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF N_247_0 -11 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_248_0 -11 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i +.names N_86.BLIF N_86_i 0 1 .names inst_CLK_000_D0.BLIF CLK_000_D0_i 0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF N_249_i +.names N_86_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n 11 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 .names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i 0 1 +.names N_87.BLIF N_87_i +0 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names N_87_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names N_88.BLIF N_88_i +0 1 +.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n +0 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names SIZE_DMA_0_.BLIF size_dma_i_0__n +0 1 +.names N_248.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_241_0 +11 1 .names SIZE_DMA_1_.BLIF size_dma_i_1__n 0 1 -.names N_251_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_251_0 +.names N_80_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_242_0 11 1 .names a_c_16__n.BLIF a_i_16__n 0 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n +.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_243_0 11 1 .names a_c_18__n.BLIF a_i_18__n 0 1 +.names N_93.BLIF N_93_i +0 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names N_94.BLIF N_94_i +0 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names N_93_i.BLIF N_94_i.BLIF N_244_0 +11 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names RW_i.BLIF SM_AMIGA_5_.BLIF N_245_0 +11 1 +.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n +0 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_246_0 +11 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n +11 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 .names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ pos_clk_un5_bgack_030_int_d_i_n 11 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names N_75_i_1.BLIF sm_amiga_i_4__n.BLIF N_75_i -11 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF N_76_i -11 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_78_0 -11 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names N_80_0_1.BLIF sm_amiga_i_i_7__n.BLIF N_80_0 -11 1 .names a_c_27__n.BLIF a_i_27__n 0 1 -.names CLK_EXP_c.BLIF CLK_EXP_c_i +.names LDS_000_i.BLIF UDS_000_i.BLIF N_249_i +11 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 +.names a_c_24__n.BLIF a_i_24__n 0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names CLK_EXP_c_i.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF N_258_0 +.names N_251_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_251_0 11 1 .names a_c_25__n.BLIF a_i_25__n 0 1 -.names N_283.BLIF N_283_i -0 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names N_284.BLIF N_284_i -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 +.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_71_0 +11 1 .names inst_LDS_000_INT.BLIF LDS_000_INT_i 0 1 -.names N_290.BLIF N_290_i -0 1 -.names DS_030_c.BLIF DS_030_i -0 1 -.names N_291.BLIF N_291_i -0 1 -.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n -0 1 -.names G_165.BLIF N_224_i -0 1 -.names G_166.BLIF N_225_i -0 1 -.names N_279.BLIF N_279_i -0 1 -.names G_167.BLIF N_226_i -0 1 -.names N_293.BLIF N_293_i -0 1 -.names N_82.BLIF N_82_i -0 1 -.names N_83.BLIF N_83_i -0 1 .names N_104.BLIF N_104_i 0 1 -.names N_82_i.BLIF N_83_i.BLIF N_259_0 -11 1 -.names N_103.BLIF N_103_i -0 1 -.names N_84.BLIF N_84_i -0 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n -0 1 -.names N_282.BLIF N_282_i -0 1 -.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 -11 1 -.names N_92.BLIF N_92_i -0 1 -.names N_85.BLIF N_85_i -0 1 -.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF AS_000_INT_1_sqmuxa -11 1 -.names un6_lds_000.BLIF un6_lds_000_i -0 1 -.names N_294.BLIF N_294_i -0 1 -.names N_282.BLIF pos_clk_un3_as_030_d0_i_n.BLIF DS_000_ENABLE_1_sqmuxa_1 -11 1 -.names un6_uds_000.BLIF un6_uds_000_i -0 1 -.names N_296.BLIF N_296_i -0 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_294_i.BLIF pos_clk_cpu_est_11_0_3__n -11 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n -0 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names N_91.BLIF N_91_i -0 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names un4_as_000.BLIF un4_as_000_i -0 1 -.names N_260_0_1.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF N_260_0 -11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names N_301.BLIF N_301_i -0 1 -.names un6_as_030.BLIF un6_as_030_i -0 1 -.names N_301_i.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF \ -pos_clk_ds_000_dma_4_0_n -11 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 -1- 1 --1 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names N_305.BLIF N_305_i -0 1 .names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_8 1- 1 -1 1 -.names inst_DS_030_D0.BLIF DS_030_D0_i -0 1 -.names N_306.BLIF N_306_i +.names DS_030_c.BLIF DS_030_i 0 1 +.names N_104_i.BLIF RST_c.BLIF N_137_i +11 1 .names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 1- 1 -1 1 -.names N_305_i.BLIF N_306_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 .names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 1- 1 -1 1 -.names N_307.BLIF N_307_i +.names G_165.BLIF N_224_i +0 1 +.names N_160.BLIF N_160_i 0 1 .names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 1- 1 -1 1 +.names G_166.BLIF N_225_i +0 1 +.names N_161.BLIF N_161_i +0 1 .names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_12 1- 1 -1 1 +.names G_167.BLIF N_226_i +0 1 .names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_13 1- 1 -1 1 +.names N_159.BLIF N_159_i +0 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_14 +1- 1 +-1 1 +.names N_157.BLIF N_157_i +0 1 .names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_15 1- 1 -1 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_265_0 -11 1 +.names N_158.BLIF N_158_i +0 1 .names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_16 1- 1 -1 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_269_i -11 1 +.names N_91.BLIF N_91_i +0 1 .names amiga_bus_enable_dma_low_0_un1_n.BLIF \ amiga_bus_enable_dma_low_0_un0_n.BLIF N_19 1- 1 -1 1 -.names pos_clk_un24_bgack_030_int_i_0_i_1_n.BLIF \ -pos_clk_un22_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_i_0_i_n -11 1 +.names N_90.BLIF N_90_i +0 1 +.names N_155.BLIF N_155_i +0 1 .names amiga_bus_enable_dma_high_0_un1_n.BLIF \ amiga_bus_enable_dma_high_0_un0_n.BLIF N_20 1- 1 -1 1 -.names N_268_i.BLIF SM_AMIGA_6_.BLIF N_62_0 -11 1 +.names un14_amiga_bus_data_dir.BLIF un14_amiga_bus_data_dir_i +0 1 +.names N_156.BLIF N_156_i +0 1 .names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_21 1- 1 -1 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_6_.BLIF N_276_0 -11 1 +.names N_80.BLIF N_80_i +0 1 .names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 1- 1 -1 1 -.names AS_000_DMA_i.BLIF CLK_EXP_c_i.BLIF N_277_0 -11 1 +.names un6_lds_000.BLIF un6_lds_000_i +0 1 +.names N_154.BLIF N_154_i +0 1 .names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 1- 1 -1 1 -.names N_286.BLIF N_286_i +.names un6_uds_000.BLIF un6_uds_000_i +0 1 +.names N_152.BLIF N_152_i 0 1 .names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 1- 1 -1 1 -.names N_288.BLIF N_288_i +.names un6_ds_030.BLIF un6_ds_030_i 0 1 -.names N_289.BLIF N_289_i +.names N_153.BLIF N_153_i 0 1 +.names un4_as_000.BLIF un4_as_000_i +0 1 +.names N_132_i.BLIF SM_AMIGA_6_.BLIF N_142_0 +11 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names N_132_i.BLIF SM_AMIGA_4_.BLIF N_141_0 +11 1 +.names un6_as_030.BLIF un6_as_030_i +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i +11 1 +.names inst_DS_030_D0.BLIF DS_030_D0_i +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i +11 1 .names pos_clk_un11_ds_030_d0_i_1_n.BLIF size_c_0__n.BLIF \ pos_clk_un11_ds_030_d0_i_n 11 1 @@ -1300,20 +1369,20 @@ pos_clk_un11_ds_030_d0_i_n 0 1 .names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 11 1 +.names DTACK_c.BLIF DTACK_c_i +0 1 +.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 +11 1 +.names VPA_c.BLIF VPA_c_i +0 1 +.names RST_c.BLIF VPA_c_i.BLIF N_56_0 +11 1 .names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i 0 1 .names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 11 1 .names DS_030_i.BLIF RST_c.BLIF N_50_0 11 1 -.names N_3.BLIF N_3_i -0 1 -.names N_3_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names N_6.BLIF N_6_i -0 1 -.names N_6_i.BLIF RST_c.BLIF N_48_0 -11 1 .names N_8.BLIF N_8_i 0 1 .names N_8_i.BLIF RST_c.BLIF N_46_0 @@ -1322,446 +1391,401 @@ pos_clk_un11_ds_030_d0_i_n 0 1 .names N_9_i.BLIF RST_c.BLIF N_45_0 11 1 -.names N_12.BLIF N_12_i +.names N_10.BLIF N_10_i 0 1 -.names N_12_i.BLIF RST_c.BLIF N_43_0 +.names N_10_i.BLIF RST_c.BLIF N_44_0 11 1 .names N_115_0.BLIF N_115 0 1 -.names N_13.BLIF N_13_i +.names N_12.BLIF N_12_i 0 1 .names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n 0 1 -.names N_13_i.BLIF RST_c.BLIF N_42_0 +.names N_12_i.BLIF RST_c.BLIF N_43_0 11 1 .names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n 0 1 +.names N_13.BLIF N_13_i +0 1 +.names N_13_i.BLIF RST_c.BLIF N_42_0 +11 1 +.names N_14.BLIF N_14_i +0 1 +.names N_14_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 +11 1 .names N_15.BLIF N_15_i 0 1 -.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n +.names N_241_0.BLIF N_241 0 1 .names N_15_i.BLIF RST_c.BLIF N_40_0 11 1 +.names N_242_0.BLIF N_242 +0 1 .names N_16.BLIF N_16_i 0 1 +.names N_243_0.BLIF N_243 +0 1 .names N_16_i.BLIF RST_c.BLIF N_39_0 11 1 +.names N_244_0.BLIF N_244 +0 1 .names N_19.BLIF N_19_i 0 1 -.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 -11 1 -.names N_19_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names pos_clk_un24_bgack_030_int_i_0_i_n.BLIF \ -pos_clk_un24_bgack_030_int_i_0_n -0 1 -.names N_20.BLIF N_20_i -0 1 .names N_245_0.BLIF N_245 0 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 +.names N_19_i.BLIF RST_c.BLIF N_36_0 11 1 .names N_246_0.BLIF N_246 0 1 +.names N_20.BLIF N_20_i +0 1 +.names un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF N_78 +1- 1 +-1 1 +.names N_20_i.BLIF RST_c.BLIF N_35_0 +11 1 +.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF N_80 +11 1 .names N_21.BLIF N_21_i 0 1 -.names N_247_0.BLIF N_247 -0 1 +.names AS_030_i.BLIF RST_c.BLIF N_89 +11 1 .names N_21_i.BLIF RST_c.BLIF N_34_0 11 1 -.names N_248_0.BLIF N_248 -0 1 +.names A1_c.BLIF BGACK_030_INT_i.BLIF N_90 +11 1 .names BG_030_c.BLIF BG_030_c_i 0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF N_89 +.names A1_i.BLIF BGACK_030_INT_i.BLIF N_91 11 1 .names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n 0 1 -.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF N_92 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF N_98 11 1 .names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n 11 1 -.names AS_030_i.BLIF RST_c.BLIF N_102 +.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_99 11 1 -.names N_286_i.BLIF RST_c.BLIF N_127_i_1 +.names sm_amiga_i_i_7__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_251_0_1 11 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_103 -11 1 -.names N_288_i.BLIF N_289_i.BLIF N_127_i_2 -11 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_104 -11 1 -.names pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_269_i.BLIF \ -pos_clk_un24_bgack_030_int_i_0_i_1_n -11 1 -.names N_256.BLIF nEXP_SPACE_D0_i.BLIF N_112 -11 1 -.names inst_nEXP_SPACE_D0reg.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF \ -N_80_0_1 -11 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_256 -11 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_6__n.BLIF N_75_i_1 -11 1 -.names N_258_0.BLIF N_258 +.names N_249_i.BLIF N_249 0 1 -.names N_249_i.BLIF AS_030_000_SYNC_i.BLIF N_251_0_1 +.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 11 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n -11 1 -.names N_260_0.BLIF N_260 -0 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_340_1 -11 1 -.names N_265_0.BLIF N_265 -0 1 -.names a_c_17__n.BLIF a_i_16__n.BLIF N_340_2 -11 1 -.names un1_sm_amiga_7_i_m2_un1_n.BLIF un1_sm_amiga_7_i_m2_un0_n.BLIF N_282 +.names ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF \ +ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF N_248 1- 1 -1 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_340_3 +.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n 11 1 -.names un1_amiga_bus_enable_dma_high_0_m2_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un0_n.BLIF N_71 -1- 1 --1 1 -.names N_340_1.BLIF N_340_2.BLIF N_340_4 -11 1 -.names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 -11 1 -.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n -11 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 -11 1 -.names N_76_i.BLIF N_76 +.names N_135_i.BLIF N_135 0 1 -.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 +.names N_194_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n 11 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 -11 1 -.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 -11 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 -11 1 -.names pos_clk_un22_bgack_030_int_i_n.BLIF pos_clk_un22_bgack_030_int_n +.names N_136_i.BLIF N_136 0 1 -.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 +.names N_191_i.BLIF N_193_i.BLIF pos_clk_cpu_est_11_0_2_1__n 11 1 -.names N_268_i.BLIF N_268 -0 1 -.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 +.names pos_clk_un7_clk_000_d0_1_n.BLIF inst_nEXP_SPACE_D0reg.BLIF \ +pos_clk_un7_clk_000_d0_n 11 1 -.names N_270_i.BLIF N_270 -0 1 -.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 -11 1 -.names N_73_i.BLIF N_73 -0 1 -.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 -11 1 -.names N_75_i.BLIF N_75 -0 1 -.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 -11 1 -.names N_251_0.BLIF N_251 -0 1 -.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 +.names N_163_i.BLIF N_137_i.BLIF N_131_i_1 11 1 .names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 11 1 -.names FPU_SENSE_i.BLIF N_340.BLIF un21_fpu_cs_1 +.names N_162_i.BLIF N_164_i.BLIF N_131_i_2 11 1 -.names N_95_1.BLIF CLK_000_NE_i.BLIF N_95 -11 1 -.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n -11 1 -.names N_94_1.BLIF CLK_000_PE_i.BLIF N_94 -11 1 -.names N_97_i.BLIF N_77_i.BLIF N_131_i_1 -11 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_288 -11 1 -.names N_94_i.BLIF N_95_i.BLIF N_131_i_2 -11 1 -.names inst_CLK_000_NE.BLIF sm_amiga_i_6__n.BLIF N_289 +.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 11 1 .names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 11 1 -.names N_276.BLIF sm_amiga_i_5__n.BLIF N_286 +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 11 1 -.names N_73_i.BLIF N_75_i.BLIF N_96_1 +.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n 11 1 -.names sm_amiga_srsts_i_0_m2_3__un1_n.BLIF sm_amiga_srsts_i_0_m2_3__un0_n.BLIF \ -N_279 +.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 +11 1 +.names a_i_31__n.BLIF inst_nEXP_SPACE_D0reg.BLIF un8_ciin_1 +11 1 +.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 +11 1 +.names AS_030_D0_i.BLIF a_i_24__n.BLIF un8_ciin_2 +11 1 +.names N_141_0.BLIF N_141 +0 1 +.names a_i_25__n.BLIF a_i_26__n.BLIF un8_ciin_3 +11 1 +.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 +11 1 +.names a_i_27__n.BLIF a_i_28__n.BLIF un8_ciin_4 +11 1 +.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 +11 1 +.names a_i_29__n.BLIF a_i_30__n.BLIF un8_ciin_5 +11 1 +.names N_138_0.BLIF N_138 +0 1 +.names un8_ciin_1.BLIF un8_ciin_2.BLIF un8_ciin_6 +11 1 +.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 +11 1 +.names un8_ciin_3.BLIF un8_ciin_4.BLIF un8_ciin_7 +11 1 +.names CLK_000_PE_i.BLIF sm_amiga_i_5__n.BLIF N_159 +11 1 +.names un8_ciin_6.BLIF un8_ciin_7.BLIF un8_ciin_8 +11 1 +.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 +11 1 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 +11 1 +.names N_142_0.BLIF N_142 +0 1 +.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 +11 1 +.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 +11 1 +.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 +11 1 +.names N_132_i.BLIF N_132 +0 1 +.names N_116_1.BLIF N_116_2.BLIF N_116_4 +11 1 +.names N_132.BLIF SM_AMIGA_0_.BLIF N_104 +11 1 +.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 +11 1 +.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF \ +N_76 1- 1 -1 1 -.names N_251.BLIF sm_amiga_i_0__n.BLIF N_96_2 +.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 11 1 -.names N_277_0.BLIF N_277 +.names N_71_0.BLIF N_71 0 1 -.names N_96_1.BLIF N_96_2.BLIF N_96_3 +.names N_152_i.BLIF N_153_i.BLIF N_123_i_1 11 1 -.names N_276_0.BLIF N_276 +.names N_251_0.BLIF N_251 0 1 -.names N_88_i.BLIF N_90_i.BLIF pos_clk_cpu_est_11_0_1_1__n +.names N_154_i.BLIF RST_c.BLIF N_123_i_2 11 1 -.names N_62_0.BLIF N_62 -0 1 -.names N_299_i.BLIF N_312_i.BLIF pos_clk_cpu_est_11_0_2_1__n +.names N_251.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_93 11 1 -.names N_274_0.BLIF N_274 -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_310_1 +.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 11 1 -.names inst_CLK_000_NE_D0.BLIF N_267.BLIF N_313 +.names N_116.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_94 11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_310_2 +.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 11 1 -.names CLK_030_H_i.BLIF N_277.BLIF N_307 +.names N_71.BLIF sm_amiga_i_0__n.BLIF N_88 11 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_310_3 +.names N_159_i.BLIF RST_c.BLIF N_127_i_2 11 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_305 +.names BGACK_030_INT_i.BLIF N_249.BLIF N_87 11 1 -.names N_310_1.BLIF N_310_2.BLIF N_310_4 +.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 11 1 -.names N_306_1.BLIF nEXP_SPACE_D0_i.BLIF N_306 +.names BGACK_030_INT_i.BLIF N_249_i.BLIF N_86 11 1 -.names inst_CLK_000_NE.BLIF N_312.BLIF N_309_1 +.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n 11 1 -.names N_303_1.BLIF cpu_est_i_3__n.BLIF N_303 +.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_84 11 1 -.names VPA_D_i.BLIF cpu_est_2_.BLIF N_309_2 +.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF \ +pos_clk_un7_clk_000_d0_1_n 11 1 -.names N_304_1.BLIF cpu_est_i_2__n.BLIF N_304 -11 1 -.names inst_CLK_000_PE.BLIF N_270_i.BLIF N_308_1 -11 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_301 -11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_308_2 -11 1 -.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF N_91 -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 -11 1 -.names N_273.BLIF cpu_est_3_reg.BLIF N_85 +.names N_252.BLIF sm_amiga_i_2__n.BLIF N_83 11 1 .names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 11 1 -.names N_273_i.BLIF cpu_est_i_2__n.BLIF N_294 +.names N_116_4.BLIF N_116_3.BLIF N_116 11 1 .names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 11 1 -.names N_296_1.BLIF cpu_est_i_2__n.BLIF N_296 -11 1 .names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF \ RESET_OUT_0_sqmuxa_7_3 11 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_84 +.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 11 1 -.names N_75.BLIF BERR_i.BLIF N_94_1 +.names N_211.BLIF RST_DLY_5_.BLIF N_213 11 1 -.names N_82_1.BLIF SM_AMIGA_2_.BLIF N_82 +.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_135_i_1 11 1 -.names N_73.BLIF BERR_i.BLIF N_95_1 +.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n 11 1 -.names N_83_1.BLIF SM_AMIGA_3_.BLIF N_83 +.names N_209.BLIF RST_DLY_4_.BLIF N_211 11 1 -.names N_86_i.BLIF N_93_i.BLIF N_119_i_1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n 11 1 -.names N_136.BLIF sm_amiga_i_4__n.BLIF N_293 +.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF \ +pos_clk_un27_clk_000_ne_d0_3_n 11 1 -.names N_274.BLIF RST_c.BLIF N_82_1 +.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 11 1 -.names N_275.BLIF sm_amiga_i_5__n.BLIF N_290 +.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n 11 1 -.names N_313.BLIF RST_c.BLIF N_83_1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n 11 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_291 +.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ +pos_clk_un5_clk_000_pe_3_n 11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_296_1 +.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 11 1 -.names N_62.BLIF SM_AMIGA_i_7_.BLIF N_283 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n 11 1 -.names N_270.BLIF cpu_est_0_.BLIF N_303_1 +.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n 11 1 -.names N_251.BLIF sm_amiga_i_6__n.BLIF N_284 +.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_304_1 +.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n 11 1 -.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF \ -N_86 -1- 1 --1 1 -.names N_269_i.BLIF RW_000_c.BLIF N_306_1 +.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa 11 1 -.names N_80_0.BLIF N_80 +.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ +pos_clk_un9_clk_000_ne_4_n +11 1 +.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 +11 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 +11 1 +.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 +11 1 +.names N_188.BLIF cpu_est_0_.BLIF N_195_1 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n +11 1 +.names N_176_1.BLIF pos_clk_un22_bgack_030_int_n.BLIF \ +pos_clk_un24_bgack_030_int_1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n +11 1 +.names N_133_i.BLIF N_135_i.BLIF N_165_1 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n +11 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n +11 1 +.names N_165_1.BLIF N_165_2.BLIF N_165_3 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n +11 1 +.names N_133.BLIF BERR_i.BLIF N_163_1 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n +11 1 +.names N_135.BLIF BERR_i.BLIF N_162_1 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n +11 1 +.names N_176_1.BLIF RW_000_c.BLIF N_176_1_0 +11 1 +.names N_38_0.BLIF N_38 0 1 -.names N_283_i.BLIF N_284_i.BLIF N_129_i_1 +.names RW_000_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_2_sqmuxa_1 11 1 -.names N_78_0.BLIF N_78 -0 1 -.names N_290_i.BLIF N_291_i.BLIF N_125_i_1 +.names N_77_i_i.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_85 11 1 -.names N_80.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_108 -11 1 -.names N_279_i.BLIF N_293_i.BLIF N_123_i_1 -11 1 -.names N_340.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_109 +.names N_76_i.BLIF N_83_i.BLIF N_119_i_1 11 1 .names N_84_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 11 1 -.names BGACK_030_INT_i.BLIF N_76.BLIF N_100 -11 1 -.names N_296_i.BLIF N_85_i.BLIF pos_clk_cpu_est_11_0_1_3__n -11 1 -.names BGACK_030_INT_i.BLIF N_76_i.BLIF N_99 -11 1 -.names N_91_i.BLIF RW_000_i.BLIF N_260_0_1 -11 1 -.names N_78.BLIF sm_amiga_i_2__n.BLIF N_93 -11 1 -.names N_307_i.BLIF RST_c.BLIF N_261_i_1 -11 1 -.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n +.names N_252_0.BLIF N_252 0 1 -.names pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_269_i.BLIF N_262_i_1 -11 1 -.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF \ -pos_clk_un9_clk_000_n_sync_n -11 1 -.names pos_clk_CYCLE_DMA_5_0_i_x2.BLIF N_269_i.BLIF N_263_i_1 -11 1 -.names N_340_4.BLIF N_340_3.BLIF N_340 -11 1 .names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n 11 1 -.names BERR_i.BLIF N_136_i.BLIF N_97 +.names BGACK_000_c.BLIF CLK_000_PE_i.BLIF N_97 11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n +.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n 0 1 -.names N_136_i.BLIF N_136 +.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF \ +pos_clk_un27_clk_000_ne_d0_n +11 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n +11 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 +11 1 +.names pos_clk_un24_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF \ +as_000_dma_0_un0_n +11 1 +.names pos_clk_un5_clk_000_pe_3_n.BLIF cpu_est_i_0__n.BLIF \ +pos_clk_un5_clk_000_pe_n +11 1 +.names DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un3_n 0 1 -.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n +.names pos_clk_un9_clk_000_ne_4_n.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ +pos_clk_un9_clk_000_ne_n 11 1 -.names N_81.BLIF sm_amiga_i_0__n.BLIF N_101 +.names inst_DS_000_DMA.BLIF DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un1_n 11 1 -.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +.names N_140.BLIF sm_amiga_i_3__n.BLIF N_150 11 1 -.names N_81_0.BLIF N_81 -0 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n -0 1 -.names N_268.BLIF SM_AMIGA_0_.BLIF N_116 +.names pos_clk_ds_000_dma_4_n.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n 11 1 -.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n -11 1 -.names N_96_3.BLIF sm_amiga_i_3__n.BLIF N_96 -11 1 -.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 -.names BGACK_000_c.BLIF CLK_000_PE_i.BLIF N_113 -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n -0 1 -.names N_275_0.BLIF N_275 -0 1 -.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n -11 1 -.names N_273_i.BLIF N_273 -0 1 -.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names N_272.BLIF cpu_est_i_0__n.BLIF N_88 -11 1 -.names N_113.BLIF bgack_030_int_0_un3_n -0 1 -.names N_272_i.BLIF N_272 -0 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names inst_BGACK_030_INTreg.BLIF N_113.BLIF bgack_030_int_0_un1_n -11 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_299 -11 1 -.names BGACK_000_c.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n -11 1 -.names N_270_i.BLIF cpu_est_3_reg.BLIF N_90 +.names sm_amiga_i_2__n.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_151 11 1 .names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n 0 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_311 +.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 11 1 .names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n 11 1 -.names N_272_i.BLIF cpu_est_0_.BLIF N_312 +.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 11 1 .names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n 11 1 -.names N_267_0.BLIF N_267 -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF un1_as_000_i +.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 11 1 -.names N_115.BLIF rw_000_int_0_un3_n +.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n 0 1 -.names N_264_0.BLIF N_264 +.names N_188_i.BLIF N_188 0 1 -.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n -0 1 -.names N_264.BLIF N_115.BLIF rw_000_int_0_un1_n +.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n 11 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n +.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n 0 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF \ +sm_amiga_srsts_i_0_m2_1__un0_n 11 1 -.names N_308_1.BLIF N_308_2.BLIF N_308 +.names N_190.BLIF cpu_est_3_reg.BLIF N_197 11 1 -.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n 0 1 -.names SM_AMIGA_3_.BLIF sm_amiga_srsts_i_0_m2_3__un3_n +.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 +11 1 +.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n +11 1 +.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n 0 1 -.names N_309_1.BLIF N_309_2.BLIF N_309 +.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n 11 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF sm_amiga_srsts_i_0_m2_3__un1_n +.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 11 1 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_ +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n +0 1 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 +11 1 +.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n +11 1 +.names N_189.BLIF cpu_est_i_0__n.BLIF N_191 +11 1 +.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n +11 1 +.names N_220.BLIF CYCLE_DMA_1_.BLIF G_161 01 1 10 1 11 0 00 0 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF G_137 +.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF G_159 01 1 10 1 11 0 00 0 -.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF G_149 -01 1 -10 1 -11 0 -00 0 -.names N_213.BLIF RST_DLY_6_.BLIF G_147 -01 1 -10 1 -11 0 -00 0 -.names N_211.BLIF RST_DLY_5_.BLIF G_145 -01 1 -10 1 -11 0 -00 0 -.names N_209.BLIF RST_DLY_4_.BLIF G_143 -01 1 -10 1 -11 0 -00 0 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF G_141 -01 1 -10 1 -11 0 -00 0 -.names N_205.BLIF RST_DLY_2_.BLIF G_139 +.names cpu_est_0_.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_0_ 01 1 10 1 11 0 @@ -1781,22 +1805,42 @@ pos_clk_un9_clk_000_n_sync_n 10 1 11 0 00 0 -.names cpu_est_0_.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_0_x2_0_ +.names RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_7.BLIF G_149 01 1 10 1 11 0 00 0 -.names N_220.BLIF CYCLE_DMA_1_.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 +.names RST_DLY_6_.BLIF N_213.BLIF G_147 01 1 10 1 11 0 00 0 -.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2 +.names RST_DLY_5_.BLIF N_211.BLIF G_145 01 1 10 1 11 0 00 0 -.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF pos_clk_un24_bgack_030_int_i_0_x2 +.names RST_DLY_4_.BLIF N_209.BLIF G_143 +01 1 +10 1 +11 0 +00 0 +.names RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_5.BLIF G_141 +01 1 +10 1 +11 0 +00 0 +.names RST_DLY_2_.BLIF N_205.BLIF G_139 +01 1 +10 1 +11 0 +00 0 +.names RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_1.BLIF G_137 +01 1 +10 1 +11 0 +00 0 +.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_ 01 1 10 1 11 0 @@ -1843,10 +1887,10 @@ pos_clk_un9_clk_000_n_sync_n .names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_71_i.BLIF AMIGA_BUS_ENABLE_HIGH +.names N_78.BLIF AMIGA_BUS_ENABLE_HIGH 1 1 0 0 -.names un5_ciin.BLIF CIIN +.names vcc_n_n.BLIF CIIN 1 1 0 0 .names IPL_030DFF_1_reg.BLIF IPL_030_1_ @@ -1855,15 +1899,6 @@ pos_clk_un9_clk_000_n_sync_n .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C -1 1 -0 0 .names CLK_OSZI_c.BLIF IPL_D0_2_.C 1 1 0 0 @@ -1891,7 +1926,7 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF SM_AMIGA_0_.C 1 1 0 0 -.names cpu_est_0_0_x2_0_.BLIF cpu_est_0_.D +.names cpu_est_0_0_.BLIF cpu_est_0_.D 1 1 0 0 .names CLK_OSZI_c.BLIF cpu_est_0_.C @@ -1912,22 +1947,13 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C 1 1 0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D +.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C +.names CLK_OSZI_c.BLIF IPL_D0_0_.C 1 1 0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C +.names CLK_OSZI_c.BLIF IPL_D0_1_.C 1 1 0 0 .names CLK_OSZI_c.BLIF RST_DLY_0_.C @@ -1966,24 +1992,6 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF SIZE_DMA_1_.C 1 1 0 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C -1 1 -0 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C -1 1 -0 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C -1 1 -0 0 .names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D 1 1 0 0 @@ -1996,7 +2004,7 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C 1 1 0 0 -.names N_249_i.BLIF CLK_000_N_SYNC_0_.D +.names pos_clk_clk_000_n_sync_2_0__n.BLIF CLK_000_N_SYNC_0_.D 1 1 0 0 .names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C @@ -2050,6 +2058,24 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C 1 1 0 0 +.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C +1 1 +0 0 +.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C +1 1 +0 0 +.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C 1 1 0 0 @@ -2077,22 +2103,22 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C +.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_LDS_000_INT.C @@ -2131,6 +2157,15 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF inst_DTACK_D0.C 1 1 0 0 +.names CLK_OSZI_c.BLIF inst_CLK_030_H.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C 1 1 0 0 @@ -2146,6 +2181,15 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF inst_VMA_INTreg.C 1 1 0 0 +.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -2221,6 +2265,27 @@ pos_clk_un9_clk_000_n_sync_n .names un4_size.BLIF SIZE_0_ 1 1 0 0 +.names RW.PIN.BLIF RW_c +1 1 +0 0 +.names FC_0_.BLIF fc_c_0__n +1 1 +0 0 +.names FC_1_.BLIF fc_c_1__n +1 1 +0 0 +.names A_23_.BLIF a_23__n +1 1 +0 0 +.names A_22_.BLIF a_22__n +1 1 +0 0 +.names A_21_.BLIF a_21__n +1 1 +0 0 +.names A_20_.BLIF a_20__n +1 1 +0 0 .names A_15_.BLIF a_15__n 1 1 0 0 @@ -2299,18 +2364,6 @@ pos_clk_un9_clk_000_n_sync_n .names A_19_.BLIF a_c_19__n 1 1 0 0 -.names A_20_.BLIF a_c_20__n -1 1 -0 0 -.names A_21_.BLIF a_c_21__n -1 1 -0 0 -.names A_22_.BLIF a_c_22__n -1 1 -0 0 -.names A_23_.BLIF a_c_23__n -1 1 -0 0 .names A_24_.BLIF a_c_24__n 1 1 0 0 @@ -2380,46 +2433,37 @@ pos_clk_un9_clk_000_n_sync_n .names RST.BLIF RST_c 1 1 0 0 -.names RW.PIN.BLIF RW_c +.names un3_as_030_i.BLIF AS_030.OE 1 1 0 0 -.names FC_0_.BLIF fc_c_0__n +.names N_98.BLIF AS_000.OE 1 1 0 0 -.names FC_1_.BLIF fc_c_1__n +.names N_98.BLIF RW_000.OE 1 1 0 0 -.names N_112.BLIF AS_030.OE +.names un3_as_030_i.BLIF DS_030.OE 1 1 0 0 -.names un1_as_000_i.BLIF AS_000.OE +.names N_98.BLIF UDS_000.OE 1 1 0 0 -.names un1_as_000_i.BLIF RW_000.OE +.names N_98.BLIF LDS_000.OE 1 1 0 0 -.names N_112.BLIF DS_030.OE +.names un1_as_030_i.BLIF SIZE_0_.OE 1 1 0 0 -.names un1_as_000_i.BLIF UDS_000.OE +.names un1_as_030_i.BLIF SIZE_1_.OE 1 1 0 0 -.names un1_as_000_i.BLIF LDS_000.OE -1 1 -0 0 -.names N_89.BLIF SIZE_0_.OE -1 1 -0 0 -.names N_89.BLIF SIZE_1_.OE -1 1 -0 0 -.names N_112.BLIF A0.OE +.names un3_as_030_i.BLIF A0.OE 1 1 0 0 .names un22_berr.BLIF BERR.OE 1 1 0 0 -.names N_256.BLIF RW.OE +.names N_99.BLIF RW.OE 1 1 0 0 .names gnd_n_n.BLIF CLK_DIV_OUT.OE @@ -2428,7 +2472,7 @@ pos_clk_un9_clk_000_n_sync_n .names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE 1 1 0 0 -.names N_247.BLIF CIIN.OE +.names un8_ciin.BLIF CIIN.OE 1 1 0 0 .end diff --git a/Logic/68030_tk.bl3 b/Logic/68030_tk.bl3 index e7d2be1..b081faa 100644 --- a/Logic/68030_tk.bl3 +++ b/Logic/68030_tk.bl3 @@ -1,19 +1,19 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Wed May 13 22:59:21 2015 +#$ DATE Thu Jul 09 18:48:59 2015 #$ MODULE 68030_tk -#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ -# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 DS_030 UDS_000 LDS_000 A0 A1 \ -# nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI IPL_030_1_ \ -# CLK_DIV_OUT IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E \ -# VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -# AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ +#$ PINS 57 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ IPL_030_2_ A_25_ A_24_ \ +# IPL_2_ FC_1_ AS_030 AS_000 A_19_ RW_000 A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A0 A1 \ +# nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT \ +# CLK_EXP FPU_CS IPL_030_1_ FPU_SENSE IPL_030_0_ DSACK1 IPL_1_ DTACK IPL_0_ AVEC FC_0_ E VPA \ +# VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ +# AMIGA_BUS_ENABLE_HIGH CIIN #$ NODES 84 inst_BGACK_030_INTreg cpu_est_3_reg inst_VMA_INTreg inst_RESET_OUTreg \ -# cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW \ -# inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC \ -# inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ \ -# SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT \ -# inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 \ -# inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE N_96_i \ +# N_165 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ \ +# inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 \ +# inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA \ +# CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT \ +# inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 \ +# inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE \ # CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ \ # inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_DSACK1_INTreg \ # SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ \ @@ -29,156 +29,125 @@ .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ DTACK.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ -A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ -A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ -inst_BGACK_030_INTreg.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF \ -inst_RESET_OUTreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF inst_AS_000_INT.BLIF \ -SM_AMIGA_5_.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF inst_AS_030_D0.BLIF \ +A_26_.BLIF A_25_.BLIF A_24_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF \ +IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF inst_BGACK_030_INTreg.BLIF \ +cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF inst_RESET_OUTreg.BLIF N_165.BLIF \ +cpu_est_0_.BLIF cpu_est_1_.BLIF inst_AS_000_INT.BLIF SM_AMIGA_5_.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF inst_AS_030_D0.BLIF \ inst_nEXP_SPACE_D0reg.BLIF inst_DS_030_D0.BLIF inst_AS_030_000_SYNC.BLIF \ inst_BGACK_030_INT_D.BLIF inst_AS_000_DMA.BLIF inst_DS_000_DMA.BLIF \ CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF SIZE_DMA_0_.BLIF SIZE_DMA_1_.BLIF \ inst_VPA_D.BLIF inst_UDS_000_INT.BLIF inst_LDS_000_INT.BLIF \ inst_CLK_OUT_PRE_D.BLIF inst_DTACK_D0.BLIF inst_CLK_OUT_PRE_50.BLIF \ inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_PE.BLIF \ -CLK_000_P_SYNC_9_.BLIF inst_CLK_000_NE.BLIF N_96_i.BLIF \ -CLK_000_N_SYNC_11_.BLIF cpu_est_2_.BLIF IPL_D0_0_.BLIF IPL_D0_1_.BLIF \ -IPL_D0_2_.BLIF SM_AMIGA_3_.BLIF inst_CLK_000_NE_D0.BLIF SM_AMIGA_0_.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF inst_DSACK1_INTreg.BLIF SM_AMIGA_6_.BLIF \ -RST_DLY_0_.BLIF RST_DLY_1_.BLIF RST_DLY_2_.BLIF RST_DLY_3_.BLIF \ -RST_DLY_4_.BLIF RST_DLY_5_.BLIF RST_DLY_6_.BLIF RST_DLY_7_.BLIF \ -CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.BLIF \ -CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.BLIF \ -CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.BLIF \ -CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.BLIF \ -CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.BLIF \ -CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.BLIF \ -CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.BLIF inst_RW_000_INT.BLIF \ -inst_RW_000_DMA.BLIF inst_A0_DMA.BLIF inst_CLK_030_H.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF inst_DS_000_ENABLE.BLIF SM_AMIGA_i_7_.BLIF \ -BG_000DFFreg.BLIF IPL_030DFF_0_reg.BLIF IPL_030DFF_1_reg.BLIF \ -IPL_030DFF_2_reg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ -DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF \ -SIZE_1_.PIN.BLIF A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF +CLK_000_P_SYNC_9_.BLIF inst_CLK_000_NE.BLIF CLK_000_N_SYNC_11_.BLIF \ +cpu_est_2_.BLIF IPL_D0_0_.BLIF IPL_D0_1_.BLIF IPL_D0_2_.BLIF SM_AMIGA_3_.BLIF \ +inst_CLK_000_NE_D0.BLIF SM_AMIGA_0_.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +inst_DSACK1_INTreg.BLIF SM_AMIGA_6_.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ +RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ +RST_DLY_6_.BLIF RST_DLY_7_.BLIF CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.BLIF \ +CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.BLIF \ +CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.BLIF \ +CLK_000_P_SYNC_8_.BLIF CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.BLIF \ +CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.BLIF \ +CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.BLIF \ +CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.BLIF \ +inst_RW_000_INT.BLIF inst_RW_000_DMA.BLIF inst_A0_DMA.BLIF inst_CLK_030_H.BLIF \ +SM_AMIGA_1_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF inst_DS_000_ENABLE.BLIF \ +SM_AMIGA_i_7_.BLIF BG_000DFFreg.BLIF IPL_030DFF_0_reg.BLIF \ +IPL_030DFF_1_reg.BLIF IPL_030DFF_2_reg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ +RW_000.PIN.BLIF DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ +SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E \ VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D \ -IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ -IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C \ -SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.C \ -SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D \ -SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D \ -cpu_est_2_.C cpu_est_3_reg.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C \ -IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C \ -CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D \ -CLK_000_N_SYNC_11_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C \ -RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C \ -RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ -CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ -SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ -CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C \ -CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D \ -CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ -CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ -CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D \ -CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ -CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ -CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C inst_CLK_030_H.C inst_RESET_OUTreg.D \ -inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ -inst_UDS_000_INT.D inst_UDS_000_INT.C inst_RW_000_DMA.D inst_RW_000_DMA.C \ -inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ -inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_000_INT.D \ -inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_A0_DMA.D \ -inst_A0_DMA.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D \ -inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C inst_AS_030_D0.D \ -inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D \ -inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C inst_BGACK_030_INTreg.D \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_D0_2_.D IPL_D0_2_.C \ +SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ +SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.D \ +SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C \ +cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C \ +cpu_est_3_reg.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D \ +IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D \ +IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D \ +RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.C \ +RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D \ +CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C \ +SIZE_DMA_1_.D SIZE_DMA_1_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C \ +CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D \ +CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C \ +CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D \ +CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C \ +CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D \ +CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ +CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D \ +CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ +CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.D \ +CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C \ +CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D \ +CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C \ +CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ +CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C inst_LDS_000_INT.D \ +inst_LDS_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ +inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ +inst_A0_DMA.D inst_A0_DMA.C inst_AS_000_DMA.D inst_AS_000_DMA.C \ +inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C \ +inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D \ +inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D \ +inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUTreg.D inst_RESET_OUTreg.C \ +inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_BGACK_030_INTreg.D \ inst_BGACK_030_INTreg.C BG_000DFFreg.D BG_000DFFreg.C \ inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ -inst_VMA_INTreg.D inst_VMA_INTreg.C inst_BGACK_030_INT_D.D \ -inst_BGACK_030_INT_D.C inst_CLK_000_PE.D inst_CLK_000_PE.C inst_CLK_000_NE.D \ -inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ -inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C \ -inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D inst_CLK_000_D0.C \ -SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_96_i \ -AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \ -SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE \ -cpu_est_3_reg.D.X1 cpu_est_3_reg.D.X2 SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 \ -RST_DLY_4_.D.X1 RST_DLY_4_.D.X2 RST_DLY_5_.D.X1 RST_DLY_5_.D.X2 \ -RST_DLY_6_.D.X1 RST_DLY_6_.D.X2 inst_CLK_030_H.D.X1 inst_CLK_030_H.D.X2 -.names IPL_2_.BLIF RST.BLIF IPL_1_.BLIF IPL_0_.BLIF IPL_D0_0_.BLIF \ -IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_2_reg.BLIF IPL_030DFF_2_reg.D -1-00001- 1 -1-01101- 1 -1-10011- 1 -1-11111- 1 -1------1 1 -------11 1 ---1--0-1 1 ---0--1-1 1 ----10--1 1 ----01--1 1 --0------ 1 -0100000- 0 -0101100- 0 -0110010- 0 -0111110- 0 --11--0-0 0 --10--1-0 0 --1-10--0 0 --1-01--0 0 --1----00 0 -01-----0 0 -.names RST.BLIF IPL_0_.BLIF IPL_D0_0_.D -0- 1 --1 1 -10 0 -.names RST.BLIF IPL_1_.BLIF IPL_D0_1_.D -0- 1 --1 1 -10 0 +inst_VMA_INTreg.D inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C \ +inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ +inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D \ +inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D \ +inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D \ +inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ +inst_CLK_000_D0.D inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 RW_000 DS_030 \ +UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_165 AS_030.OE AS_000.OE RW_000.OE \ +DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE \ +CLK_DIV_OUT.OE DSACK1.OE CIIN.OE cpu_est_3_reg.D.X1 cpu_est_3_reg.D.X2 \ +SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 RST_DLY_4_.D.X1 RST_DLY_4_.D.X2 \ +RST_DLY_5_.D.X1 RST_DLY_5_.D.X2 RST_DLY_6_.D.X1 RST_DLY_6_.D.X2 \ +inst_CLK_030_H.D.X1 inst_CLK_030_H.D.X2 .names IPL_2_.BLIF RST.BLIF IPL_D0_2_.D 1- 1 -0 1 01 0 -.names RST.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF cpu_est_0_.BLIF \ -cpu_est_1_.BLIF SM_AMIGA_5_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF \ -inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF N_96_i.BLIF cpu_est_2_.BLIF \ +.names RST.BLIF cpu_est_3_reg.BLIF inst_VMA_INTreg.BLIF N_165.BLIF \ +cpu_est_0_.BLIF cpu_est_1_.BLIF SM_AMIGA_5_.BLIF inst_VPA_D.BLIF \ +inst_DTACK_D0.BLIF inst_CLK_000_PE.BLIF inst_CLK_000_NE.BLIF cpu_est_2_.BLIF \ SM_AMIGA_3_.BLIF inst_CLK_000_NE_D0.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_6_.BLIF \ SM_AMIGA_1_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF BERR.PIN.BLIF \ SM_AMIGA_i_7_.D -1100000---11-100000- 1 -11000-0--111-100-00- 1 -1100000-1-11-10-0--- 1 -11000-0-1111-10----- 1 -1----010--1--100000- 1 -1-----10-11--100-00- 1 -1----0----1-0-00000- 1 -1----0101-1--10-0--- 1 -1--------11-0-00-00- 1 -1-----10111--10----- 1 -1----0--1-1-0-0-0--- 1 -1-------111-0-0----- 1 -1-------0-1--------1 1 -1---------1---0----1 1 -------11----1------0 0 ---------1-----1----- 0 -------0----01------0 0 -----1-0-----1------0 0 ----1--0-----1------0 0 ---1---0-----1------0 0 --0----0-----1------0 0 ----------0------1--0 0 ------1---0---------0 0 ---------0---------10 0 ---------0--------1-0 0 ---------0------1---0 0 +11000000---1-100000- 1 +110000-0--11-100-00- 1 +11000000-1-1-10-0--- 1 +110000-0-111-10----- 1 +1--0--010----100000- 1 +1--0---10-1--100-00- 1 +1--0--0-----0-00000- 1 +1--0--0101---10-0--- 1 +1--0------1-0-00-00- 1 +1--0---1011--10----- 1 +1--0--0--1--0-0-0--- 1 +1--0-----11-0-0----- 1 +1--0-----0---------1 1 +1--0----------0----1 1 +-------11---1------0 0 +---------1----1----- 0 +-------0---01------0 0 +-----1-0----1------0 0 +----1--0----1------0 0 +--1----0----1------0 0 +-0-----0----1------0 0 +----------0-----1--0 0 +------1---0--------0 0 +---------0--------10 0 +---------0-------1-0 0 +---------0-----1---0 0 ------------10-----0 0 -----------0--------- 0 +---1---------------- 0 0------------------- 0 --------------1----0 0 .names RST.BLIF inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF \ @@ -322,6 +291,37 @@ IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_1_reg.BLIF IPL_030DFF_1_reg.D -1-01--0 0 -1---0-0 0 -10----0 0 +.names IPL_2_.BLIF RST.BLIF IPL_1_.BLIF IPL_0_.BLIF IPL_D0_0_.BLIF \ +IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_2_reg.BLIF IPL_030DFF_2_reg.D +1-00001- 1 +1-01101- 1 +1-10011- 1 +1-11111- 1 +1------1 1 +------11 1 +--1--0-1 1 +--0--1-1 1 +---10--1 1 +---01--1 1 +-0------ 1 +0100000- 0 +0101100- 0 +0110010- 0 +0111110- 0 +-11--0-0 0 +-10--1-0 0 +-1-10--0 0 +-1-01--0 0 +-1----00 0 +01-----0 0 +.names RST.BLIF IPL_0_.BLIF IPL_D0_0_.D +0- 1 +-1 1 +10 0 +.names RST.BLIF IPL_1_.BLIF IPL_D0_1_.D +0- 1 +-1 1 +10 0 .names RST.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF \ RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF RST_DLY_5_.BLIF \ RST_DLY_6_.BLIF RST_DLY_7_.BLIF RST_DLY_0_.D @@ -444,60 +444,6 @@ SIZE_DMA_1_.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_DMA_1_.D 01 1 1- 0 -0 0 -.names RST.BLIF inst_RESET_OUTreg.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF \ -RST_DLY_1_.BLIF RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF \ -RST_DLY_5_.BLIF RST_DLY_6_.BLIF RST_DLY_7_.BLIF inst_RESET_OUTreg.D -1-111111111 1 -11--------- 1 -0---------- 0 --0-------0- 0 --0------0-- 0 --0-----0--- 0 --0----0---- 0 --0---0----- 0 --0--0------ 0 --0-0------- 0 --00-------- 0 --0--------0 0 -.names RST.BLIF SM_AMIGA_5_.BLIF inst_AS_030_D0.BLIF SM_AMIGA_3_.BLIF \ -inst_DS_000_ENABLE.BLIF BERR.PIN.BLIF RW.PIN.BLIF inst_DS_000_ENABLE.D -1-0-11- 1 -10-1--- 1 -11----1 1 --0-0-0- 0 --0-00-- 0 --010--- 0 --1---00 0 --1--0-0 0 --11---0 0 -0------ 0 -.names RST.BLIF inst_DS_030_D0.BLIF inst_UDS_000_INT.BLIF SM_AMIGA_6_.BLIF \ -A0.PIN.BLIF inst_UDS_000_INT.D --0-11 1 ---10- 1 --11-- 1 -0---- 1 -10-10 0 -1-00- 0 -110-- 0 -.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -inst_RW_000_DMA.BLIF RW_000.PIN.BLIF inst_RW_000_DMA.D --1-1- 1 --10-- 1 -0---- 1 --0--1 1 -1110- 0 -10--0 0 -.names RST.BLIF SM_AMIGA_5_.BLIF inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF \ -inst_RW_000_INT.BLIF SM_AMIGA_i_7_.BLIF RW.PIN.BLIF inst_RW_000_INT.D --0--1-- 1 --011--- 1 --0---0- 1 -0------ 1 --1----1 1 -10-001- 0 -100-01- 0 -11----0 0 .names RST.BLIF inst_DS_030_D0.BLIF inst_LDS_000_INT.BLIF SM_AMIGA_6_.BLIF \ SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF inst_LDS_000_INT.D -0-1100 1 @@ -628,6 +574,33 @@ inst_DS_000_DMA.D 1- 1 -0 1 01 0 +.names RST.BLIF inst_RESET_OUTreg.BLIF inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF \ +RST_DLY_1_.BLIF RST_DLY_2_.BLIF RST_DLY_3_.BLIF RST_DLY_4_.BLIF \ +RST_DLY_5_.BLIF RST_DLY_6_.BLIF RST_DLY_7_.BLIF inst_RESET_OUTreg.D +1-111111111 1 +11--------- 1 +0---------- 0 +-0-------0- 0 +-0------0-- 0 +-0-----0--- 0 +-0----0---- 0 +-0---0----- 0 +-0--0------ 0 +-0-0------- 0 +-00-------- 0 +-0--------0 0 +.names RST.BLIF SM_AMIGA_5_.BLIF inst_AS_030_D0.BLIF SM_AMIGA_3_.BLIF \ +inst_DS_000_ENABLE.BLIF BERR.PIN.BLIF RW.PIN.BLIF inst_DS_000_ENABLE.D +1-0-11- 1 +10-1--- 1 +11----1 1 +-0-0-0- 0 +-0-00-- 0 +-010--- 0 +-1---00 0 +-1--0-0 0 +-11---0 0 +0------ 0 .names BGACK_000.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_PE.BLIF \ inst_BGACK_030_INTreg.D 1-1- 1 @@ -678,6 +651,33 @@ cpu_est_2_.BLIF inst_VMA_INTreg.D 1-0-----0 0 1-0-0---- 0 1-01----- 0 +.names RST.BLIF inst_DS_030_D0.BLIF inst_UDS_000_INT.BLIF SM_AMIGA_6_.BLIF \ +A0.PIN.BLIF inst_UDS_000_INT.D +-0-11 1 +--10- 1 +-11-- 1 +0---- 1 +10-10 0 +1-00- 0 +110-- 0 +.names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ +inst_RW_000_DMA.BLIF RW_000.PIN.BLIF inst_RW_000_DMA.D +-1-1- 1 +-10-- 1 +0---- 1 +-0--1 1 +1110- 0 +10--0 0 +.names RST.BLIF SM_AMIGA_5_.BLIF inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF \ +inst_RW_000_INT.BLIF SM_AMIGA_i_7_.BLIF RW.PIN.BLIF inst_RW_000_INT.D +-0--1-- 1 +-011--- 1 +-0---0- 1 +0------ 1 +-1----1 1 +10-001- 0 +100-01- 0 +11----0 0 .names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D 0- 1 -1 1 @@ -687,19 +687,19 @@ cpu_est_2_.BLIF inst_VMA_INTreg.D 1 0 .names SM_AMIGA_5_.BLIF inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_000_SYNC.BLIF \ inst_CLK_000_D1.BLIF inst_CLK_000_D0.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_0_.BLIF \ -SM_AMIGA_6_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF N_96_i --1010------ 1 ----------1- 1 ---------1-- 1 --------1--- 1 -------1---- 1 ------1----- 1 -1---------- 1 -----------1 1 -0---1000000 0 -0--0-000000 0 -0-1--000000 0 -00---000000 0 +SM_AMIGA_6_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_2_.BLIF N_165 +0---1000000 1 +0--0-000000 1 +0-1--000000 1 +00---000000 1 +-1010------ 0 +---------1- 0 +--------1-- 0 +-------1--- 0 +------1---- 0 +-----1----- 0 +1---------- 0 +----------1 0 .names IPL_030DFF_2_reg.BLIF IPL_030_2_ 1 1 0 0 @@ -761,38 +761,14 @@ SM_AMIGA_i_7_.BLIF AMIGA_BUS_ENABLE_HIGH 1-0 1 00- 0 1-1 0 -.names A_31_.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF \ -A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ -inst_AS_030_D0.BLIF CIIN -0000000011110 1 ------------0- 0 -----------0-- 0 ----------0--- 0 ---------0---- 0 --------1----- 0 -------1------ 0 ------1------- 0 -----1-------- 0 ----1--------- 0 ---1---------- 0 --1----------- 0 -1------------ 0 -------------1 0 +.names CIIN + 1 .names IPL_030DFF_1_reg.BLIF IPL_030_1_ 1 1 0 0 .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 -.names CLK_OSZI.BLIF IPL_030DFF_2_reg.C -1 1 -0 0 -.names CLK_OSZI.BLIF IPL_D0_0_.C -1 1 -0 0 -.names CLK_OSZI.BLIF IPL_D0_1_.C -1 1 -0 0 .names CLK_OSZI.BLIF IPL_D0_2_.C 1 1 0 0 @@ -843,22 +819,13 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF IPL_030DFF_1_reg.C 1 1 0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D +.names CLK_OSZI.BLIF IPL_030DFF_2_reg.C 1 1 0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_9_.C +.names CLK_OSZI.BLIF IPL_D0_0_.C 1 1 0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_11_.C +.names CLK_OSZI.BLIF IPL_D0_1_.C 1 1 0 0 .names CLK_OSZI.BLIF RST_DLY_0_.C @@ -897,24 +864,6 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF SIZE_DMA_1_.C 1 1 0 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_5_.C -1 1 -0 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_6_.C -1 1 -0 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_7_.C -1 1 -0 0 .names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D 1 1 0 0 @@ -982,6 +931,24 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF CLK_000_N_SYNC_8_.C 1 1 0 0 +.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_N_SYNC_9_.C +1 1 +0 0 +.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_N_SYNC_10_.C +1 1 +0 0 +.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_N_SYNC_11_.C +1 1 +0 0 .names CLK_OSZI.BLIF CLK_000_P_SYNC_0_.C 1 1 0 0 @@ -1009,22 +976,22 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF CLK_000_P_SYNC_4_.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_CLK_030_H.C +.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D 1 1 0 0 -.names CLK_OSZI.BLIF inst_RESET_OUTreg.C +.names CLK_OSZI.BLIF CLK_000_P_SYNC_5_.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_DS_000_ENABLE.C +.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D 1 1 0 0 -.names CLK_OSZI.BLIF inst_UDS_000_INT.C +.names CLK_OSZI.BLIF CLK_000_P_SYNC_6_.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_RW_000_DMA.C +.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D 1 1 0 0 -.names CLK_OSZI.BLIF inst_RW_000_INT.C +.names CLK_OSZI.BLIF CLK_000_P_SYNC_7_.C 1 1 0 0 .names CLK_OSZI.BLIF inst_LDS_000_INT.C @@ -1063,6 +1030,15 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF inst_DTACK_D0.C 1 1 0 0 +.names CLK_OSZI.BLIF inst_CLK_030_H.C +1 1 +0 0 +.names CLK_OSZI.BLIF inst_RESET_OUTreg.C +1 1 +0 0 +.names CLK_OSZI.BLIF inst_DS_000_ENABLE.C +1 1 +0 0 .names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C 1 1 0 0 @@ -1078,6 +1054,15 @@ inst_AS_030_D0.BLIF CIIN .names CLK_OSZI.BLIF inst_VMA_INTreg.C 1 1 0 0 +.names CLK_OSZI.BLIF inst_UDS_000_INT.C +1 1 +0 0 +.names CLK_OSZI.BLIF inst_RW_000_DMA.C +1 1 +0 0 +.names CLK_OSZI.BLIF inst_RW_000_INT.C +1 1 +0 0 .names CLK_OSZI.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -1225,23 +1210,18 @@ A_17_.BLIF A_16_.BLIF FC_0_.BLIF AS_030.PIN.BLIF BERR.OE 1 1 0 0 .names A_31_.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF \ -A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ -inst_AS_030_D0.BLIF inst_nEXP_SPACE_D0reg.BLIF CIIN.OE -0000000011110- 1 --------------1 1 -------------10 0 ------------0-0 0 -----------0--0 0 ----------0---0 0 ---------0----0 0 --------1-----0 0 -------1------0 0 ------1-------0 0 -----1--------0 0 ----1---------0 0 ---1----------0 0 --1-----------0 0 -1------------0 0 +A_25_.BLIF A_24_.BLIF inst_AS_030_D0.BLIF inst_nEXP_SPACE_D0reg.BLIF CIIN.OE +0000000001 1 +--------1- 0 +-------1-- 0 +------1--- 0 +-----1---- 0 +----1----- 0 +---1------ 0 +--1------- 0 +-1-------- 0 +1--------- 0 +---------0 0 .names cpu_est_3_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ inst_CLK_000_NE_D0.BLIF cpu_est_3_reg.D.X1 11111 1 diff --git a/Logic/68030_tk.crf b/Logic/68030_tk.crf index 91dfbd7..71c2ab3 100644 --- a/Logic/68030_tk.crf +++ b/Logic/68030_tk.crf @@ -1,7 +1,7 @@ // Signal Name Cross Reference File // ispLEVER Classic 1.8.00.04.29.14 -// Design '68030_tk' created Wed May 13 22:59:21 2015 +// Design '68030_tk' created Thu Jul 09 18:48:59 2015 // LEGEND: '>' Functional Block Port Separator diff --git a/Logic/68030_tk.eq3 b/Logic/68030_tk.eq3 index 3bd7bcd..62245f1 100644 --- a/Logic/68030_tk.eq3 +++ b/Logic/68030_tk.eq3 @@ -2,11 +2,13 @@ Copyright(C), 1992-2014, Lattice Semiconductor Corp. All Rights Reserved. -Design bus68030 created Wed May 13 22:59:21 2015 +Design bus68030 created Thu Jul 09 18:48:59 2015 P-Terms Fan-in Fan-out Type Name (attributes) --------- ------ ------- ---- ----------------- + 1 2 1 Pin SIZE_0_ + 1 2 1 Pin SIZE_0_.OE 1 2 1 Pin SIZE_1_ 1 2 1 Pin SIZE_1_.OE 1 2 1 Pin AS_030- @@ -30,10 +32,8 @@ Design bus68030 created Wed May 13 22:59:21 2015 2 4 1 Pin AMIGA_BUS_DATA_DIR 1 2 1 Pin AMIGA_BUS_ENABLE_LOW- 2 3 1 Pin AMIGA_BUS_ENABLE_HIGH - 1 13 1 Pin CIIN - 1 1 1 Pin CIIN.OE - 1 2 1 Pin SIZE_0_ - 1 2 1 Pin SIZE_0_.OE + 1 0 1 Pin CIIN + 1 10 1 Pin CIIN.OE 10 8 1 Pin IPL_030_2_.D- 1 1 1 Pin IPL_030_2_.C 1 2 1 Pin RW_000.OE @@ -62,6 +62,7 @@ Design bus68030 created Wed May 13 22:59:21 2015 1 2 1 Pin RW.OE 2 5 1 Pin RW.D- 1 1 1 Pin RW.C + 4 11 1 Node N_165 2 2 1 Node cpu_est_0_.D 1 1 1 Node cpu_est_0_.C 5 5 1 Node cpu_est_1_.D- @@ -116,7 +117,6 @@ Design bus68030 created Wed May 13 22:59:21 2015 1 1 1 Node CLK_000_P_SYNC_9_.C 1 1 1 Node inst_CLK_000_NE.D 1 1 1 Node inst_CLK_000_NE.C - 4 11 1 Node N_96_i- 1 1 1 Node CLK_000_N_SYNC_11_.D 1 1 1 Node CLK_000_N_SYNC_11_.C 4 5 1 Node cpu_est_2_.D @@ -206,16 +206,19 @@ Design bus68030 created Wed May 13 22:59:21 2015 1 1 1 Node inst_DS_000_ENABLE.C 14 20 1 Node SM_AMIGA_i_7_.D 1 1 1 Node SM_AMIGA_i_7_.C - 2 14 1 Node CIIN_0 ========= - 348 P-Term Total: 348 - Total Pins: 61 - Total Nodes: 73 + 346 P-Term Total: 346 + Total Pins: 57 + Total Nodes: 72 Average P-Term/Output: 2 Equations: +SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); + +SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); + SIZE_1_ = (!SIZE_DMA_0_.Q & SIZE_DMA_1_.Q); SIZE_1_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); @@ -264,13 +267,9 @@ AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW_000.PIN AMIGA_BUS_ENABLE_HIGH = (!BGACK_030.Q & inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q # BGACK_030.Q & !SM_AMIGA_i_7_.Q); -CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); +CIIN = (1); -CIIN.OE = (CIIN_0); - -SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); - -SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); +CIIN.OE = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q); !IPL_030_2_.D = (!IPL_2_ & RST & !IPL_030_2_.Q # RST & !IPL_D0_2_.Q & !IPL_030_2_.Q @@ -372,6 +371,11 @@ RW.OE = (!BGACK_030.Q & RESET.Q); RW.C = (CLK_OSZI); +N_165 = (!SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); + cpu_est_0_.D = (!cpu_est_0_.Q & inst_CLK_000_NE_D0.Q # cpu_est_0_.Q & !inst_CLK_000_NE_D0.Q); @@ -521,11 +525,6 @@ inst_CLK_000_NE.D = (CLK_000_N_SYNC_11_.Q); inst_CLK_000_NE.C = (CLK_OSZI); -!N_96_i = (!SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); - CLK_000_N_SYNC_11_.D = (CLK_000_N_SYNC_10_.Q); CLK_000_N_SYNC_11_.C = (CLK_OSZI); @@ -748,26 +747,23 @@ inst_DS_000_ENABLE.D = (RST & !SM_AMIGA_5_.Q & SM_AMIGA_3_.Q inst_DS_000_ENABLE.C = (CLK_OSZI); -SM_AMIGA_i_7_.D = (RST & !inst_CLK_000_PE.Q & N_96_i & BERR.PIN - # RST & N_96_i & !SM_AMIGA_0_.Q & BERR.PIN - # RST & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & N_96_i & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q - # RST & !SM_AMIGA_5_.Q & inst_CLK_000_PE.Q & N_96_i & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & N_96_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & inst_CLK_000_NE.Q & N_96_i & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & N_96_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & !SM_AMIGA_5_.Q & N_96_i & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE.Q & N_96_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & N_96_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & N_96_i & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & N_96_i & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & N_96_i & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & N_96_i & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); +SM_AMIGA_i_7_.D = (RST & !N_165 & !inst_CLK_000_PE.Q & BERR.PIN + # RST & !N_165 & !SM_AMIGA_0_.Q & BERR.PIN + # RST & !N_165 & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q + # RST & !N_165 & !SM_AMIGA_5_.Q & inst_CLK_000_PE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q + # RST & !N_165 & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q + # RST & !N_165 & inst_CLK_000_NE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # RST & !N_165 & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q + # RST & !N_165 & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # RST & !N_165 & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # RST & !N_165 & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q + # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q + # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); SM_AMIGA_i_7_.C = (CLK_OSZI); -CIIN_0 = (inst_nEXP_SPACE_D0reg.Q - # !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); - Reverse-Polarity Equations: diff --git a/Logic/68030_tk.fti b/Logic/68030_tk.fti index 04969e8..d30c4e7 100644 --- a/Logic/68030_tk.fti +++ b/Logic/68030_tk.fti @@ -16,10 +16,6 @@ DATA LOCATION A_16_:A_*_96 // INP DATA LOCATION A_17_:F_*_59 // INP DATA LOCATION A_18_:A_*_95 // INP DATA LOCATION A_19_:A_*_97 // INP -DATA LOCATION A_20_:A_*_93 // INP -DATA LOCATION A_21_:A_*_94 // INP -DATA LOCATION A_22_:H_*_84 // INP -DATA LOCATION A_23_:H_*_85 // INP DATA LOCATION A_24_:C_*_19 // INP DATA LOCATION A_25_:C_*_18 // INP DATA LOCATION A_26_:C_*_17 // INP @@ -34,36 +30,35 @@ DATA LOCATION BGACK_030:H_4_83 // IO {RN_BGACK_030} DATA LOCATION BG_000:D_1_29 // IO {RN_BG_000} DATA LOCATION BG_030:C_*_21 // INP DATA LOCATION CIIN:E_12_47 // OUT -DATA LOCATION CIIN_0:E_5 // NOD DATA LOCATION CLK_000:*_*_11 // INP -DATA LOCATION CLK_000_N_SYNC_0_:D_11 // NOD +DATA LOCATION CLK_000_N_SYNC_0_:D_7 // NOD DATA LOCATION CLK_000_N_SYNC_10_:H_2 // NOD DATA LOCATION CLK_000_N_SYNC_11_:H_6 // NOD -DATA LOCATION CLK_000_N_SYNC_1_:B_3 // NOD -DATA LOCATION CLK_000_N_SYNC_2_:D_7 // NOD -DATA LOCATION CLK_000_N_SYNC_3_:D_3 // NOD -DATA LOCATION CLK_000_N_SYNC_4_:A_14 // NOD -DATA LOCATION CLK_000_N_SYNC_5_:C_13 // NOD +DATA LOCATION CLK_000_N_SYNC_1_:A_15 // NOD +DATA LOCATION CLK_000_N_SYNC_2_:A_11 // NOD +DATA LOCATION CLK_000_N_SYNC_3_:A_7 // NOD +DATA LOCATION CLK_000_N_SYNC_4_:D_3 // NOD +DATA LOCATION CLK_000_N_SYNC_5_:A_3 // NOD DATA LOCATION CLK_000_N_SYNC_6_:D_14 // NOD -DATA LOCATION CLK_000_N_SYNC_7_:D_10 // NOD -DATA LOCATION CLK_000_N_SYNC_8_:A_10 // NOD -DATA LOCATION CLK_000_N_SYNC_9_:G_6 // NOD -DATA LOCATION CLK_000_P_SYNC_0_:D_15 // NOD -DATA LOCATION CLK_000_P_SYNC_1_:G_7 // NOD -DATA LOCATION CLK_000_P_SYNC_2_:G_3 // NOD -DATA LOCATION CLK_000_P_SYNC_3_:B_11 // NOD -DATA LOCATION CLK_000_P_SYNC_4_:B_7 // NOD -DATA LOCATION CLK_000_P_SYNC_5_:G_14 // NOD -DATA LOCATION CLK_000_P_SYNC_6_:G_10 // NOD -DATA LOCATION CLK_000_P_SYNC_7_:A_7 // NOD -DATA LOCATION CLK_000_P_SYNC_8_:A_3 // NOD -DATA LOCATION CLK_000_P_SYNC_9_:A_11 // NOD +DATA LOCATION CLK_000_N_SYNC_7_:E_8 // NOD +DATA LOCATION CLK_000_N_SYNC_8_:A_14 // NOD +DATA LOCATION CLK_000_N_SYNC_9_:G_3 // NOD +DATA LOCATION CLK_000_P_SYNC_0_:D_11 // NOD +DATA LOCATION CLK_000_P_SYNC_1_:G_11 // NOD +DATA LOCATION CLK_000_P_SYNC_2_:B_3 // NOD +DATA LOCATION CLK_000_P_SYNC_3_:C_9 // NOD +DATA LOCATION CLK_000_P_SYNC_4_:B_4 // NOD +DATA LOCATION CLK_000_P_SYNC_5_:E_9 // NOD +DATA LOCATION CLK_000_P_SYNC_6_:E_5 // NOD +DATA LOCATION CLK_000_P_SYNC_7_:G_7 // NOD +DATA LOCATION CLK_000_P_SYNC_8_:C_5 // NOD +DATA LOCATION CLK_000_P_SYNC_9_:C_2 // NOD DATA LOCATION CLK_030:*_*_64 // INP DATA LOCATION CLK_DIV_OUT:G_1_65 // OUT DATA LOCATION CLK_EXP:B_1_10 // OUT DATA LOCATION CLK_OSZI:*_*_61 // Cin -DATA LOCATION CYCLE_DMA_0_:A_6 // NOD -DATA LOCATION CYCLE_DMA_1_:A_2 // NOD +DATA LOCATION CYCLE_DMA_0_:A_10 // NOD +DATA LOCATION CYCLE_DMA_1_:A_6 // NOD DATA LOCATION DSACK1:H_9_81 // IO {RN_DSACK1} DATA LOCATION DS_030:A_0_98 // IO DATA LOCATION DTACK:D_*_30 // INP @@ -73,80 +68,80 @@ DATA LOCATION FC_1_:F_*_58 // INP DATA LOCATION FPU_CS:H_1_78 // OUT DATA LOCATION FPU_SENSE:A_*_91 // INP DATA LOCATION IPL_030_0_:B_8_8 // IO {RN_IPL_030_0_} -DATA LOCATION IPL_030_1_:B_12_7 // IO {RN_IPL_030_1_} -DATA LOCATION IPL_030_2_:B_4_9 // IO {RN_IPL_030_2_} +DATA LOCATION IPL_030_1_:B_6_7 // IO {RN_IPL_030_1_} +DATA LOCATION IPL_030_2_:B_2_9 // IO {RN_IPL_030_2_} DATA LOCATION IPL_0_:G_*_67 // INP DATA LOCATION IPL_1_:F_*_56 // INP DATA LOCATION IPL_2_:G_*_68 // INP -DATA LOCATION IPL_D0_0_:B_15 // NOD -DATA LOCATION IPL_D0_1_:G_15 // NOD -DATA LOCATION IPL_D0_2_:G_11 // NOD +DATA LOCATION IPL_D0_0_:B_7 // NOD +DATA LOCATION IPL_D0_1_:C_13 // NOD +DATA LOCATION IPL_D0_2_:B_13 // NOD DATA LOCATION LDS_000:D_12_31 // IO -DATA LOCATION N_96_i:F_6 // NOD -DATA LOCATION RESET:B_0_3 // IO {RN_RESET} +DATA LOCATION N_165:F_6 // NOD +DATA LOCATION RESET:B_14_3 // IO {RN_RESET} DATA LOCATION RN_A0:G_8 // NOD {A0} DATA LOCATION RN_BGACK_030:H_4 // NOD {BGACK_030} DATA LOCATION RN_BG_000:D_1 // NOD {BG_000} DATA LOCATION RN_DSACK1:H_9 // NOD {DSACK1} DATA LOCATION RN_E:G_4 // NOD {E} DATA LOCATION RN_IPL_030_0_:B_8 // NOD {IPL_030_0_} -DATA LOCATION RN_IPL_030_1_:B_12 // NOD {IPL_030_1_} -DATA LOCATION RN_IPL_030_2_:B_4 // NOD {IPL_030_2_} -DATA LOCATION RN_RESET:B_0 // NOD {RESET} +DATA LOCATION RN_IPL_030_1_:B_6 // NOD {IPL_030_1_} +DATA LOCATION RN_IPL_030_2_:B_2 // NOD {IPL_030_2_} +DATA LOCATION RN_RESET:B_14 // NOD {RESET} DATA LOCATION RN_RW:G_0 // NOD {RW} DATA LOCATION RN_RW_000:H_0 // NOD {RW_000} DATA LOCATION RN_VMA:D_0 // NOD {VMA} DATA LOCATION RST:*_*_86 // INP -DATA LOCATION RST_DLY_0_:B_6 // NOD +DATA LOCATION RST_DLY_0_:B_10 // NOD DATA LOCATION RST_DLY_1_:A_1 // NOD -DATA LOCATION RST_DLY_2_:B_9 // NOD -DATA LOCATION RST_DLY_3_:A_12 // NOD -DATA LOCATION RST_DLY_4_:A_5 // NOD -DATA LOCATION RST_DLY_5_:B_13 // NOD -DATA LOCATION RST_DLY_6_:B_2 // NOD -DATA LOCATION RST_DLY_7_:B_10 // NOD +DATA LOCATION RST_DLY_2_:B_5 // NOD +DATA LOCATION RST_DLY_3_:B_0 // NOD +DATA LOCATION RST_DLY_4_:B_12 // NOD +DATA LOCATION RST_DLY_5_:A_12 // NOD +DATA LOCATION RST_DLY_6_:B_11 // NOD +DATA LOCATION RST_DLY_7_:A_5 // NOD DATA LOCATION RW:G_0_71 // IO {RN_RW} DATA LOCATION RW_000:H_0_80 // IO {RN_RW_000} DATA LOCATION SIZE_0_:G_12_70 // IO DATA LOCATION SIZE_1_:H_12_79 // IO -DATA LOCATION SIZE_DMA_0_:G_13 // NOD -DATA LOCATION SIZE_DMA_1_:G_9 // NOD -DATA LOCATION SM_AMIGA_0_:B_5 // NOD -DATA LOCATION SM_AMIGA_1_:F_8 // NOD +DATA LOCATION SIZE_DMA_0_:G_6 // NOD +DATA LOCATION SIZE_DMA_1_:G_2 // NOD +DATA LOCATION SM_AMIGA_0_:F_9 // NOD +DATA LOCATION SM_AMIGA_1_:F_1 // NOD DATA LOCATION SM_AMIGA_2_:F_2 // NOD -DATA LOCATION SM_AMIGA_3_:F_13 // NOD +DATA LOCATION SM_AMIGA_3_:F_12 // NOD DATA LOCATION SM_AMIGA_4_:F_10 // NOD -DATA LOCATION SM_AMIGA_5_:F_12 // NOD -DATA LOCATION SM_AMIGA_6_:F_9 // NOD -DATA LOCATION SM_AMIGA_i_7_:F_4 // NOD +DATA LOCATION SM_AMIGA_5_:F_4 // NOD +DATA LOCATION SM_AMIGA_6_:C_4 // NOD +DATA LOCATION SM_AMIGA_i_7_:F_0 // NOD DATA LOCATION UDS_000:D_8_32 // IO DATA LOCATION VMA:D_0_35 // IO {RN_VMA} DATA LOCATION VPA:*_*_36 // INP -DATA LOCATION cpu_est_0_:F_1 // NOD -DATA LOCATION cpu_est_1_:D_9 // NOD -DATA LOCATION cpu_est_2_:D_13 // NOD -DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_HIGH:C_1 // NOD -DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_LOW:C_9 // NOD +DATA LOCATION cpu_est_0_:D_6 // NOD +DATA LOCATION cpu_est_1_:D_13 // NOD +DATA LOCATION cpu_est_2_:D_2 // NOD +DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_HIGH:G_10 // NOD +DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_LOW:G_14 // NOD DATA LOCATION inst_AS_000_DMA:A_8 // NOD -DATA LOCATION inst_AS_000_INT:C_5 // NOD -DATA LOCATION inst_AS_030_000_SYNC:C_4 // NOD +DATA LOCATION inst_AS_000_INT:C_1 // NOD +DATA LOCATION inst_AS_030_000_SYNC:C_8 // NOD DATA LOCATION inst_AS_030_D0:H_5 // NOD DATA LOCATION inst_BGACK_030_INT_D:H_13 // NOD -DATA LOCATION inst_CLK_000_D0:D_6 // NOD -DATA LOCATION inst_CLK_000_D1:G_2 // NOD -DATA LOCATION inst_CLK_000_NE:E_8 // NOD -DATA LOCATION inst_CLK_000_NE_D0:D_2 // NOD -DATA LOCATION inst_CLK_000_PE:F_0 // NOD -DATA LOCATION inst_CLK_030_H:A_13 // NOD -DATA LOCATION inst_CLK_OUT_PRE_50:E_9 // NOD -DATA LOCATION inst_CLK_OUT_PRE_D:E_13 // NOD -DATA LOCATION inst_DS_000_DMA:A_9 // NOD -DATA LOCATION inst_DS_000_ENABLE:F_5 // NOD -DATA LOCATION inst_DS_030_D0:A_15 // NOD -DATA LOCATION inst_DTACK_D0:C_2 // NOD -DATA LOCATION inst_LDS_000_INT:C_8 // NOD -DATA LOCATION inst_UDS_000_INT:C_12 // NOD -DATA LOCATION inst_VPA_D:B_14 // NOD +DATA LOCATION inst_CLK_000_D0:G_13 // NOD +DATA LOCATION inst_CLK_000_D1:F_8 // NOD +DATA LOCATION inst_CLK_000_NE:G_9 // NOD +DATA LOCATION inst_CLK_000_NE_D0:B_15 // NOD +DATA LOCATION inst_CLK_000_PE:D_9 // NOD +DATA LOCATION inst_CLK_030_H:A_2 // NOD +DATA LOCATION inst_CLK_OUT_PRE_50:A_9 // NOD +DATA LOCATION inst_CLK_OUT_PRE_D:G_15 // NOD +DATA LOCATION inst_DS_000_DMA:A_13 // NOD +DATA LOCATION inst_DS_000_ENABLE:C_12 // NOD +DATA LOCATION inst_DS_030_D0:D_10 // NOD +DATA LOCATION inst_DTACK_D0:D_15 // NOD +DATA LOCATION inst_LDS_000_INT:B_9 // NOD +DATA LOCATION inst_UDS_000_INT:F_5 // NOD +DATA LOCATION inst_VPA_D:F_13 // NOD DATA LOCATION inst_nEXP_SPACE_D0reg:G_5 // NOD DATA LOCATION nEXP_SPACE:*_*_14 // INP DATA IO_DIR A0:BI @@ -162,10 +157,6 @@ DATA IO_DIR A_16_:IN DATA IO_DIR A_17_:IN DATA IO_DIR A_18_:IN DATA IO_DIR A_19_:IN -DATA IO_DIR A_20_:IN -DATA IO_DIR A_21_:IN -DATA IO_DIR A_22_:IN -DATA IO_DIR A_23_:IN DATA IO_DIR A_24_:IN DATA IO_DIR A_25_:IN DATA IO_DIR A_26_:IN @@ -211,48 +202,46 @@ DATA IO_DIR VMA:OUT DATA IO_DIR VPA:IN DATA IO_DIR nEXP_SPACE:IN DATA GLB_CLOCK CLK_OSZI -DATA PW_LEVEL A_28_:1 -DATA SLEW A_28_:1 -DATA PW_LEVEL A_27_:1 -DATA SLEW A_27_:1 +DATA PW_LEVEL SIZE_0_:1 +DATA SLEW SIZE_0_:1 +DATA PW_LEVEL A_30_:1 +DATA SLEW A_30_:1 DATA PW_LEVEL SIZE_1_:1 DATA SLEW SIZE_1_:1 +DATA PW_LEVEL A_29_:1 +DATA SLEW A_29_:1 +DATA PW_LEVEL A_28_:1 +DATA SLEW A_28_:1 +DATA PW_LEVEL A_31_:1 +DATA SLEW A_31_:1 +DATA PW_LEVEL A_27_:1 +DATA SLEW A_27_:1 DATA PW_LEVEL A_26_:1 DATA SLEW A_26_:1 DATA PW_LEVEL A_25_:1 DATA SLEW A_25_:1 -DATA PW_LEVEL A_31_:1 -DATA SLEW A_31_:1 DATA PW_LEVEL A_24_:1 DATA SLEW A_24_:1 -DATA PW_LEVEL A_23_:1 -DATA SLEW A_23_:1 -DATA PW_LEVEL A_22_:1 -DATA SLEW A_22_:1 -DATA PW_LEVEL A_21_:1 -DATA SLEW A_21_:1 DATA PW_LEVEL IPL_2_:1 DATA SLEW IPL_2_:1 -DATA PW_LEVEL A_20_:1 -DATA SLEW A_20_:1 -DATA PW_LEVEL A_19_:1 -DATA SLEW A_19_:1 DATA PW_LEVEL FC_1_:1 DATA SLEW FC_1_:1 -DATA PW_LEVEL A_18_:1 -DATA SLEW A_18_:1 DATA PW_LEVEL AS_030:1 DATA SLEW AS_030:1 -DATA PW_LEVEL A_17_:1 -DATA SLEW A_17_:1 DATA PW_LEVEL AS_000:1 DATA SLEW AS_000:1 -DATA PW_LEVEL A_16_:1 -DATA SLEW A_16_:1 +DATA PW_LEVEL A_19_:1 +DATA SLEW A_19_:1 +DATA PW_LEVEL A_18_:1 +DATA SLEW A_18_:1 DATA PW_LEVEL DS_030:1 DATA SLEW DS_030:1 +DATA PW_LEVEL A_17_:1 +DATA SLEW A_17_:1 DATA PW_LEVEL UDS_000:1 DATA SLEW UDS_000:1 +DATA PW_LEVEL A_16_:1 +DATA SLEW A_16_:1 DATA PW_LEVEL LDS_000:1 DATA SLEW LDS_000:1 DATA PW_LEVEL A1:1 @@ -271,20 +260,20 @@ DATA PW_LEVEL CLK_DIV_OUT:1 DATA SLEW CLK_DIV_OUT:0 DATA PW_LEVEL CLK_EXP:1 DATA SLEW CLK_EXP:0 -DATA PW_LEVEL IPL_1_:1 -DATA SLEW IPL_1_:1 DATA PW_LEVEL FPU_CS:1 DATA SLEW FPU_CS:0 -DATA PW_LEVEL IPL_0_:1 -DATA SLEW IPL_0_:1 DATA PW_LEVEL FPU_SENSE:1 DATA SLEW FPU_SENSE:1 -DATA PW_LEVEL FC_0_:1 -DATA SLEW FC_0_:1 +DATA PW_LEVEL IPL_1_:1 +DATA SLEW IPL_1_:1 DATA PW_LEVEL DTACK:1 DATA SLEW DTACK:1 +DATA PW_LEVEL IPL_0_:1 +DATA SLEW IPL_0_:1 DATA PW_LEVEL AVEC:1 DATA SLEW AVEC:1 +DATA PW_LEVEL FC_0_:1 +DATA SLEW FC_0_:1 DATA SLEW VPA:1 DATA SLEW RST:1 DATA PW_LEVEL AMIGA_ADDR_ENABLE:1 @@ -297,12 +286,6 @@ DATA PW_LEVEL AMIGA_BUS_ENABLE_HIGH:1 DATA SLEW AMIGA_BUS_ENABLE_HIGH:0 DATA PW_LEVEL CIIN:1 DATA SLEW CIIN:1 -DATA PW_LEVEL SIZE_0_:1 -DATA SLEW SIZE_0_:1 -DATA PW_LEVEL A_30_:1 -DATA SLEW A_30_:1 -DATA PW_LEVEL A_29_:1 -DATA SLEW A_29_:1 DATA PW_LEVEL IPL_030_2_:1 DATA SLEW IPL_030_2_:1 DATA PW_LEVEL RW_000:1 @@ -327,6 +310,8 @@ DATA PW_LEVEL RESET:1 DATA SLEW RESET:1 DATA PW_LEVEL RW:1 DATA SLEW RW:1 +DATA PW_LEVEL N_165:1 +DATA SLEW N_165:1 DATA PW_LEVEL cpu_est_0_:1 DATA SLEW cpu_est_0_:1 DATA PW_LEVEL cpu_est_1_:1 @@ -381,8 +366,6 @@ DATA PW_LEVEL CLK_000_P_SYNC_9_:1 DATA SLEW CLK_000_P_SYNC_9_:1 DATA PW_LEVEL inst_CLK_000_NE:1 DATA SLEW inst_CLK_000_NE:1 -DATA PW_LEVEL N_96_i:1 -DATA SLEW N_96_i:1 DATA PW_LEVEL CLK_000_N_SYNC_11_:1 DATA SLEW CLK_000_N_SYNC_11_:1 DATA PW_LEVEL cpu_est_2_:1 @@ -471,8 +454,6 @@ DATA PW_LEVEL inst_DS_000_ENABLE:1 DATA SLEW inst_DS_000_ENABLE:1 DATA PW_LEVEL SM_AMIGA_i_7_:1 DATA SLEW SM_AMIGA_i_7_:1 -DATA PW_LEVEL CIIN_0:1 -DATA SLEW CIIN_0:1 DATA PW_LEVEL RN_IPL_030_2_:1 DATA PW_LEVEL RN_RW_000:1 DATA PW_LEVEL RN_A0:1 diff --git a/Logic/68030_tk.grp b/Logic/68030_tk.grp index e641f7b..7068f67 100644 --- a/Logic/68030_tk.grp +++ b/Logic/68030_tk.grp @@ -1,28 +1,28 @@ -GROUP MACH_SEG_A inst_DS_000_DMA inst_CLK_030_H RST_DLY_3_ RST_DLY_1_ RST_DLY_4_ - inst_AS_000_DMA CYCLE_DMA_1_ CYCLE_DMA_0_ inst_DS_030_D0 CLK_000_P_SYNC_9_ - CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_8_ +GROUP MACH_SEG_A inst_DS_000_DMA inst_CLK_030_H RST_DLY_1_ RST_DLY_5_ RST_DLY_7_ + inst_AS_000_DMA CYCLE_DMA_1_ CYCLE_DMA_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ + CLK_000_N_SYNC_3_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_8_ inst_CLK_OUT_PRE_50 DS_030 AVEC GROUP MACH_SEG_B RESET RN_RESET IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ - IPL_030_2_ RN_IPL_030_2_ RST_DLY_0_ RST_DLY_2_ RST_DLY_5_ RST_DLY_6_ - RST_DLY_7_ SM_AMIGA_0_ inst_VPA_D IPL_D0_0_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ - CLK_000_N_SYNC_1_ CLK_EXP -GROUP MACH_SEG_C inst_AS_030_000_SYNC inst_LDS_000_INT inst_UDS_000_INT - inst_AS_000_INT inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AMIGA_BUS_ENABLE_DMA_HIGH - inst_DTACK_D0 CLK_000_N_SYNC_5_ AMIGA_BUS_ENABLE_LOW -GROUP MACH_SEG_D VMA RN_VMA BG_000 RN_BG_000 cpu_est_1_ cpu_est_2_ CLK_000_P_SYNC_0_ - CLK_000_N_SYNC_0_ inst_CLK_000_D0 CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ - CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ inst_CLK_000_NE_D0 LDS_000 UDS_000 + IPL_030_2_ RN_IPL_030_2_ RST_DLY_3_ RST_DLY_0_ RST_DLY_2_ RST_DLY_4_ + RST_DLY_6_ inst_LDS_000_INT IPL_D0_0_ IPL_D0_2_ CLK_000_P_SYNC_2_ + CLK_000_P_SYNC_4_ inst_CLK_000_NE_D0 CLK_EXP +GROUP MACH_SEG_C inst_AS_030_000_SYNC SM_AMIGA_6_ inst_DS_000_ENABLE inst_AS_000_INT + IPL_D0_1_ CLK_000_P_SYNC_9_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_8_ AMIGA_BUS_ENABLE_LOW + +GROUP MACH_SEG_D VMA RN_VMA BG_000 RN_BG_000 cpu_est_1_ cpu_est_2_ cpu_est_0_ + CLK_000_P_SYNC_0_ CLK_000_N_SYNC_0_ inst_DTACK_D0 inst_DS_030_D0 + inst_CLK_000_PE CLK_000_N_SYNC_4_ CLK_000_N_SYNC_6_ LDS_000 UDS_000 AMIGA_BUS_ENABLE_HIGH AMIGA_ADDR_ENABLE -GROUP MACH_SEG_E inst_CLK_000_NE inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE_50 - CIIN BERR AMIGA_BUS_DATA_DIR AS_000 CIIN_0 -GROUP MACH_SEG_F SM_AMIGA_i_7_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_6_ inst_DS_000_ENABLE - SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_5_ cpu_est_0_ inst_CLK_000_PE N_96_i - -GROUP MACH_SEG_G A0 RN_A0 RW RN_RW E RN_E SIZE_DMA_0_ SIZE_DMA_1_ inst_nEXP_SPACE_D0reg - IPL_D0_1_ IPL_D0_2_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_5_ - CLK_000_P_SYNC_6_ CLK_000_N_SYNC_9_ inst_CLK_000_D1 SIZE_0_ CLK_DIV_OUT - +GROUP MACH_SEG_E CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_N_SYNC_7_ + CIIN BERR AMIGA_BUS_DATA_DIR AS_000 +GROUP MACH_SEG_F SM_AMIGA_i_7_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_0_ SM_AMIGA_1_ + SM_AMIGA_4_ SM_AMIGA_5_ inst_UDS_000_INT inst_VPA_D inst_CLK_000_D1 + N_165 +GROUP MACH_SEG_G A0 RN_A0 RW RN_RW E RN_E SIZE_DMA_0_ SIZE_DMA_1_ inst_AMIGA_BUS_ENABLE_DMA_LOW + inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_nEXP_SPACE_D0reg inst_CLK_000_D0 + inst_CLK_000_NE CLK_000_P_SYNC_1_ CLK_000_P_SYNC_7_ CLK_000_N_SYNC_9_ + inst_CLK_OUT_PRE_D SIZE_0_ CLK_DIV_OUT GROUP MACH_SEG_H DSACK1 RN_DSACK1 RW_000 RN_RW_000 BGACK_030 RN_BGACK_030 inst_AS_030_D0 inst_BGACK_030_INT_D CLK_000_N_SYNC_11_ CLK_000_N_SYNC_10_ FPU_CS AS_030 SIZE_1_ \ No newline at end of file diff --git a/Logic/68030_tk.ipr b/Logic/68030_tk.ipr index 48c85be..22ec451 100644 --- a/Logic/68030_tk.ipr +++ b/Logic/68030_tk.ipr @@ -1 +1 @@ -2753107yCgL9*V \ No newline at end of file +9273210z*5A(L3- \ No newline at end of file diff --git a/Logic/68030_tk.jed b/Logic/68030_tk.jed index e6617b9..6058fb3 100644 --- a/Logic/68030_tk.jed +++ b/Logic/68030_tk.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Wed May 13 22:59:29 2015 +DATE: Thu Jul 09 18:49:07 2015 ABEL mach447a * @@ -31,81 +31,80 @@ NOTE Spread Placement? Y * NOTE Run Time Upper Bound in 15 minutes 0 * NOTE Zero Hold Time For Input Registers? Y * NOTE Table of pin names and numbers* -NOTE PINS A_28_:15 A_27_:16 SIZE_1_:79 A_26_:17 A_25_:18* -NOTE PINS A_31_:4 A_24_:19 A_23_:85 A_22_:84 A_21_:94 IPL_2_:68* -NOTE PINS A_20_:93 A_19_:97 FC_1_:58 A_18_:95 AS_030:82 A_17_:59* -NOTE PINS AS_000:42 A_16_:96 DS_030:98 UDS_000:32 LDS_000:31* +NOTE PINS SIZE_0_:70 A_30_:5 SIZE_1_:79 A_29_:6 A_28_:15* +NOTE PINS A_31_:4 A_27_:16 A_26_:17 A_25_:18 A_24_:19 IPL_2_:68* +NOTE PINS FC_1_:58 AS_030:82 AS_000:42 A_19_:97 A_18_:95* +NOTE PINS DS_030:98 A_17_:59 UDS_000:32 A_16_:96 LDS_000:31* NOTE PINS A1:60 nEXP_SPACE:14 BERR:41 BG_030:21 BGACK_000:28* NOTE PINS CLK_030:64 CLK_000:11 CLK_OSZI:61 CLK_DIV_OUT:65* -NOTE PINS CLK_EXP:10 IPL_1_:56 FPU_CS:78 IPL_0_:67 FPU_SENSE:91* -NOTE PINS FC_0_:57 DTACK:30 AVEC:92 VPA:36 RST:86 AMIGA_ADDR_ENABLE:33* +NOTE PINS CLK_EXP:10 FPU_CS:78 FPU_SENSE:91 IPL_1_:56 DTACK:30* +NOTE PINS IPL_0_:67 AVEC:92 FC_0_:57 VPA:36 RST:86 AMIGA_ADDR_ENABLE:33* NOTE PINS AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* -NOTE PINS CIIN:47 SIZE_0_:70 A_30_:5 A_29_:6 IPL_030_2_:9* -NOTE PINS RW_000:80 A0:69 BG_000:29 BGACK_030:83 IPL_030_1_:7* -NOTE PINS IPL_030_0_:8 DSACK1:81 E:66 VMA:35 RESET:3 RW:71* +NOTE PINS CIIN:47 IPL_030_2_:9 RW_000:80 A0:69 BG_000:29* +NOTE PINS BGACK_030:83 IPL_030_1_:7 IPL_030_0_:8 DSACK1:81* +NOTE PINS E:66 VMA:35 RESET:3 RW:71 * NOTE Table of node names and numbers* -NOTE NODES RN_SIZE_1_:287 RN_AS_030:281 RN_AS_000:203 RN_DS_030:101 * -NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 RN_SIZE_0_:263 * -NOTE NODES RN_IPL_030_2_:131 RN_RW_000:269 RN_A0:257 RN_BG_000:175 * -NOTE NODES RN_BGACK_030:275 RN_IPL_030_1_:143 RN_IPL_030_0_:137 * -NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RESET:125 * -NOTE NODES RN_RW:245 cpu_est_0_:223 cpu_est_1_:187 inst_AS_000_INT:157 * -NOTE NODES SM_AMIGA_5_:239 inst_AMIGA_BUS_ENABLE_DMA_LOW:163 * +NOTE NODES RN_SIZE_0_:263 RN_SIZE_1_:287 RN_AS_030:281 RN_AS_000:203 * +NOTE NODES RN_DS_030:101 RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 * +NOTE NODES RN_IPL_030_2_:128 RN_RW_000:269 RN_A0:257 RN_BG_000:175 * +NOTE NODES RN_BGACK_030:275 RN_IPL_030_1_:134 RN_IPL_030_0_:137 * +NOTE NODES RN_DSACK1:283 RN_E:251 RN_VMA:173 RN_RESET:146 * +NOTE NODES RN_RW:245 N_165:230 cpu_est_0_:182 cpu_est_1_:193 * +NOTE NODES inst_AS_000_INT:151 SM_AMIGA_5_:227 inst_AMIGA_BUS_ENABLE_DMA_LOW:266 * NOTE NODES inst_AS_030_D0:277 inst_nEXP_SPACE_D0reg:253 * -NOTE NODES inst_DS_030_D0:124 inst_AS_030_000_SYNC:155 inst_BGACK_030_INT_D:289 * -NOTE NODES inst_AS_000_DMA:113 inst_DS_000_DMA:115 CYCLE_DMA_0_:110 * -NOTE NODES CYCLE_DMA_1_:104 SIZE_DMA_0_:265 SIZE_DMA_1_:259 * -NOTE NODES inst_VPA_D:146 inst_UDS_000_INT:167 inst_LDS_000_INT:161 * -NOTE NODES inst_CLK_OUT_PRE_D:217 inst_DTACK_D0:152 inst_CLK_OUT_PRE_50:211 * -NOTE NODES inst_CLK_000_D1:248 inst_CLK_000_D0:182 inst_CLK_000_PE:221 * -NOTE NODES CLK_000_P_SYNC_9_:118 inst_CLK_000_NE:209 N_96_i:230 * -NOTE NODES CLK_000_N_SYNC_11_:278 cpu_est_2_:193 IPL_D0_0_:148 * -NOTE NODES IPL_D0_1_:268 IPL_D0_2_:262 SM_AMIGA_3_:241 inst_CLK_000_NE_D0:176 * -NOTE NODES SM_AMIGA_0_:133 inst_AMIGA_BUS_ENABLE_DMA_HIGH:151 * -NOTE NODES SM_AMIGA_6_:235 RST_DLY_0_:134 RST_DLY_1_:103 * -NOTE NODES RST_DLY_2_:139 RST_DLY_3_:119 RST_DLY_4_:109 * -NOTE NODES RST_DLY_5_:145 RST_DLY_6_:128 RST_DLY_7_:140 * -NOTE NODES CLK_000_P_SYNC_0_:196 CLK_000_P_SYNC_1_:256 CLK_000_P_SYNC_2_:250 * -NOTE NODES CLK_000_P_SYNC_3_:142 CLK_000_P_SYNC_4_:136 CLK_000_P_SYNC_5_:266 * -NOTE NODES CLK_000_P_SYNC_6_:260 CLK_000_P_SYNC_7_:112 CLK_000_P_SYNC_8_:106 * -NOTE NODES CLK_000_N_SYNC_0_:190 CLK_000_N_SYNC_1_:130 CLK_000_N_SYNC_2_:184 * -NOTE NODES CLK_000_N_SYNC_3_:178 CLK_000_N_SYNC_4_:122 CLK_000_N_SYNC_5_:169 * -NOTE NODES CLK_000_N_SYNC_6_:194 CLK_000_N_SYNC_7_:188 CLK_000_N_SYNC_8_:116 * -NOTE NODES CLK_000_N_SYNC_9_:254 CLK_000_N_SYNC_10_:272 * -NOTE NODES inst_CLK_030_H:121 SM_AMIGA_1_:233 SM_AMIGA_4_:236 * -NOTE NODES SM_AMIGA_2_:224 inst_DS_000_ENABLE:229 SM_AMIGA_i_7_:227 * -NOTE NODES CIIN_0:205 * +NOTE NODES inst_DS_030_D0:188 inst_AS_030_000_SYNC:161 inst_BGACK_030_INT_D:289 * +NOTE NODES inst_AS_000_DMA:113 inst_DS_000_DMA:121 CYCLE_DMA_0_:116 * +NOTE NODES CYCLE_DMA_1_:110 SIZE_DMA_0_:254 SIZE_DMA_1_:248 * +NOTE NODES inst_VPA_D:241 inst_UDS_000_INT:229 inst_LDS_000_INT:139 * +NOTE NODES inst_CLK_OUT_PRE_D:268 inst_DTACK_D0:196 inst_CLK_OUT_PRE_50:115 * +NOTE NODES inst_CLK_000_D1:233 inst_CLK_000_D0:265 inst_CLK_000_PE:187 * +NOTE NODES CLK_000_P_SYNC_9_:152 inst_CLK_000_NE:259 CLK_000_N_SYNC_11_:278 * +NOTE NODES cpu_est_2_:176 IPL_D0_0_:136 IPL_D0_1_:169 IPL_D0_2_:145 * +NOTE NODES SM_AMIGA_3_:239 inst_CLK_000_NE_D0:148 SM_AMIGA_0_:235 * +NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:260 SM_AMIGA_6_:155 * +NOTE NODES RST_DLY_0_:140 RST_DLY_1_:103 RST_DLY_2_:133 * +NOTE NODES RST_DLY_3_:125 RST_DLY_4_:143 RST_DLY_5_:119 * +NOTE NODES RST_DLY_6_:142 RST_DLY_7_:109 CLK_000_P_SYNC_0_:190 * +NOTE NODES CLK_000_P_SYNC_1_:262 CLK_000_P_SYNC_2_:130 CLK_000_P_SYNC_3_:163 * +NOTE NODES CLK_000_P_SYNC_4_:131 CLK_000_P_SYNC_5_:211 CLK_000_P_SYNC_6_:205 * +NOTE NODES CLK_000_P_SYNC_7_:256 CLK_000_P_SYNC_8_:157 CLK_000_N_SYNC_0_:184 * +NOTE NODES CLK_000_N_SYNC_1_:124 CLK_000_N_SYNC_2_:118 CLK_000_N_SYNC_3_:112 * +NOTE NODES CLK_000_N_SYNC_4_:178 CLK_000_N_SYNC_5_:106 CLK_000_N_SYNC_6_:194 * +NOTE NODES CLK_000_N_SYNC_7_:209 CLK_000_N_SYNC_8_:122 CLK_000_N_SYNC_9_:250 * +NOTE NODES CLK_000_N_SYNC_10_:272 inst_CLK_030_H:104 SM_AMIGA_1_:223 * +NOTE NODES SM_AMIGA_4_:236 SM_AMIGA_2_:224 inst_DS_000_ENABLE:167 * +NOTE NODES SM_AMIGA_i_7_:221 * NOTE BLOCK 0 * L000000 - 111111111011111111111111111111111111111111111111111111110111111111 - 111101111111111111111111111111110111111111111011111111111111111111 - 111111111111111111111101111111111111111111111111111111111111111111 - 111111101111111111110111111111111111111111111111111111111111111111 - 111111111101111111111111111111111111111111111111111111111101111011 - 111111111111111111011111111111111111111101111111110111111111111111 - 110111111111101110111111111111011111110111111111111111011111011111 - 111111111111111111111111010111111111011111111110111111111111111101 - 011111111111111111111111111111111111111111011111101110111111111111* + 110111111011111111111111111111111111111111111111111111111101111111 + 111101111111111111111111111111111101111111111111111111101111111111 + 111111111111111111111111111111111111111111111111111111111111110111 + 111111111111111111111111111111111111111111111111111111111111111110 + 111111111111111111111111110111111111111111111111111101111111111111 + 111111111111110111111111111101011111111111111111111111110111111111 + 111111011111101110110111011111111111110111011111011111111111111111 + 111111111111111111111111111111111111011101110110111111111111111111 + 101111111111111111011111111111111011111111111111110111111111111111* L000594 000000000000000000000000000000000000000000000000000000000000000000* -L000660 111111111111111111111111111111111111111011111111111110111111111111* +L000660 111111111111111111111111111111111011111111111111111111111011111111* L000726 000000000000000000000000000000000000000000000000000000000000000000* L000792 000000000000000000000000000000000000000000000000000000000000000000* L000858 000000000000000000000000000000000000000000000000000000000000000000* L000924 000000000000000000000000000000000000000000000000000000000000000000* -L000990 111111111111111110111111111111111111111111011111011111111111111111* -L001056 111111111111111111111110111111111111111111011111011111111111111111* -L001122 111111111111111101111101111111111111111111101111011111111111111111* -L001188 111101111111111111010111111111110111011111011111011111110111111111* +L000990 011111111111111111011111111111111111111111111111111111101111111111* +L001056 011110111111111111011111111111111111111111111111111111111111111111* +L001122 011101111111111111101111111111111111111111111111111111011111111111* +L001188 010111111111111111011111111111011101011111111111111101111111110111* L001254 000000000000000000000000000000000000000000000000000000000000000000* L001320 111111111111111111111111111111111111111111111111111111111111111111* -L001386 011111111111111111111111111111101111111111111110011110111111111111* -L001452 101111111111111111111111111111011111111111111110011110111111110111* -L001518 011111111111111111111111111111111111111111111110011110111111111011* -L001584 000000000000000000000000000000000000000000000000000000000000000000* -L001650 000000000000000000000000000000000000000000000000000000000000000000* -L001716 110111111111111111111111111111111111111111111111111111111111111111* +L001386 011111101011111111110111111110111011111110111110111111111111111111* +L001452 011111101011111111111011111101111011111110111110111111111111111111* +L001518 011111111111111111110111111110111011111110111110110111111111111111* +L001584 011111111111111111111011111101111011111110111110110111111111111111* +L001650 011111101011111111110111111110111011111111111110101111111111111111* +L001716 111111111111111111111111111111111111111111110111111111111111111111* L001782 000000000000000000000000000000000000000000000000000000000000000000* L001848 000000000000000000000000000000000000000000000000000000000000000000* L001914 000000000000000000000000000000000000000000000000000000000000000000* @@ -113,71 +112,71 @@ L001980 000000000000000000000000000000000000000000000000000000000000000000* L002046 000000000000000000000000000000000000000000000000000000000000000000* L002112 111111111111111111111111111111111111111111111111111111111111111111* -L002178 000000000000000000000000000000000000000000000000000000000000000000* -L002244 000000000000000000000000000000000000000000000000000000000000000000* -L002310 000000000000000000000000000000000000000000000000000000000000000000* +L002178 011111101011111111111011111101111011111111111110101111111111111111* +L002244 011111111111111111110111111110111011111111111110100111111111111111* +L002310 011111111111111111111011111101111011111111111110100111111111111111* L002376 000000000000000000000000000000000000000000000000000000000000000000* -L002442 111101111111111101010101111111110111011111011111011111110111111111* -L002508 111111111111111111111111111111111111011111111111101111111111111111* -L002574 111111111111111101011101111111110111111111011111011111111111111111* +L002442 010101111111111111011111111111011101111111111111111101011111110111* +L002508 011111111111111111111111111111111111011111111111111111111111111111* +L002574 000000000000000000000000000000000000000000000000000000000000000000* L002640 000000000000000000000000000000000000000000000000000000000000000000* L002706 000000000000000000000000000000000000000000000000000000000000000000* L002772 000000000000000000000000000000000000000000000000000000000000000000* -L002838 111111111111111111111111111111101111111111111110011110111111110111* -L002904 111111111111111111111111111111011111111111111110011110111111111011* -L002970 000000000000000000000000000000000000000000000000000000000000000000* +L002838 011111111111111111110111111110111011111111111110111111111111111111* +L002904 011111111111111111111011011101111011111111111110111111111111111111* +L002970 011111111111111111110111101111111011111111111110111111111111111111* L003036 000000000000000000000000000000000000000000000000000000000000000000* L003102 000000000000000000000000000000000000000000000000000000000000000000* -L003168 111111111111111111111111111111111111111111110111111111111111111111* -L003234 111111111111111111111111111111111111111111111111101111111111111111* -L003300 111111111111111111111111111111111111111111111101111111111111111111* -L003366 111111111011111111111111111111111111111111111111111111111111011111* -L003432 011111111111111111111111111111011111111111111111111111111111111111* +L003168 111111111111110111111111111111111111111111111111111111111111111111* +L003234 000000000000000000000000000000000000000000000000000000000000000000* +L003300 000000000000000000000000000000000000000000000000000000000000000000* +L003366 000000000000000000000000000000000000000000000000000000000000000000* +L003432 000000000000000000000000000000000000000000000000000000000000000000* L003498 000000000000000000000000000000000000000000000000000000000000000000* -L003564 101111111111111111111111111111101111111111111111111111111111111111* -L003630 111111111111111111111111111111111111111111111111111101111111111111* -L003696 111111111111111111111111011111111111111111111111111111011111111111* -L003762 000000000000000000000000000000000000000000000000000000000000000000* -L003828 000000000000000000000000000000000000000000000000000000000000000000* -L003894 111111111111111111111111111111111111111111111111101111111111111111* -L003960 111111111111111111111111111111111111111111111101111111111111111111* -L004026 011111111111111111111111111111011111111111111111111111111111111111* -L004092 101111111111111111111111111111101111111111111111111111111111111111* -L004158 111111111111111111111111111111111111111111111111111101111111111111* +L003564 101111111111111111111111111111111111111111111111111111111111111111* +L003630 111111111111111111111111111111111111111111111101111111111111111111* +L003696 111111011011111111111111111111111111111111111111111111111111111111* +L003762 111111111111111111110111111101111111111111111111111111111111111111* +L003828 111111111111111111111011111110111111111111111111111111111111111111* +L003894 111111111111111111111111111111111111111011111111111111111111111111* +L003960 111111111111111111111111111111110111111111111111111111111111111111* +L004026 111111111111111111111111111111111111111101111111011111111111111111* +L004092 000000000000000000000000000000000000000000000000000000000000000000* +L004158 000000000000000000000000000000000000000000000000000000000000000000* L004224 000000000000000000000000000000000000000000000000000000000000000000* -L004290 111111111111111111111111111111111111111101111111111111111111111111* -L004356 111111111111111111111111011111111111111111111111111111011111111111* -L004422 111111111011101111111111111111111111110111111111111111111111111111* -L004488 111111110111101111111111111111111111111111111111110111111111011111* -L004554 111111111111101111111111111111111111110111111111111011111111111111* -L004620 111111111111111111111111111111111111111111111111111111111111111101* -L004686 111111111111111110011111111111111111111111111111011111111111111111* -L004752 111111111111111111011110111111111111111111111111011111111111111111* -L004818 111111111111111111011111111111111111111111101111011111111111111111* -L004884 111111111111111111011111111111111011111111111111011111111111111111* +L004290 011111111111111111111111011110111011111111111110111111111111111111* +L004356 011111111111111111111111101101111011111111111110111111111111111111* +L004422 000000000000000000000000000000000000000000000000000000000000000000* +L004488 000000000000000000000000000000000000000000000000000000000000000000* +L004554 000000000000000000000000000000000000000000000000000000000000000000* +L004620 111111111111111111111111110111111111111111111111111111111111111111* +L004686 000000000000000000000000000000000000000000000000000000000000000000* +L004752 000000000000000000000000000000000000000000000000000000000000000000* +L004818 000000000000000000000000000000000000000000000000000000000000000000* +L004884 000000000000000000000000000000000000000000000000000000000000000000* L004950 000000000000000000000000000000000000000000000000000000000000000000* -L005016 111111111111111101101101111111110111111111011111011111111111111111* -L005082 111101111111111111010111111111111111011111111111011111110111111111* -L005148 000000000000000000000000000000000000000000000000000000000000000000* -L005214 000000000000000000000000000000000000000000000000000000000000000000* +L005016 101111111111111111111111111111011111111111111111111111111111111111* +L005082 010101111111111111011111111111101111111111111111111101011111110111* +L005148 110101111111111111011111111111011110111111111111111101011111110111* +L005214 110101111111111111011111111111011111101111111111111101011111110111* L005280 000000000000000000000000000000000000000000000000000000000000000000* -L005346 011111111011111111111111101111101111111111111110011110111111101111* -L005412 101111111011111111111111101111011111111111111110011110111111101111* -L005478 011111111111111111111111101111101111111111111110010110111111111111* -L005544 101111111111111111111111101111011111111111111110010110111111111111* -L005610 011111111011111111111111111111101111111111111110011110101111101111* +L005346 101111111111111111111111111111111111111111111111111111111111111111* +L005412 111111111111111111111111111111111111111111111101111111111111111111* +L005478 111111111111111111110111111101111111111111111111111111111111111111* +L005544 111111111111111111111011111110111111111111111111111111111111111111* +L005610 111111111111111111111111111111110111111111111111111111111111111111* L005676 - 111111101111111111111111111111111111111111111110111111111101111111* -L005742 111111111111111111111111110111111111111111111111111111111111111111* -L005808 101111111011111111111111111111011111111111111110011110101111101111* -L005874 011111111111111111111111111111101111111111111110010110101111111111* -L005940 101111111111111111111111111111011111111111111110010110101111111111* -L006006 000000000000000000000000000000000000000000000000000000000000000000* -L006072 111111111110111111111111111111111111111111111111011111111111111111* + 111111111111111111111111111111111111111111111110111111111101111110* +L005742 111111111111111101111111111111111111111111111111111111111111111111* +L005808 111111111111111111111111111111111111111101111111011111111111111111* +L005874 111111111011101111111111111111111111111111111111111111110111111111* +L005940 111111010111101111111111111111111111111111111111110111111111111111* +L006006 111111111111101111111111111111111111111111111111111011110111111111* +L006072 111111111111111111111111111111111111111111011111111111111111111111* L006138 000000000000000000000000000000000000000000000000000000000000000000* L006204 000000000000000000000000000000000000000000000000000000000000000000* L006270 000000000000000000000000000000000000000000000000000000000000000000* @@ -190,171 +189,171 @@ L006538 01100011111000* L006552 10100110010011* L006566 10100110010101* L006580 00100110011111* -L006594 00101111111000* -L006608 00100111010010* +L006594 00001111111000* +L006608 10100110011110* L006622 10100110010000* -L006636 00010110010011* +L006636 00100110011111* L006650 10100110010000* -L006664 10100110010010* -L006678 00110110010000* -L006692 00010110010011* -L006706 10100110010001* +L006664 00110110010010* +L006678 10100110010000* +L006692 00100110010011* +L006706 10100111010001* L006720 10100110010011* L006734 00110110010100* -L006748 01100110010010* +L006748 00100110010010* NOTE BLOCK 1 * L006762 - 111111111111111111110111011111111111101110111111111111011111111111 - 111101111111010111101111111111111111111111111111111111111111111111 - 111111101011111111111101111111111101111111111111111111111111110110 - 111111111111111111111111111111111011111111111111100111111111011111 - 111111111110111111111111111111111111111111111111111101111111111111 - 111111111111111111111111111111011111110111111111111111111111111111 - 111111111111111110111111111111111111111111111111111111111111111111 + 110111111011111111110111111111111111111111111111111111111101011111 + 111111011111010111111111011011111110111111111111111111101111111111 + 101111111111111101111101111111111111111111101011111111111111110101 + 111111111111111111111111111101111011011111111111111111111111111111 + 111111111111111111111111111111111111111111111111111101111111111111 + 111101111111111111111111111111011111111110111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 111111111111111111111111111111111111111111111111111111110111111111 - 101011111111111111111111110111111111111111011111111111111111111111* + 111111111111111111011111111111111111111111111111101111111111111111* L007356 111111111111111111111111111111111111111111111111111111111111111111* -L007422 011111111111111111111111111111111111111111111111111101111111111111* -L007488 011101111111011101110101111111011111111111011111110111110111111111* -L007554 000000000000000000000000000000000000000000000000000000000000000000* -L007620 000000000000000000000000000000000000000000000000000000000000000000* -L007686 000000000000000000000000000000000000000000000000000000000000000000* -L007752 111111111111111111111111111111111111111101111111111111111111111111* -L007818 000000000000000000000000000000000000000000000000000000000000000000* +L007422 111111111111111111111111111111111111111111111111011101101111111111* +L007488 111111111111111111111111101111111111111111111111011101111111111111* +L007554 111111111111111111101111111111111111111111111111011101111111111111* +L007620 111111111111111111111111111111111111111111111111011101111111111011* +L007686 111111111111111111011111011111111111111111111111011110011111110111* +L007752 111111110111111111111111111111111111111111111111111111111111111111* +L007818 110111111111110111111111111111011111111111111111011101110111111111* L007884 000000000000000000000000000000000000000000000000000000000000000000* L007950 000000000000000000000000000000000000000000000000000000000000000000* L008016 000000000000000000000000000000000000000000000000000000000000000000* L008082 111111111111111111111111111111111111111111111111111111111111111111* -L008148 101111111111111111111111111111111111111111111111110111111111111111* -L008214 011111111111011101110101111111011111111111011111111011110111111111* -L008280 111110111111011101110101111111011111111111011111110111110111111111* -L008346 000000000000000000000000000000000000000000000000000000000000000000* -L008412 000000000000000000000000000000000000000000000000000000000000000000* -L008478 111111111111111111111111111111111111110111111111111111111111111111* -L008544 000000000000000000000000000000000000000000000000000000000000000000* -L008610 000000000000000000000000000000000000000000000000000000000000000000* -L008676 000000000000000000000000000000000000000000000000000000000000000000* -L008742 000000000000000000000000000000000000000000000000000000000000000000* +L008148 111111111111111111111011111111110111111111011011011111111111011101* +L008214 111111111111111111111011111111111011111111011011011111111111011110* +L008280 111111111111111111111011111111110111111111101011011111111111101101* +L008346 111111111111111111111011111111111011111111101011011111111111101110* +L008412 111111111111111111111111111111111111101111111011011111111111111111* +L008478 111111111111111111111111110111111111111111111111111111111111111111* +L008544 111111111111111111111111111111111011101111111111011111111111111101* +L008610 111111111111111111111111111111110111101111111111011111111111111110* +L008676 111111111111111111111111111111111111101111101111011111111111011111* +L008742 111111111111111111111111111111111111101111011111011111111111101111* L008808 111111111111111111111111111111111111111111111111111111111111111111* -L008874 011111011011111111101111111111110111011111111111111111011111111111* -L008940 011111011011111111101111111111111011011111111111111111101111111111* -L009006 011111101011111111101111111111110111101111111111111111011111111111* -L009072 011111101011111111101111111111111011101111111111111111101111111111* -L009138 011111111011111111111111111111111111111111111111111111111111101111* -L009204 011111111111111111111111111111111011111111111111111111011111101111* -L009270 011111111111111111111111111111110111111111111111111111101111101111* -L009336 011111101111111111111111111111111111011111111111111111111111101111* -L009402 011111011111111111111111111111111111101111111111111111111111101111* -L009468 011111111111111111101111111111111111111111111111111111111111101111* +L008874 111111011111111111111111111111111111111111111111111111111111111111* +L008940 111111111111111111111011111111111111101111111111011111111111111111* +L009006 000000000000000000000000000000000000000000000000000000000000000000* +L009072 000000000000000000000000000000000000000000000000000000000000000000* +L009138 000000000000000000000000000000000000000000000000000000000000000000* +L009204 111111111111111111111111111111111111111111111111011111101111110111* +L009270 111111111111111111111111101111111111111111111111011111111111110111* +L009336 111111111111111111101111111111111111111111111111011111111111110111* +L009402 111111111111111111011111011111111111111111111111011111011111111011* +L009468 110111111111110111111111111111011111111111111111011101110111110111* L009534 111111111111111111111111111111111111111111111111111111111111111111* -L009600 011111111111111101111111111111111111111111111111111111111111111001* -L009666 010111111110111111111111111111111111111111111111111111111111110111* -L009732 000000000000000000000000000000000000000000000000000000000000000000* -L009798 000000000000000000000000000000000000000000000000000000000000000000* -L009864 000000000000000000000000000000000000000000000000000000000000000000* -L009930 111111111111110111111111111111111111111111111111111111111111111111* -L009996 011111111111111110111101111111111111111111111111111111111111111111* -L010062 011111111111111101111110111111111111111111111111111111111111111111* -L010128 011101111111011111110101111111011111111111011111110111110111111111* +L009600 111111111111111111111011111111110111111111101011011111111111101101* +L009666 111111111111111111111011111111111011111111101011011111111111101110* +L009732 111111111111111111110111111111110111111111100111011111111111101101* +L009798 111111111111111111110111111111111011111111100111011111111111101110* +L009864 111111111111111111111110111111111111111111101111011111111111111111* +L009930 111111111111111111111111111111111011111111111111011111111111111111* +L009996 000000000000000000000000000000000000000000000000000000000000000000* +L010062 000000000000000000000000000000000000000000000000000000000000000000* +L010128 000000000000000000000000000000000000000000000000000000000000000000* L010194 000000000000000000000000000000000000000000000000000000000000000000* L010260 000000000000000000000000000000000000000000000000000000000000000000* -L010326 011111011011111111101111111111111011011111111111111111101111111111* -L010392 011111101011111111101111111111111011101111111111111111101111111111* -L010458 011111100111111111011111111111111011101111111111111111101111111111* -L010524 011111010111111111011111111111111011011111111111111111101111111111* -L010590 011111111111111111111111111111111010111111111111111111111111111111* -L010656 011111111111111111111111111111111110111111111111111111101111111111* -L010722 011111101111111111111111111111111110011111111111111111111111111111* -L010788 011111011111111111111111111111111110101111111111111111111111111111* -L010854 011111111011111111011111111111111110111111111111111111111111111111* -L010920 011111110111111111101111111111111110111111111111111111111111111111* +L010326 111111111111111111111110111111111011111111111111011111111111111101* +L010392 111111111111111111111110111111110111111111111111011111111111111110* +L010458 111111111111111111111110111111111111111111111111011111111111101111* +L010524 111111111111111111110110111111111111111111111011011111111111111111* +L010590 111111111111111111111010111111111111111111110111011111111111111111* +L010656 111111111111111111111011111111111011111111011011011111111111011110* +L010722 111111111111111111111011111111111011111111101011011111111111101110* +L010788 111111111111111111110111111111111011111111100111011111111111101110* +L010854 111111111111111111110111111111111011111111010111011111111111011110* +L010920 111111111111111110111111111111111011111111111111011111111111111111* L010986 000000000000000000000000000000000000000000000000000000000000000000* -L011052 011111111111011110111111111111111111111111111111111111111111111111* -L011118 011111111111011111111110111111111111111111111111111111111111111111* -L011184 011111111111011111111111111111111111111111101111111111111111111111* -L011250 011111111111101101111101111111111111111111011111111111111111111111* -L011316 011101111111011111110111111111011111111111111111110111110111111111* -L011382 111111111111111111111111111111111111111111111111011111111111111111* -L011448 011111111111011101110101111111011111111111011111110111110111111111* -L011514 011101111111111111111111111111111111111111111111111111111111111111* -L011580 000000000000000000000000000000000000000000000000000000000000000000* +L011052 111111111111111110111111111111111111111111111111011111111111111110* +L011118 111111111111111110111111111111111111111111101111011111111111011111* +L011184 111111111111111110111111111111111111111111011111011111111111101111* +L011250 111111111111111110110111111111111111111111111011011111111111111111* +L011316 111111111111111110111011111111111111111111110111011111111111111111* +L011382 111111111111111111111111111111111111111111111111101111111111111111* +L011448 111101111111011111111111111111111111111111111111111111111111111111* +L011514 111111111111011111111111111110111111111111111111111111111111111111* +L011580 101110111111111111111111111101111101111110111111111111111111111111* L011646 000000000000000000000000000000000000000000000000000000000000000000* L011712 000000000000000000000000000000000000000000000000000000000000000000* -L011778 011111101011111111101111111111110111101111111111111111011111111111* -L011844 011111101011111111101111111111111011101111111111111111101111111111* -L011910 011111100111111111011111111111110111101111111111111111011111111111* -L011976 011111100111111111011111111111111011101111111111111111101111111111* -L012042 011111101111111111111111101111111111111111111111111111111111111111* -L012108 011111111111111111111111101111111011111111111111111111011111111111* -L012174 011111111111111111111111101111110111111111111111111111101111111111* -L012240 011111111111111111111111101111111111101111111111111111111111111111* -L012306 011111111011111111011111101111111111111111111111111111111111111111* -L012372 011111110111111111101111101111111111111111111111111111111111111111* +L011778 110111111111110111011111011111011111111111111111011101010111110111* +L011844 111111111111111111111111011111111111111111111111011111101111111111* +L011910 111111111111111111111111101111111111111111111111011111011111111111* +L011976 110111111111110111011111011111011111111111111111011101110111110111* +L012042 000000000000000000000000000000000000000000000000000000000000000000* +L012108 111111111111111111111111111111111111111111111011011111111111111111* +L012174 111111111111110111111111111111111111111111111111101111111111111111* +L012240 110111111111111011011111011111011111111111111111011101011111110111* +L012306 110111111111110111011111011111011111111111111111111101011011110111* +L012372 000000000000000000000000000000000000000000000000000000000000000000* L012438 111111111111111111111111111111111111111111111111111111111111111111* -L012504 011111111111111111111111111011111111111111111111111111111111111111* -L012570 000000000000000000000000000000000000000000000000000000000000000000* +L012504 110111111111111111111111111111111111111111111111101111111111111111* +L012570 111111111111111111011111011111111111111111111111011101011111110111* L012636 000000000000000000000000000000000000000000000000000000000000000000* L012702 000000000000000000000000000000000000000000000000000000000000000000* L012768 000000000000000000000000000000000000000000000000000000000000000000* -L012834 011111111111111111111111111111111011111111111111111111111111111111* -L012900 101111111111111111110111111111111111111111111111111111111111111111* -L012966 011111111111011101111001111111011111111111011111111111110111111111* -L013032 111111111111011101110101111111011111111111011111111011110111111111* -L013098 111110111111011101110101111111011111111111011111111111110111111111* +L012834 111111111111111111111111111111111111111111111111111111011111111111* +L012900 111111111111111111111111111111111111111111111111011111111101111111* +L012966 110111111111110111011111011111011111111111111111011101010111110111* +L013032 000000000000000000000000000000000000000000000000000000000000000000* +L013098 000000000000000000000000000000000000000000000000000000000000000000* L013164 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L013296 0010* L013300 10100110011000* -L013314 00101011111110* -L013328 10100111010101* -L013342 00100110011111* -L013356 11100110010010* -L013370 10110110011110* -L013384 10110110010110* -L013398 00110110011111* -L013412 11100110011001* +L013314 00111011111110* +L013328 11100110010001* +L013342 00110110011111* +L013356 00000110010010* +L013370 10100110011110* +L013384 11100110010000* +L013398 01100110011111* +L013412 11000110011001* L013426 10110110010011* -L013440 10110110010000* -L013454 00110110010010* -L013468 11100110011000* -L013482 10110111010011* -L013496 01100110010101* -L013510 01000110011111* +L013440 10000110010000* +L013454 10000111010010* +L013468 00000111010000* +L013482 01000110010011* +L013496 10000110010001* +L013510 00110110011111* NOTE BLOCK 2 * L013524 - 111111111111111111111111111111011111111111111111111111111111111011 - 111111111111111111111111111110111111101111111101111111111111111111 - 101111111111111111111111111111110111111111111111101111111111111111 - 111011111111110110111011111111111111111111111111111111111101111110 - 111111011111111111111110110111111111111111110111111111111111111111 - 111111111111111111111111011111111111111011111111111111101111111111 - 111111111111011111011111111111111101111111111111111111111111111111 - 111111111011111111111111111111111111111110111111111111111111111111 - 111111111111111111111111111111111111111111101111111011111111111111* + 111111110111111111101011111111111110111111111111111111111111111111 + 111111111111111111111110111111111111111111111111111111111111111111 + 111110101111111111111111111111110111111111111111101110111111111111 + 111111111101111110111111101110011111111111111111111111111101111110 + 111111111111111111111111111111111111111111110111111011111111111111 + 111111111111111111111111111111111111111011111111111111011111111111 + 111111111111010111111111111111111111111111111111111111111111110111 + 111111111111111111111111111011111111111110111111111111111111111111 + 101011111111111111111111111111111111111111111111111111111111111111* L014118 000000000000000000000000000000000000000000000000000000000000000000* -L014184 111111111111111111111111111111111111111110111110111111111111111111* +L014184 111111111111111111111011111111111111111110111111111111111111111111* L014250 000000000000000000000000000000000000000000000000000000000000000000* L014316 000000000000000000000000000000000000000000000000000000000000000000* L014382 000000000000000000000000000000000000000000000000000000000000000000* L014448 000000000000000000000000000000000000000000000000000000000000000000* -L014514 111111111111111111111110111111111111111110011111111111111111111111* -L014580 111111111111111111111111111111111111110101011011111111111111111111* +L014514 011111111111111111111111111101111111111111111111111111111111111111* +L014580 010111111111111111111111111011111111111111111011111111111111111111* L014646 000000000000000000000000000000000000000000000000000000000000000000* L014712 000000000000000000000000000000000000000000000000000000000000000000* L014778 000000000000000000000000000000000000000000000000000000000000000000* L014844 000000000000000000000000000000000000000000000000000000000000000000* -L014910 111111111111111111101111111111111111111111011111111111111111111111* +L014910 111111111111111111111111111111011111111111111111111111111111111111* L014976 111111111111111111111111111111111111111111111111111111111111111111* L015042 111111111111111111111111111111111111111111111111111111111111111111* L015108 111111111111111111111111111111111111111111111111111111111111111111* @@ -366,23 +365,23 @@ L015438 111111111111111111111111111111111111111111111111111111111111111111* L015504 111111111111111111111111111111111111111111111111111111111111111111* L015570 000000000000000000000000000000000000000000000000000000000000000000* -L015636 111111111011111111111111111111111111111111011111110111111110111111* -L015702 111011111011111111111011111111111111110101011111110111111111111101* -L015768 111111111011111111111011011111111111110101011111110111111111111101* -L015834 111111111011111111111011111111111101110101011111110111111111111101* -L015900 111111111011111110111011111111111111110101011111110111111111111101* -L015966 111111111111111111111111111111111111111111011111111111111111110111* -L016032 111111111011111011111111111111111111111111011111110111111111111111* -L016098 000000000000000000000000000000000000000000000000000000000000000000* -L016164 000000000000000000000000000000000000000000000000000000000000000000* -L016230 000000000000000000000000000000000000000000000000000000000000000000* +L015636 011101111111111111101111111111111011111111111111111011111111111101* +L015702 011111111111111111111111111111111111111111111111111011111101111111* +L015768 010111111111111011111111111111111111111111111111111111111101111111* +L015834 000000000000000000000000000000000000000000000000000000000000000000* +L015900 000000000000000000000000000000000000000000000000000000000000000000* +L015966 111111111111111111111111111111111111111111111111111101111111111111* +L016032 111111111111111111111111111111111111111111111111111111111111111111* +L016098 111111111111111111111111111111111111111111111111111111111111111111* +L016164 111111111111111111111111111111111111111111111111111111111111111111* +L016230 111111111111111111111111111111111111111111111111111111111111111111* L016296 000000000000000000000000000000000000000000000000000000000000000000* -L016362 111111111011011111111011111111111111110101011111110111111111111101* -L016428 111111111011111111111011111111111111110101011111100111111111111101* -L016494 000000000000000000000000000000000000000000000000000000000000000000* -L016560 000000000000000000000000000000000000000000000000000000000000000000* -L016626 000000000000000000000000000000000000000000000000000000000000000000* +L016362 111111111111111111111111111111111111111111111111111111111111111111* +L016428 111111111111111111111111111111111111111111111111111111111111111111* +L016494 111111111111111111111111111111111111111111111111111111111111111111* +L016560 111111111111111111111111111111111111111111111111111111111111111111* +L016626 111111111111111111111111111111111111111111111111111111111111111111* L016692 111111111111111111111111111111111111111111111111111111111111111111* L016758 111111111111111111111111111111111111111111111111111111111111111111* L016824 111111111111111111111111111111111111111111111111111111111111111111* @@ -390,14 +389,14 @@ L016890 111111111111111111111111111111111111111111111111111111111111111111* L016956 111111111111111111111111111111111111111111111111111111111111111111* L017022 000000000000000000000000000000000000000000000000000000000000000000* -L017088 111111111111111111111111111111111111111111101111111111111111111111* -L017154 111111111111111111111111110111110111111111111111111111111111111111* -L017220 111111111111111111111111111111110111101111111111111111111111111111* -L017286 101111111111111111111111111001111111011111111111111111101111111111* -L017352 000000000000000000000000000000000000000000000000000000000000000000* -L017418 111111111111111111111101111111111111111110011111111111111111111111* -L017484 111111111111111111111111111111111111110101011110111111111111111111* -L017550 000000000000000000000000000000000000000000000000000000000000000000* +L017088 010111111111111111111111111011111011111111111111111111111111111111* +L017154 010111111111111111111111101011111111110101111111111011111111111101* +L017220 010111111111111111111111111011111111110101111111111011011111111101* +L017286 010111111111111111111111111011111111110101111111111011111111110101* +L017352 010111111111111110111111111011111111110101111111111011111111111101* +L017418 111111111101111111111111111111111111111111111111111111111111111111* +L017484 010111111111011111111111111011111111110101111111111011111111111101* +L017550 010111111111111111111111111011111111110101111111101011111111111101* L017616 000000000000000000000000000000000000000000000000000000000000000000* L017682 000000000000000000000000000000000000000000000000000000000000000000* L017748 @@ -414,12 +413,12 @@ L018342 111111111111111111111111111111111111111111111111111111111111111111* L018408 111111111111111111111111111111111111111111111111111111111111111111* L018474 000000000000000000000000000000000000000000000000000000000000000000* -L018540 111111111111111111111111110111101111111111011111111111111111111111* -L018606 111111111111111111111111111111101111101111011111111111111111111111* -L018672 101111111111111111111111111011111111011111011111111111111111111111* +L018540 011111111111111111111111111110111101111111111111111111111111111111* +L018606 010111110111111111111111111011111111111111111111111111111111111111* +L018672 011111111111111111111101111101111111111111111111111111111111111111* L018738 000000000000000000000000000000000000000000000000000000000000000000* L018804 000000000000000000000000000000000000000000000000000000000000000000* -L018870 111111011111111111111111111111111111111111111111111111111111111111* +L018870 011111101111111111111111111111111111111111111111111111111111111111* L018936 111111111111111111111111111111111111111111111111111111111111111111* L019002 111111111111111111111111111111111111111111111111111111111111111111* L019068 111111111111111111111111111111111111111111111111111111111111111111* @@ -442,59 +441,59 @@ L019926 L020058 0010* L020062 01100011111000* L020076 11100110010011* -L020090 01010110010001* +L020090 00010110010001* L020104 11101011110011* -L020118 11100110010000* -L020132 11100110010010* -L020146 11001111110001* +L020118 10100110010000* +L020132 00000110010010* +L020146 11011111110001* L020160 11111011110011* -L020174 10100110010000* -L020188 11100110010010* +L020174 11100110010000* +L020188 00110110010010* L020202 11010011110110* L020216 11111011111111* -L020230 11100110010000* -L020244 00000110010010* +L020230 10100110010000* +L020244 01000110010010* L020258 11011111110001* L020272 11110011111111* NOTE BLOCK 3 * L020286 - 111111111111111111111101111111011111111101111111011111111111111111 - 111111111111111111111111111101111111111111111111111111111111111111 - 111111111111110111101111111111111111111111111111111111111111111111 - 111110101111111111111111110111111111111111111110111111111110111111 - 111111111101111111110111111111111111111111110111111001111111101111 - 110111111111111111111111111111111111111111111111111111111111111111 - 111111111111111101111111011111111011111111111111111111111111111111 - 101111110111111111111111111111111111111111111111111111101111111111 - 111111111111111111111111111111111101011111101111111111110111111111* + 111111110111111101111111101111101111111111111111111111111101111111 + 111111111111111111111011111101110111111111111111111111111011111111 + 111111111111111111111111111111111111111110111111111111101111111111 + 111101111111111111111110111111111111111111111011111111111111111111 + 111111111101111111111111111111111111111111111111111011111111111111 + 111111111111111111111111111111111111111111011111111111111111111111 + 110111111111110111011111111111111111111111111111111111111111111111 + 111111111111011111111111111011111111111111111110111111111111011111 + 101111011111111111111111111111111101011111111111111111111111111111* L020880 111111111111111111111111111111111111111111111111111111111111111111* -L020946 111111111111111111111111111111111111101111101111111111111111111111* -L021012 110110111111111111111111011111111111101111111111110111111111101111* -L021078 110110111111111111111111101111110111011110011111111111111111011111* +L020946 101111111111111111111111111111111111101111111111111111111111111111* +L021012 111111011111110111111110111111111111101111011111111111111111101111* +L021078 011111011111111111110110111111101111011111101111111111111111011111* L021144 000000000000000000000000000000000000000000000000000000000000000000* L021210 000000000000000000000000000000000000000000000000000000000000000000* -L021276 111111010111111111111111111110111111111111011111111111011111111111* -L021342 111111111111111111111111111110111110111111011111111111111111111111* +L021276 011111111111111111111111010110111111111111110111111111111111111111* +L021342 011111111111111111111111111110111110111111111111111111111111111111* L021408 000000000000000000000000000000000000000000000000000000000000000000* L021474 000000000000000000000000000000000000000000000000000000000000000000* L021540 000000000000000000000000000000000000000000000000000000000000000000* L021606 111111111111111111111111111111111111111111111111111111111111111111* -L021672 111111111111111111111111111111110111111111111111111111111111111111* -L021738 000000000000000000000000000000000000000000000000000000000000000000* -L021804 000000000000000000000000000000000000000000000000000000000000000000* -L021870 000000000000000000000000000000000000000000000000000000000000000000* +L021672 111111011111111111111111111111111111111111011111111111111111111111* +L021738 111111111111111101111101111111111111111111111111111111111111011111* +L021804 111111111111111101111111111111111111111111101111111111111111101111* +L021870 111111011111111110111111111111111111111111111111111111111111111111* L021936 000000000000000000000000000000000000000000000000000000000000000000* -L022002 111111111111111101111111111111111111111111111111111111111111111111* +L022002 110111111111111111111111111111111111111111111111111111111111111111* L022068 000000000000000000000000000000000000000000000000000000000000000000* L022134 000000000000000000000000000000000000000000000000000000000000000000* L022200 000000000000000000000000000000000000000000000000000000000000000000* L022266 000000000000000000000000000000000000000000000000000000000000000000* L022332 111111111111111111111111111111111111111111111111111111111111111111* -L022398 101111111111111111111111111111111111111111110111111111111111111111* -L022464 011111111111111111111111111111111111111111111111111111111110111111* +L022398 111111111111111111111111111111111111111111111110111111110111111111* +L022464 111111111111111111111111111111111111111111111101111011111111111111* L022530 000000000000000000000000000000000000000000000000000000000000000000* L022596 000000000000000000000000000000000000000000000000000000000000000000* L022662 000000000000000000000000000000000000000000000000000000000000000000* @@ -504,61 +503,61 @@ L022860 000000000000000000000000000000000000000000000000000000000000000000* L022926 000000000000000000000000000000000000000000000000000000000000000000* L022992 000000000000000000000000000000000000000000000000000000000000000000* L023058 - 011111111111111111111111111111111111111111111111111101111111111111* -L023124 111111111111111111111111111111111111111111111111011111111111111111* -L023190 000000000000000000000000000000000000000000000000000000000000000000* + 111111111111111111111111111111111111111111111101111111111101111111* +L023124 111111111111111101111111111111111111111111111111111111111111101111* +L023190 111111111111111110111111111111111111111111111111111111111111011111* L023256 000000000000000000000000000000000000000000000000000000000000000000* L023322 000000000000000000000000000000000000000000000000000000000000000000* L023388 000000000000000000000000000000000000000000000000000000000000000000* -L023454 111111111111111111111111110111111111111111111111111111111111111111* +L023454 111111111111111111111111101111111111111101111111111111111111111111* L023520 000000000000000000000000000000000000000000000000000000000000000000* L023586 000000000000000000000000000000000000000000000000000000000000000000* L023652 000000000000000000000000000000000000000000000000000000000000000000* L023718 000000000000000000000000000000000000000000000000000000000000000000* L023784 - 011111111111111111111111111111111111111111111111111101111111111111* -L023850 111111111110111111011111111111101111111111111111111111111111111111* + 111111111111111111111111111111111111111111111101111111111101111111* +L023850 111111110110111111111111111111111111111111111111111111101111111111* L023916 000000000000000000000000000000000000000000000000000000000000000000* L023982 000000000000000000000000000000000000000000000000000000000000000000* L024048 000000000000000000000000000000000000000000000000000000000000000000* L024114 000000000000000000000000000000000000000000000000000000000000000000* -L024180 111101111111111111111111101111111111111111111111111111111111011111* -L024246 110110111111111111111111101111111111111111111111111111111111101111* -L024312 110110111111111111111111011111111111111111111111111111110111011111* -L024378 111001111111111111111111111111111111111111111111111111110111011111* -L024444 111111111111111111111111101111111111111111111111111111111011111111* +L024180 111101111111111111111111111111111111111111111111111111111111111111* +L024246 000000000000000000000000000000000000000000000000000000000000000000* +L024312 000000000000000000000000000000000000000000000000000000000000000000* +L024378 000000000000000000000000000000000000000000000000000000000000000000* +L024444 000000000000000000000000000000000000000000000000000000000000000000* L024510 000000000000000000000000000000000000000000000000000000000000000000* -L024576 111111111111111111110111111111111111111111111111111111111111111111* +L024576 011111111110111111111111111111111111111111111111111111111111111111* L024642 000000000000000000000000000000000000000000000000000000000000000000* L024708 000000000000000000000000000000000000000000000000000000000000000000* L024774 000000000000000000000000000000000000000000000000000000000000000000* L024840 000000000000000000000000000000000000000000000000000000000000000000* -L024906 111111111011111111111111111111111111111111111101111111111111111111* +L024906 111111111111111111111111011111111111111110111111111111111111111111* L024972 000000000000000000000000000000000000000000000000000000000000000000* L025038 000000000000000000000000000000000000000000000000000000000000000000* L025104 000000000000000000000000000000000000000000000000000000000000000000* L025170 000000000000000000000000000000000000000000000000000000000000000000* L025236 111111111111111111111111111111111111111111111111111111111111111111* -L025302 111111111110111011011111111111111111111111111111111111111111111111* +L025302 111111110110111111111111111111111011111111111111111111111111111111* L025368 000000000000000000000000000000000000000000000000000000000000000000* L025434 000000000000000000000000000000000000000000000000000000000000000000* L025500 000000000000000000000000000000000000000000000000000000000000000000* L025566 000000000000000000000000000000000000000000000000000000000000000000* -L025632 110111111111111111111111011111111111111111111111111111111111111111* -L025698 111101111111111111111111111111111111111111111111111111110111011111* -L025764 111111111111111111111111101111111111111111111111111111110111101111* -L025830 110111111111111111111111111111111111111111111111111111111011111111* -L025896 000000000000000000000000000000000000000000000000000000000000000000* +L025632 111111111111111111111101111111111111111111101111111111111111011111* +L025698 111111011111111111111110111111111111111111101111111111111111101111* +L025764 111111011111111101111110111111111111111111011111111111111111011111* +L025830 111111101111111101111101111111111111111111111111111111111111011111* +L025896 111111111111111110111111111111111111111111101111111111111111111111* L025962 000000000000000000000000000000000000000000000000000000000000000000* -L026028 111111111111111111111101111111111111111111111111111111111111111111* +L026028 111111111111011111111111111111111111111111111111111111111111111111* L026094 000000000000000000000000000000000000000000000000000000000000000000* L026160 000000000000000000000000000000000000000000000000000000000000000000* L026226 000000000000000000000000000000000000000000000000000000000000000000* L026292 000000000000000000000000000000000000000000000000000000000000000000* -L026358 111111110111111111111111111111111111111111111110111111111111111111* +L026358 011111111111111111101111111111111111111111111111111111111111111111* L026424 000000000000000000000000000000000000000000000000000000000000000000* L026490 000000000000000000000000000000000000000000000000000000000000000000* L026556 000000000000000000000000000000000000000000000000000000000000000000* @@ -569,45 +568,45 @@ L026688 L026820 0010* L026824 10100111010000* L026838 11100110011110* -L026852 00100110010100* +L026852 10100110010100* L026866 00100110011111* L026880 10101011111001* L026894 00100011111111* -L026908 00100110010100* +L026908 10100110010100* L026922 00100110010010* L026936 01101011110011* -L026950 11100110010011* -L026964 00100110010000* +L026950 00100110010011* +L026964 01100110010000* L026978 00100110010011* L026992 01101111111011* -L027006 10100110011111* +L027006 11100110011111* L027020 00100110010000* -L027034 00100110010010* +L027034 01100110010010* NOTE BLOCK 4 * L027048 - 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111110111110111111111 - 111111010111111111111111111111111111111101111111101111111111111111 - 111011111111111111111111111011011101111111011011111111111111111111 - 111111111111110111111111111111111111111111111111111101111111111111 - 111111111111111111111111011111111111111111111111111111111111111101 - 111110111111101111111101111111111111111111111111111111111111110111 - 101111111101111111111111111110111111111011111111111111101101101111 - 111111111111111101101111111111111011101111111111111111111111111111* + 111111111111111111111111111111111111111111111111111111111101111111 + 110111111111111111111111111111111111110111111111111111111111111111 + 111111111101111111111111010111111110111111111111111111111111111111 + 011111101111111110011111111111111111111110011111111111111111111111 + 111111111111110111110111111111111111111111110111111111111111111111 + 111111111111111111111111111111111111011111111111111111011111111111 + 111110111111011111111111111111111111111111111111111111111011110111 + 111111111011111111111111111111111111111111111110111111111111111110 + 111111111111111111111101111111111011111111111111111111111111111111* L027642 - 110111111111111101111110100111111111111011111111011111111111111001* + 111111111111101101111101111111111101011101111111111111101111111010* L027708 000000000000000000000000000000000000000000000000000000000000000000* L027774 000000000000000000000000000000000000000000000000000000000000000000* L027840 000000000000000000000000000000000000000000000000000000000000000000* L027906 000000000000000000000000000000000000000000000000000000000000000000* L027972 000000000000000000000000000000000000000000000000000000000000000000* -L028038 101111111111011111111111111111111011111111111011111111111111111111* -L028104 011111111111101111111111111111111111111111111111111111111111111111* +L028038 111111101111111111111111111111111011111111111110111111110111111111* +L028104 111111111111111111111111111111111111111111111101111111111011111111* L028170 000000000000000000000000000000000000000000000000000000000000000000* L028236 000000000000000000000000000000000000000000000000000000000000000000* L028302 000000000000000000000000000000000000000000000000000000000000000000* L028368 - 011111111111111111111111111111111111111111111111111101111111111111* + 111111111111111111111111111111111111111111111101111111111101111111* L028434 111111111111111111111111111111111111111111111111111111111111111111* L028500 111111111111111111111111111111111111111111111111111111111111111111* L028566 111111111111111111111111111111111111111111111111111111111111111111* @@ -620,16 +619,16 @@ L028962 111111111111111111111111111111111111111111111111111111111111111111* L029028 111111111111111111111111111111111111111111111111111111111111111111* L029094 000000000000000000000000000000000000000000000000000000000000000000* -L029160 111111111111111111111111111111101111111011111111111111111111111111* +L029160 111111111111111111111111111111111111111111111011111111111111111110* L029226 111111111111111111111111111111111111111111111111111111111111111111* L029292 111111111111111111111111111111111111111111111111111111111111111111* L029358 111111111111111111111111111111111111111111111111111111111111111111* L029424 111111111111111111111111111111111111111111111111111111111111111111* -L029490 111111101001111011011111111111111110011110101111111011101001111111* -L029556 111111111111111111111111111111111111111111110111111111111111111111* -L029622 000000000000000000000000000000000000000000000000000000000000000000* -L029688 000000000000000000000000000000000000000000000000000000000000000000* -L029754 000000000000000000000000000000000000000000000000000000000000000000* +L029490 111101111111111111111111111111111111111111111111111111111111111111* +L029556 111111111111111111111111111111111111111111111111111111111111111111* +L029622 111111111111111111111111111111111111111111111111111111111111111111* +L029688 111111111111111111111111111111111111111111111111111111111111111111* +L029754 111111111111111111111111111111111111111111111111111111111111111111* L029820 000000000000000000000000000000000000000000000000000000000000000000* L029886 111111111111111111111111111111111111111111111111111111111111111111* @@ -644,12 +643,12 @@ L030414 111111111111111111111111111111111111111111111111111111111111111111* L030480 111111111111111111111111111111111111111111111111111111111111111111* L030546 000000000000000000000000000000000000000000000000000000000000000000* -L030612 111111111111111111111111111111111111111111111111111111111111011111* +L030612 111111111111111111110111111111111111111111111111111111111111111111* L030678 111111111111111111111111111111111111111111111111111111111111111111* L030744 111111111111111111111111111111111111111111111111111111111111111111* L030810 111111111111111111111111111111111111111111111111111111111111111111* L030876 111111111111111111111111111111111111111111111111111111111111111111* -L030942 111110111111111111111111111111111111111111111111111111111111111111* +L030942 011111111111111111111111111111111111111111111111111111111111111111* L031008 111111111111111111111111111111111111111111111111111111111111111111* L031074 111111111111111111111111111111111111111111111111111111111111111111* L031140 111111111111111111111111111111111111111111111111111111111111111111* @@ -667,13 +666,13 @@ L031800 111111111111111111111111111111111111111111111111111111111111111111* L031866 111111111111111111111111111111111111111111111111111111111111111111* L031932 111111111111111111111111111111111111111111111111111111111111111111* L031998 - 111111111111111111111111111101111111111111111111111111111111111111* -L032064 111111101001111011011111111111111110011110101111111011101001111111* + 111011011010111011101111101011111111111011101111111111111111111111* +L032064 111111111111111111111111111111111111111111111111111111111111111111* L032130 111111111111111111111111111111111111111111111111111111111111111111* L032196 111111111111111111111111111111111111111111111111111111111111111111* L032262 111111111111111111111111111111111111111111111111111111111111111111* L032328 111111111111111111111111111111111111111111111111111111111111111111* -L032394 111101111111111111111111111111111111111111111111111111111111111111* +L032394 111111111111111111111111111111111111111111111111111111111111111111* L032460 111111111111111111111111111111111111111111111111111111111111111111* L032526 111111111111111111111111111111111111111111111111111111111111111111* L032592 111111111111111111111111111111111111111111111111111111111111111111* @@ -699,93 +698,93 @@ L033600 10101111110011* L033614 11011011110100* L033628 11110011110010* L033642 01111111111001* -L033656 10101011111111* -L033670 11011111110000* -L033684 11111011111111* +L033656 00000110011111* +L033670 11010111110000* +L033684 11110011111111* L033698 00110110010000* L033712 00000110011110* -L033726 11011111110001* -L033740 11110011111110* -L033754 00111011110000* -L033768 00000110011110* -L033782 11010111111101* -L033796 11111111111110* +L033726 11010111110000* +L033740 11111111111111* +L033754 00110011110001* +L033768 11001011111111* +L033782 11110111111100* +L033796 11111111111111* NOTE BLOCK 5 * L033810 - 111111111111101111111111111111111110111101111111111111111111111111 - 111111111111111111111111111111111111101011111111111011111111111111 - 111111111111111111111110111110111111111111111111111111110111111110 - 111110101111111111101011111111111111111111110110111111111101111111 - 111111111110111111111111111111101111111111111111111111111111111111 - 111111111111110111111111111111111111111111011111111111111111111111 - 111111111111111110111111011111111111111111111111111111111111111111 - 111111110111111111111111111011111111111111111111111111111111111111 - 101011111111111111111111111111110111111111111111111101111111111111* + 111111111111101101111111101111111110111111111111111111111111111111 + 111111111111111111111111111111111111101011111111111111101111111111 + 111111111111110111101110111111101111111111111111111111111111111110 + 111110111111111111111111111101111111111111111011111111111010111111 + 111111111111111111111011111111111111111111111111111111111111110111 + 111111111111111111111111111111111111111101011111111111111111111111 + 111111111111111111111111111111111111111111111111110111111111111111 + 111111110111111111111111111111111111111111111111111111111111111111 + 101011011111111111111111110111111111111111111111111101111111111111* L034404 000000000000000000000000000000000000000000000000000000000000000000* -L034470 111111111111110111111111111111111111111111111111111111111111111111* -L034536 000000000000000000000000000000000000000000000000000000000000000000* -L034602 000000000000000000000000000000000000000000000000000000000000000000* -L034668 000000000000000000000000000000000000000000000000000000000000000000* -L034734 000000000000000000000000000000000000000000000000000000000000000000* -L034800 111111111111111111111111111111100111111111111111111111111111111111* -L034866 111111111111111111111111111111011011111111111111111111111111111111* -L034932 000000000000000000000000000000000000000000000000000000000000000000* -L034998 000000000000000000000000000000000000000000000000000000000000000000* -L035064 000000000000000000000000000000000000000000000000000000000000000000* +L034470 011111111111111111111110111111111110101111111111110111011111111111* +L034536 011111111111011101111110111111111111101111111111110111011111111011* +L034602 011101011011101101111110111111111111101111101111110110011111111111* +L034668 011111111111111111111010111111111110101111111111110111111110111111* +L034734 011111111111011101111010111111111111101111111111110111111110111011* +L034800 011101011011101101111010111111111111101111101111110110111110111111* +L034866 011111111111111111111110111110111110101011111111111111011011111111* +L034932 011111111111011101111110111110111111101011111111111111011011111011* +L034998 011101011011101101111110111110111111101011101111111110011011111111* +L035064 011111111111111111111010111110111110101011111111111111111010111111* L035130 000000000000000000000000000000000000000000000000000000000000000000* -L035196 011111111111011111111111111111110111111101111011111111111111111111* -L035262 011101111111011111111111101111100111111110011111111110111111111111* -L035328 011111111111011111011111111111111111111111111111111111111111111111* -L035394 010111111110111111011111111111111111111111111111111111111111111111* +L035196 011111111111011101111010111110111111101011111111111111111010111011* +L035262 011101011011101101111010111110111111101011101111111110111010111111* +L035328 010111111111111111111110111111111111111111111111111011111111111111* +L035394 010111111111111111111110111111111111101111111111111111111111111111* L035460 000000000000000000000000000000000000000000000000000000000000000000* -L035526 011111111101101101111101111111111111111111111111111111111011111111* -L035592 011111111101111101111101111111110111111101111011111111111011111111* -L035658 011101111101111101111101101111100111111110011111111110111011111111* -L035724 011111111101101111111101111111111110111111111111111111111011111110* -L035790 011111111101111111111101111111110110111101111011111111111011111110* +L035526 011111111111111111111011111111111111111111111111110111110111111111* +L035592 010111111111111111110111111111111111111111111111111111101111111111* +L035658 010111111111111111110111111111111111111111111111111111110111111111* +L035724 000000000000000000000000000000000000000000000000000000000000000000* +L035790 000000000000000000000000000000000000000000000000000000000000000000* L035856 000000000000000000000000000000000000000000000000000000000000000000* -L035922 011101111101111111111101101111100110111110011111111110111011111110* -L035988 011111111111101101101101111111111111101011111111111111111011111111* -L036054 011111111111111101101101111111110111101001111011111111111011111111* -L036120 011101111111111101101101101111100111101010011111111110111011111111* -L036186 011111111111101111101101111111111110101011111111111111111011111110* -L036252 011111111111111111101101111111110110101001111011111111111011111110* -L036318 011101111111111111101101101111100110101010011111111110111011111110* -L036384 010111111110111111111101111111111111111111111111111111111111111111* -L036450 010111111111111111111101111111111111111111111111111111111011111111* +L035922 011111111111011101111111111111111101111111111111111111111111111011* +L035988 011101011011101101111111111111111101111111101111111110111111111111* +L036054 011111111111111111111111111111111101111111111111111111110111111111* +L036120 010111111111111111111111111111111111111111111111111011110111111111* +L036186 000000000000000000000000000000000000000000000000000000000000000000* +L036252 011111111111111111101111111111111111111101111111111111111111111111* +L036318 011111111111111111101111111110111111111111111111111111111111111111* +L036384 011111111111111111111111111101101111111110111111111111111111111111* +L036450 000000000000000000000000000000000000000000000000000000000000000000* L036516 000000000000000000000000000000000000000000000000000000000000000000* L036582 000000000000000000000000000000000000000000000000000000000000000000* -L036648 111111101111101111101111111111111110101011111111111111111011111110* -L036714 111111111111101111101111111111111110101011111111111111111001111110* -L036780 111111111111101111101111111111111110101011111110111111111011111110* -L036846 111111110111101111101111111111111110101011111111111111111011111110* +L036648 011111111111111111111111111101111111111111111111110111111110111111* +L036714 010111111111111111111111111111111111111111111111111111101101111111* +L036780 010111111111111111111111111101111111111111111111111111111101111111* +L036846 000000000000000000000000000000000000000000000000000000000000000000* L036912 000000000000000000000000000000000000000000000000000000000000000000* -L036978 011111111111011111111111111111111110111111111111111111111111111111* -L037044 010111111111111111111111111001111111111111111111111111111111111111* -L037110 011111111111111111111111111111111101111111111111110111111111111111* -L037176 000000000000000000000000000000000000000000000000000000000000000000* -L037242 000000000000000000000000000000000000000000000000000000000000000000* +L036978 111111111111111111111111111111111111111111111111111111111111111111* +L037044 111111111111111111111111111111111111111111111111111111111111111111* +L037110 111111111111111111111111111111111111111111111111111111111111111111* +L037176 111111111111111111111111111111111111111111111111111111111111111111* +L037242 111111111111111111111111111111111111111111111111111111111111111111* L037308 000000000000000000000000000000000000000000000000000000000000000000* -L037374 011111111101111111011111111111111111111111111111111111111111111110* -L037440 010111111111111110111111111111111111111111111111111111111111111101* -L037506 010111111111111111011111111111111111111111111111111111111111111101* -L037572 000000000000000000000000000000000000000000000000000000000000000000* -L037638 000000000000000000000000000000000000000000000000000000000000000000* -L037704 011111011011111111111011111111111111111111111101111111111110111111* -L037770 011111111111111111111011111111111111011111111111111111111111111111* -L037836 010111111110111111111111111111111111011111111111111111111111111111* +L037374 111111111111111111111111011111111111111111111111111111111111111111* +L037440 111111111111111111111011111110111110101011111011111111111010111111* +L037506 111111111111110111111011111110111110101011111111111111111010111111* +L037572 111111111111111111111011111110111110101011111111111111111010111110* +L037638 111111111111111111111011011110111110101011111111111111111010111111* +L037704 011111111111111111110111111111111111101111111111111111011111111111* +L037770 010111111111111111111111111111111111011111111111111011111111111111* +L037836 000000000000000000000000000000000000000000000000000000000000000000* L037902 000000000000000000000000000000000000000000000000000000000000000000* L037968 000000000000000000000000000000000000000000000000000000000000000000* L038034 000000000000000000000000000000000000000000000000000000000000000000* -L038100 011111111111111101111111111111111101111111111111111111111111111111* -L038166 011111111111111111111111111111111101110111111111111111111111111111* -L038232 010111111110111111111111111111111111110111111111111111111111111111* +L038100 011111111111111111111111111111111111111111111111111111011101111111* +L038166 011111111111111111111111111111111111110111111111111111111101111111* +L038232 010111111111111111111111111111111111110111111111111011111111111111* L038298 000000000000000000000000000000000000000000000000000000000000000000* L038364 000000000000000000000000000000000000000000000000000000000000000000* L038430 111111111111111111111111111111111111111111111111111111111111111111* @@ -795,16 +794,16 @@ L038628 111111111111111111111111111111111111111111111111111111111111111111* L038694 111111111111111111111111111111111111111111111111111111111111111111* L038760 000000000000000000000000000000000000000000000000000000000000000000* -L038826 011111111101111111111111111111111110011111111111111111111111111111* -L038892 010111111111111110111111111111111101111111111111111111111111111111* -L038958 010111111111111111111111111111111101011111111111111111111111111111* -L039024 000000000000000000000000000000000000000000000000000000000000000000* -L039090 000000000000000000000000000000000000000000000000000000000000000000* -L039156 101111111111011111111111111111111111111111111111111111111111111111* -L039222 011111111101101111111111111111111111110111111111111111111111111111* -L039288 111111111111011111111111111111110111111001111011111111111111111111* -L039354 111101111111011111111111101111100111111010011111111110111111111111* -L039420 111011111111011111111111111111111111111111111111111111111111111111* +L038826 101111111111111111111111111111111101111111111111111111111111111111* +L038892 011111111111111111111111111111111110110111111111110111111111111111* +L038958 111111111111011101111111111111111101111011111111111111111111111011* +L039024 111101011011101101111111111111111101111011101111111110111111111111* +L039090 111011111111111111111111111111111101111111111111111111111111111111* +L039156 011111111111111111111111111011111111111111111111111111111111111111* +L039222 111111111111111111111111111111111111111111111111111111111111111111* +L039288 111111111111111111111111111111111111111111111111111111111111111111* +L039354 111111111111111111111111111111111111111111111111111111111111111111* +L039420 111111111111111111111111111111111111111111111111111111111111111111* L039486 000000000000000000000000000000000000000000000000000000000000000000* L039552 111111111111111111111111111111111111111111111111111111111111111111* @@ -821,37 +820,37 @@ L040212 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* L040344 0010* -L040348 00100110011110* -L040362 10100110010010* -L040376 10100110011110* -L040390 11010011110011* -L040404 10100110011111* -L040418 10110110010011* -L040432 11101011111110* -L040446 11000011110011* -L040460 10100110011111* +L040348 10100110011110* +L040362 10110110010010* +L040376 10000110011110* +L040390 11000011110011* +L040404 10000110011111* +L040418 11100110010011* +L040432 10001011111110* +L040446 11100011110011* +L040460 00000110011111* L040474 10100110010011* L040488 10100110011110* L040502 11101111111110* -L040516 10100110011111* -L040530 10100111011111* +L040516 10100111011111* +L040530 01000110011111* L040544 11010011111110* L040558 11111011111111* NOTE BLOCK 6 * L040572 - 111111111111111111101110111111111111111111111111111111111111111111 - 111111111101111111111011111111111111111111111111111111111111111111 - 111111101011111111111111101011111111111111111111011111111111111111 - 111110111111111111111111111111111111111111111011111111111111111111 - 111111111111111111111111111111101110111111011111111101111111111111 - 110111111111111011111111111101111111111111111111111111111111111111 - 111111111111101111111111111111111111111111111111110111011111111111 - 111111111111111101111111111111110111111110111111111111111111111111 - 101111111111111111111111111111111111111111111111111111110111111111* + 111111111111111101011011111101111111111111111111111111111111111111 + 111111111111111111111111111111011111111111111011111111111111111111 + 111111111110111111111111111011111111111111111111111111111111111111 + 111110111111111111111111111111111111111111111110111111111111111110 + 111111111111111111111110111111111110111101111111111111111111111111 + 110111011111111111111111111111111111111111111111111111111011111111 + 111111111111101111111111111111111111110111111111011111111111111111 + 101111110111111011111111011111111111111111111111111110111111111111 + 111111111111111111111111111111110111111111101111111111111111111111* L041166 000000000000000000000000000000000000000000000000000000000000000000* -L041232 011111111111110111111111111111111110111101111111111111111111111111* -L041298 011111111111101111111111111111111111111110111111111111111111111111* +L041232 011111111111111111111111111111111110111111011111111111110111111111* +L041298 101111111111101111111111111111111111111111011111111111111111111111* L041364 000000000000000000000000000000000000000000000000000000000000000000* L041430 000000000000000000000000000000000000000000000000000000000000000000* L041496 000000000000000000000000000000000000000000000000000000000000000000* @@ -862,84 +861,84 @@ L041760 000000000000000000000000000000000000000000000000000000000000000000* L041826 000000000000000000000000000000000000000000000000000000000000000000* L041892 111111111111111111111111111111111111111111111111111111111111111111* -L041958 111111111111111111111111111111110111111111111111111111111111111111* -L042024 000000000000000000000000000000000000000000000000000000000000000000* -L042090 000000000000000000000000000000000000000000000000000000000000000000* +L041958 111111111111111111111111111111111111111111101111111111111111111111* +L042024 011111111111111111111111111111111111111111111101111111110111111111* +L042090 101111111111111111111111101111111111111111111111101111111111111111* L042156 000000000000000000000000000000000000000000000000000000000000000000* L042222 000000000000000000000000000000000000000000000000000000000000000000* -L042288 111111111111111111111111011111111111111111111111111111111111111111* +L042288 111111111111111111111111111111111111111101111111111111111111111111* L042354 000000000000000000000000000000000000000000000000000000000000000000* L042420 000000000000000000000000000000000000000000000000000000000000000000* L042486 000000000000000000000000000000000000000000000000000000000000000000* L042552 000000000000000000000000000000000000000000000000000000000000000000* L042618 000000000000000000000000000000000000000000000000000000000000000000* -L042684 111101111111111111111111111111101111111111111111111111111111111111* -L042750 111101111111111111111111111111111111111111111111111011111111111111* -L042816 111011111111111111111111111111011111111111111111110111110111111111* -L042882 111011111111111111111111111111101111111111111111111011110111111111* -L042948 111101111111111111111111111111111111111111111111111111111011111111* -L043014 011111111110111111111111111111111111111111111111111111111111111111* +L042684 111101111011111111111111111111111111111111111111111111111111111111* +L042750 111001111111111111111111111111111111111111111111111111111111111111* +L042816 110111110111111101111111111111111011111111111111111111111111111111* +L042882 111011111011111101111111111111111011111111111111111111111111111111* +L042948 111101111111111110111111111111111111111111111111111111111111111111* +L043014 111111111111111111111111111111101111111111011111111111111111111111* L043080 000000000000000000000000000000000000000000000000000000000000000000* L043146 000000000000000000000000000000000000000000000000000000000000000000* L043212 000000000000000000000000000000000000000000000000000000000000000000* L043278 000000000000000000000000000000000000000000000000000000000000000000* L043344 000000000000000000000000000000000000000000000000000000000000000000* -L043410 111111111111111111111111111101111111111111111111111111111111111111* -L043476 000000000000000000000000000000000000000000000000000000000000000000* -L043542 000000000000000000000000000000000000000000000000000000000000000000* +L043410 011111111111111111111111111111111111111111011111111111111011111111* +L043476 011111111110111111111111111111111111111111011111111111111111111111* +L043542 101111111111111111111111101111111111111111011111101111111111111111* L043608 000000000000000000000000000000000000000000000000000000000000000000* L043674 000000000000000000000000000000000000000000000000000000000000000000* -L043740 111111111111111111111111111111111111111111011111111111111111111111* +L043740 111111111111111111111111111111111111111111111111111101111111111111* L043806 000000000000000000000000000000000000000000000000000000000000000000* L043872 000000000000000000000000000000000000000000000000000000000000000000* L043938 000000000000000000000000000000000000000000000000000000000000000000* L044004 000000000000000000000000000000000000000000000000000000000000000000* L044070 - 111111111111111111111111111111111111111110111011111101111111111111* -L044136 101111111111111111111111111111111111111111111111111111111111111111* -L044202 111111111111110111111111110111111111111101111111111111111111111111* -L044268 111111111111111101111111111111111111111110111111111111111111111111* + 101111111111111111011111111111111111111111111111111111111111111110* +L044136 111111111111111111111111111111111111111111101111111111111111111111* +L044202 011111111111111111111111110111111111111111111111111111110111111111* +L044268 101111111111111111111111011111111111111111111111111111111111111111* L044334 000000000000000000000000000000000000000000000000000000000000000000* L044400 000000000000000000000000000000000000000000000000000000000000000000* -L044466 101111111111111111111111111111111111111111111111111111111111111111* -L044532 111111111111110111110111111111111111111101111111111111111111111111* -L044598 111111111111111110111111111111111111111110111111111111101111111111* +L044466 111111111111110111111111111111111111111111111111111111111111111111* +L044532 000000000000000000000000000000000000000000000000000000000000000000* +L044598 000000000000000000000000000000000000000000000000000000000000000000* L044664 000000000000000000000000000000000000000000000000000000000000000000* L044730 000000000000000000000000000000000000000000000000000000000000000000* L044796 - 111111111111111111111111111111111111111110111011111111111111111111* -L044862 111111111111111111111101111111111111111111111111111111111111111111* -L044928 000000000000000000000000000000000000000000000000000000000000000000* + 101111111111111111111111111111111111111111111111111111111111111110* +L044862 101111111111111111111110111111111111111111011111111111111111111111* +L044928 011111111111111111111111111111111111111111011011111111110111111111* L044994 000000000000000000000000000000000000000000000000000000000000000000* L045060 000000000000000000000000000000000000000000000000000000000000000000* L045126 000000000000000000000000000000000000000000000000000000000000000000* -L045192 011111111011111111111111111111111111111111111111111111111111111111* +L045192 111111011111111111111111111111111111111111111111111111111111111111* L045258 000000000000000000000000000000000000000000000000000000000000000000* L045324 000000000000000000000000000000000000000000000000000000000000000000* L045390 000000000000000000000000000000000000000000000000000000000000000000* L045456 000000000000000000000000000000000000000000000000000000000000000000* L045522 - 111111111111111111111111111111111111111110111111111101111111111111* -L045588 111111111111111111011011111111111111111111111111111111111111111111* + 101111111111111111011111111111111111111111111111111111111111111111* +L045588 111111111101111111111111111111111111111111111110111111111111111111* L045654 000000000000000000000000000000000000000000000000000000000000000000* L045720 000000000000000000000000000000000000000000000000000000000000000000* L045786 000000000000000000000000000000000000000000000000000000000000000000* L045852 000000000000000000000000000000000000000000000000000000000000000000* -L045918 011111111111111011111111111111111111111101111111111111111111111111* -L045984 011111111111111111101111111111111111111101111111111111111111111111* -L046050 011111111111111110111111111111111111111110111111111111101111111111* +L045918 111111111111111111111111111101111111111111111111111111111111111111* +L045984 000000000000000000000000000000000000000000000000000000000000000000* +L046050 000000000000000000000000000000000000000000000000000000000000000000* L046116 000000000000000000000000000000000000000000000000000000000000000000* L046182 000000000000000000000000000000000000000000000000000000000000000000* L046248 000000000000000000000000000000000000000000000000000000000000000000* -L046314 111111111111111111111111111111111111111111111111011111111111111111* -L046380 000000000000000000000000000000000000000000000000000000000000000000* +L046314 101111111111111111111101111111111111111111011111111111111111111111* +L046380 011111111111111111111011111111111111111111011111111111110111111111* L046446 000000000000000000000000000000000000000000000000000000000000000000* L046512 000000000000000000000000000000000000000000000000000000000000000000* L046578 000000000000000000000000000000000000000000000000000000000000000000* -L046644 011111101111111111111111111111111111111111111111111111111111111111* +L046644 111111111111111111111111111111111111110111111111111111111111111111* L046710 000000000000000000000000000000000000000000000000000000000000000000* L046776 000000000000000000000000000000000000000000000000000000000000000000* L046842 000000000000000000000000000000000000000000000000000000000000000000* @@ -950,46 +949,46 @@ L046974 L047106 0010* L047110 11100110011000* L047124 00101011111110* -L047138 00100110010101* +L047138 10100110010101* L047152 00100110011111* L047166 10100110011000* L047180 01100110010010* -L047194 00100110010000* +L047194 11100110010000* L047208 00100110010011* L047222 10100110010001* -L047236 10100110010011* -L047250 00100110010100* -L047264 01100110010010* +L047236 00100110010011* +L047250 11100110010100* +L047264 00100110010010* L047278 00100011110010* -L047292 11100110010011* -L047306 00100110010001* -L047320 01100110011111* +L047292 00100110010011* +L047306 11100110010001* +L047320 00100110011111* NOTE BLOCK 7 * L047334 - 111111111111111111101111111111111111111110111111111111111111111011 - 111111111111111111111011111111111111111111111111111011111111111111 - 111111111111111111111111111111111111111111111110101111110111111110 - 111111111111111111111111101011111111111111111011111111111110111111 - 111111111110111111111111111111111111111111111111111101111111111111 - 111110111111011111111111111111111111011111111111111111111111111111 - 111111011111111111111101111111111101111111111111111111101111111111 + 111110111111111111011111111111111111111110111111111111111111111111 + 111111111111111111111111111111111111111111111111111011111111111110 + 111111111111111111111111111111111110111111111111111111111110111111 + 111111111111111111111011101011111111111111111010101111111111111111 + 111111111110111111111111111111101111111111111111111111111111111111 + 111111111111011111111111111111111111011111111111111111111111111111 + 111111011111110111111101111111111111111111111111111111101111110111 101111111011111111111111111111111111111011111111111111111111111111 111011111111111101111111111110111011111111101111111111111111101111* L047928 000000000000000000000000000000000000000000000000000000000000000000* -L047994 111111111110111111111111111111111111111111011111111111111101101011* -L048060 111111111111111111111111111111111111111111011111111111111001101011* -L048126 111111111111111111111111111111111111111111011111111011111111110111* +L047994 111111111101111011111011111111111111111111011111111111111111101111* +L048060 111111111101111111111011111111111111111111011111111111111111101110* +L048126 111111111111111111110111111111111111111111011111111011111111111111* L048192 000000000000000000000000000000000000000000000000000000000000000000* L048258 000000000000000000000000000000000000000000000000000000000000000000* -L048324 111111111111101110111110010111111110011011111111011111111111111111* +L048324 111111111111101110111110010111111101011011111111111111111111111011* L048390 000000000000000000000000000000000000000000000000000000000000000000* L048456 000000000000000000000000000000000000000000000000000000000000000000* L048522 000000000000000000000000000000000000000000000000000000000000000000* L048588 000000000000000000000000000000000000000000000000000000000000000000* L048654 000000000000000000000000000000000000000000000000000000000000000000* -L048720 111111111111111111111111111111111111111111111101111111111111111111* +L048720 111111111111111111111111111111111111111111111111011111111111111111* L048786 111111111111111111111111111111111111111111111111111111111111111111* L048852 111111111111111111111111111111111111111111111111111111111111111111* L048918 111111111111111111111111111111111111111111111111111111111111111111* @@ -1002,7 +1001,7 @@ L049314 111111111111111111111111111111111111111111111111111111111111111111* L049380 111111111111111111111111111111111111111111111111111111111111111111* L049446 111111111111111111111111111111111111101111011111111111111111111111* -L049512 101111111110111111111111111111111111111111011111111111111111111111* +L049512 101111111111111011111111111111111111111111011111111111111111111111* L049578 000000000000000000000000000000000000000000000000000000000000000000* L049644 000000000000000000000000000000000000000000000000000000000000000000* L049710 000000000000000000000000000000000000000000000000000000000000000000* @@ -1012,7 +1011,7 @@ L049908 111111111111111111111111111111111111111111111111111111111111111111* L049974 111111111111111111111111111111111111111111111111111111111111111111* L050040 111111111111111111111111111111111111111111111111111111111111111111* L050106 - 101111111111111111111111111111111111111111111011111101111111111111* + 101111111111111111011111111111111111111111111011111111111111111111* L050172 111111111111111111111111111101111111111111111111111111111111111111* L050238 111111111111111111111111111111111111111111111111111111111111111111* L050304 111111111111111111111111111111111111111111111111111111111111111111* @@ -1030,13 +1029,13 @@ L050964 111111111111111111111111111111111111111111111111111111111111111111* L051030 111111111111111111111111111111111111111111111111111111111111111111* L051096 111111111111111111111111111111111111111111111111111111111111111111* L051162 111111111111111111111111111111111111111111111111111111111111111111* -L051228 111111111111111111111111111111111111111110011101111111111111111101* -L051294 111101111111111111111111111111111111111111011101111111111111111101* -L051360 111111111111111111111111111101111111111111011111111111111111111101* +L051228 111111111111111111111111111111011111111110011111011111111111111111* +L051294 111101111111111111111111111111011111111111011111011111111111111111* +L051360 111111111111111111111111111101011111111111011111111111111111111111* L051426 110111111011111111111111111111111111111111011111111111101111111111* L051492 000000000000000000000000000000000000000000000000000000000000000000* L051558 - 011111111111111111111111111111111111111111111111111101111111111111* + 011111111111111111011111111111111111111111111111111111111111111111* L051624 111111111111111111111111111111111111111111111111111111111111111111* L051690 111111111111111111111111111111111111111111111111111111111111111111* L051756 111111111111111111111111111111111111111111111111111111111111111111* @@ -1049,7 +1048,7 @@ L052152 111111111111111111111111111111111111111111111111111111111111111111* L052218 111111111111111111111111111111111111111111111111111111111111111111* L052284 101111111111111111111111111111111111111111111011111111111111111111* -L052350 111111111111111111100111111111111111111111111111111111111111111111* +L052350 111111111111111111111111111111111111111111111101111111111110111111* L052416 111111111111111111111111111111111111111111111111111111111111111111* L052482 111111111111111111111111111111111111111111111111111111111111111111* L052548 111111111111111111111111111111111111111111111111111111111111111111* @@ -1076,9 +1075,9 @@ L053736 000000000000000000000000000000000000000000000000000000000000000000* L053868 0010* L053872 11100110011100* -L053886 01101011110010* +L053886 01101011111110* L053900 00010110010001* -L053914 11101011110011* +L053914 11101011111111* L053928 11100110010000* L053942 01000110011110* L053956 00010110010101* @@ -1110,6 +1109,6 @@ E1 10000010 1 * -C979F* +C42BE* U00000000000000000000000000000000* -06AF +FD2E diff --git a/Logic/68030_tk.lco b/Logic/68030_tk.lco index 5af8739..199cdfa 100644 --- a/Logic/68030_tk.lco +++ b/Logic/68030_tk.lco @@ -16,8 +16,8 @@ RCS = "$Revision: 1.2 $"; Parent = m4a5.lci; SDS_File = m4a5.sds; Design = 68030_tk.tt4; -DATE = 5/13/15; -TIME = 22:59:29; +DATE = 7/9/15; +TIME = 18:49:07; Source_Format = Pure_VHDL; Type = TT2; Pre_Fit_Time = 1; @@ -76,27 +76,26 @@ Usercode_Format = Hex; [LOCATION ASSIGNMENTS] Layer = OFF; -A_28_ = pin,15,-,C,-; -A_27_ = pin,16,-,C,-; +SIZE_0_ = pin,70,-,G,-; +A_30_ = pin,5,-,B,-; SIZE_1_ = pin,79,-,H,-; +A_29_ = pin,6,-,B,-; +A_28_ = pin,15,-,C,-; +A_31_ = pin,4,-,B,-; +A_27_ = pin,16,-,C,-; A_26_ = pin,17,-,C,-; A_25_ = pin,18,-,C,-; -A_31_ = pin,4,-,B,-; A_24_ = pin,19,-,C,-; -A_23_ = pin,85,-,H,-; -A_22_ = pin,84,-,H,-; -A_21_ = pin,94,-,A,-; IPL_2_ = pin,68,-,G,-; -A_20_ = pin,93,-,A,-; -A_19_ = pin,97,-,A,-; FC_1_ = pin,58,-,F,-; -A_18_ = pin,95,-,A,-; AS_030 = pin,82,-,H,-; -A_17_ = pin,59,-,F,-; AS_000 = pin,42,-,E,-; -A_16_ = pin,96,-,A,-; +A_19_ = pin,97,-,A,-; +A_18_ = pin,95,-,A,-; DS_030 = pin,98,-,A,-; +A_17_ = pin,59,-,F,-; UDS_000 = pin,32,-,D,-; +A_16_ = pin,96,-,A,-; LDS_000 = pin,31,-,D,-; A1 = pin,60,-,F,-; nEXP_SPACE = pin,14,-,-,-; @@ -108,13 +107,13 @@ CLK_000 = pin,11,-,-,-; CLK_OSZI = pin,61,-,-,-; CLK_DIV_OUT = pin,65,-,G,-; CLK_EXP = pin,10,-,B,-; -IPL_1_ = pin,56,-,F,-; FPU_CS = pin,78,-,H,-; -IPL_0_ = pin,67,-,G,-; FPU_SENSE = pin,91,-,A,-; -FC_0_ = pin,57,-,F,-; +IPL_1_ = pin,56,-,F,-; DTACK = pin,30,-,D,-; +IPL_0_ = pin,67,-,G,-; AVEC = pin,92,-,A,-; +FC_0_ = pin,57,-,F,-; VPA = pin,36,-,-,-; RST = pin,86,-,-,-; AMIGA_ADDR_ENABLE = pin,33,-,D,-; @@ -122,9 +121,6 @@ AMIGA_BUS_DATA_DIR = pin,48,-,E,-; AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-; AMIGA_BUS_ENABLE_HIGH = pin,34,-,D,-; CIIN = pin,47,-,E,-; -SIZE_0_ = pin,70,-,G,-; -A_30_ = pin,5,-,B,-; -A_29_ = pin,6,-,B,-; IPL_030_2_ = pin,9,-,B,-; RW_000 = pin,80,-,H,-; A0 = pin,69,-,G,-; @@ -137,79 +133,78 @@ E = pin,66,-,G,-; VMA = pin,35,-,D,-; RESET = pin,3,-,B,-; RW = pin,71,-,G,-; -cpu_est_0_ = node,-,-,F,1; -cpu_est_1_ = node,-,-,D,9; -inst_AS_000_INT = node,-,-,C,5; -SM_AMIGA_5_ = node,-,-,F,12; -inst_AMIGA_BUS_ENABLE_DMA_LOW = node,-,-,C,9; +N_165 = node,-,-,F,6; +cpu_est_0_ = node,-,-,D,6; +cpu_est_1_ = node,-,-,D,13; +inst_AS_000_INT = node,-,-,C,1; +SM_AMIGA_5_ = node,-,-,F,4; +inst_AMIGA_BUS_ENABLE_DMA_LOW = node,-,-,G,14; inst_AS_030_D0 = node,-,-,H,5; inst_nEXP_SPACE_D0reg = node,-,-,G,5; -inst_DS_030_D0 = node,-,-,A,15; -inst_AS_030_000_SYNC = node,-,-,C,4; +inst_DS_030_D0 = node,-,-,D,10; +inst_AS_030_000_SYNC = node,-,-,C,8; inst_BGACK_030_INT_D = node,-,-,H,13; inst_AS_000_DMA = node,-,-,A,8; -inst_DS_000_DMA = node,-,-,A,9; -CYCLE_DMA_0_ = node,-,-,A,6; -CYCLE_DMA_1_ = node,-,-,A,2; -SIZE_DMA_0_ = node,-,-,G,13; -SIZE_DMA_1_ = node,-,-,G,9; -inst_VPA_D = node,-,-,B,14; -inst_UDS_000_INT = node,-,-,C,12; -inst_LDS_000_INT = node,-,-,C,8; -inst_CLK_OUT_PRE_D = node,-,-,E,13; -inst_DTACK_D0 = node,-,-,C,2; -inst_CLK_OUT_PRE_50 = node,-,-,E,9; -inst_CLK_000_D1 = node,-,-,G,2; -inst_CLK_000_D0 = node,-,-,D,6; -inst_CLK_000_PE = node,-,-,F,0; -CLK_000_P_SYNC_9_ = node,-,-,A,11; -inst_CLK_000_NE = node,-,-,E,8; -N_96_i = node,-,-,F,6; +inst_DS_000_DMA = node,-,-,A,13; +CYCLE_DMA_0_ = node,-,-,A,10; +CYCLE_DMA_1_ = node,-,-,A,6; +SIZE_DMA_0_ = node,-,-,G,6; +SIZE_DMA_1_ = node,-,-,G,2; +inst_VPA_D = node,-,-,F,13; +inst_UDS_000_INT = node,-,-,F,5; +inst_LDS_000_INT = node,-,-,B,9; +inst_CLK_OUT_PRE_D = node,-,-,G,15; +inst_DTACK_D0 = node,-,-,D,15; +inst_CLK_OUT_PRE_50 = node,-,-,A,9; +inst_CLK_000_D1 = node,-,-,F,8; +inst_CLK_000_D0 = node,-,-,G,13; +inst_CLK_000_PE = node,-,-,D,9; +CLK_000_P_SYNC_9_ = node,-,-,C,2; +inst_CLK_000_NE = node,-,-,G,9; CLK_000_N_SYNC_11_ = node,-,-,H,6; -cpu_est_2_ = node,-,-,D,13; -IPL_D0_0_ = node,-,-,B,15; -IPL_D0_1_ = node,-,-,G,15; -IPL_D0_2_ = node,-,-,G,11; -SM_AMIGA_3_ = node,-,-,F,13; -inst_CLK_000_NE_D0 = node,-,-,D,2; -SM_AMIGA_0_ = node,-,-,B,5; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = node,-,-,C,1; -SM_AMIGA_6_ = node,-,-,F,9; -RST_DLY_0_ = node,-,-,B,6; +cpu_est_2_ = node,-,-,D,2; +IPL_D0_0_ = node,-,-,B,7; +IPL_D0_1_ = node,-,-,C,13; +IPL_D0_2_ = node,-,-,B,13; +SM_AMIGA_3_ = node,-,-,F,12; +inst_CLK_000_NE_D0 = node,-,-,B,15; +SM_AMIGA_0_ = node,-,-,F,9; +inst_AMIGA_BUS_ENABLE_DMA_HIGH = node,-,-,G,10; +SM_AMIGA_6_ = node,-,-,C,4; +RST_DLY_0_ = node,-,-,B,10; RST_DLY_1_ = node,-,-,A,1; -RST_DLY_2_ = node,-,-,B,9; -RST_DLY_3_ = node,-,-,A,12; -RST_DLY_4_ = node,-,-,A,5; -RST_DLY_5_ = node,-,-,B,13; -RST_DLY_6_ = node,-,-,B,2; -RST_DLY_7_ = node,-,-,B,10; -CLK_000_P_SYNC_0_ = node,-,-,D,15; -CLK_000_P_SYNC_1_ = node,-,-,G,7; -CLK_000_P_SYNC_2_ = node,-,-,G,3; -CLK_000_P_SYNC_3_ = node,-,-,B,11; -CLK_000_P_SYNC_4_ = node,-,-,B,7; -CLK_000_P_SYNC_5_ = node,-,-,G,14; -CLK_000_P_SYNC_6_ = node,-,-,G,10; -CLK_000_P_SYNC_7_ = node,-,-,A,7; -CLK_000_P_SYNC_8_ = node,-,-,A,3; -CLK_000_N_SYNC_0_ = node,-,-,D,11; -CLK_000_N_SYNC_1_ = node,-,-,B,3; -CLK_000_N_SYNC_2_ = node,-,-,D,7; -CLK_000_N_SYNC_3_ = node,-,-,D,3; -CLK_000_N_SYNC_4_ = node,-,-,A,14; -CLK_000_N_SYNC_5_ = node,-,-,C,13; +RST_DLY_2_ = node,-,-,B,5; +RST_DLY_3_ = node,-,-,B,0; +RST_DLY_4_ = node,-,-,B,12; +RST_DLY_5_ = node,-,-,A,12; +RST_DLY_6_ = node,-,-,B,11; +RST_DLY_7_ = node,-,-,A,5; +CLK_000_P_SYNC_0_ = node,-,-,D,11; +CLK_000_P_SYNC_1_ = node,-,-,G,11; +CLK_000_P_SYNC_2_ = node,-,-,B,3; +CLK_000_P_SYNC_3_ = node,-,-,C,9; +CLK_000_P_SYNC_4_ = node,-,-,B,4; +CLK_000_P_SYNC_5_ = node,-,-,E,9; +CLK_000_P_SYNC_6_ = node,-,-,E,5; +CLK_000_P_SYNC_7_ = node,-,-,G,7; +CLK_000_P_SYNC_8_ = node,-,-,C,5; +CLK_000_N_SYNC_0_ = node,-,-,D,7; +CLK_000_N_SYNC_1_ = node,-,-,A,15; +CLK_000_N_SYNC_2_ = node,-,-,A,11; +CLK_000_N_SYNC_3_ = node,-,-,A,7; +CLK_000_N_SYNC_4_ = node,-,-,D,3; +CLK_000_N_SYNC_5_ = node,-,-,A,3; CLK_000_N_SYNC_6_ = node,-,-,D,14; -CLK_000_N_SYNC_7_ = node,-,-,D,10; -CLK_000_N_SYNC_8_ = node,-,-,A,10; -CLK_000_N_SYNC_9_ = node,-,-,G,6; +CLK_000_N_SYNC_7_ = node,-,-,E,8; +CLK_000_N_SYNC_8_ = node,-,-,A,14; +CLK_000_N_SYNC_9_ = node,-,-,G,3; CLK_000_N_SYNC_10_ = node,-,-,H,2; -inst_CLK_030_H = node,-,-,A,13; -SM_AMIGA_1_ = node,-,-,F,8; +inst_CLK_030_H = node,-,-,A,2; +SM_AMIGA_1_ = node,-,-,F,1; SM_AMIGA_4_ = node,-,-,F,10; SM_AMIGA_2_ = node,-,-,F,2; -inst_DS_000_ENABLE = node,-,-,F,5; -SM_AMIGA_i_7_ = node,-,-,F,4; -CIIN_0 = node,-,-,E,5; +inst_DS_000_ENABLE = node,-,-,C,12; +SM_AMIGA_i_7_ = node,-,-,F,0; [GROUP ASSIGNMENTS] Layer = OFF; diff --git a/Logic/68030_tk.out b/Logic/68030_tk.out index 5935574..96b072d 100644 --- a/Logic/68030_tk.out +++ b/Logic/68030_tk.out @@ -723,6 +723,1671 @@ 14 A_28_ 1 -1 -1 1 4 14 -1 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +148 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 41 AS_000 5 -1 4 4 0 4 6 7 41 -1 1 0 21 + 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 + 81 AS_030 5 -1 7 3 4 6 7 81 -1 1 0 21 + 79 RW_000 5 371 7 2 4 6 79 -1 3 0 21 + 70 RW 5 379 6 2 0 7 70 -1 2 0 21 + 68 A0 5 372 6 1 0 68 -1 3 0 21 + 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 + 31 UDS_000 5 -1 3 1 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 1 6 30 -1 1 0 21 + 8 IPL_030_2_ 5 368 1 0 8 -1 9 0 21 + 7 IPL_030_0_ 5 370 1 0 7 -1 9 0 21 + 6 IPL_030_1_ 5 369 1 0 6 -1 9 0 21 + 65 E 5 376 6 0 65 -1 5 0 21 + 80 DSACK1 5 375 7 0 80 -1 4 0 21 + 34 VMA 5 377 3 0 34 -1 3 0 21 + 82 BGACK_030 5 374 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 373 3 0 28 -1 2 0 21 + 2 RESET 5 378 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 378 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 374 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 318 inst_CLK_000_PE 3 -1 2 6 0 2 3 5 6 7 -1 -1 1 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 3 6 0 3 4 5 6 7 -1 -1 1 0 21 + 376 RN_E 3 65 6 5 1 2 3 5 6 65 -1 5 0 21 + 294 cpu_est_1_ 3 -1 1 5 1 2 3 5 6 -1 -1 5 0 21 + 323 cpu_est_2_ 3 -1 5 5 1 2 3 5 6 -1 -1 4 0 21 + 293 cpu_est_0_ 3 -1 1 5 1 2 3 5 6 -1 -1 2 0 21 + 325 inst_CLK_000_NE_D0 3 -1 4 4 1 2 5 6 -1 -1 1 0 21 + 317 inst_CLK_000_D0 3 -1 3 4 0 1 3 5 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 6 4 0 3 4 7 -1 -1 1 0 21 + 366 SM_AMIGA_i_7_ 3 -1 5 3 0 3 7 -1 -1 15 0 21 + 336 RST_DLY_4_ 3 -1 2 3 1 2 5 -1 -1 15 0 21 + 335 RST_DLY_3_ 3 -1 5 3 1 2 5 -1 -1 14 0 21 + 334 RST_DLY_2_ 3 -1 5 3 1 2 5 -1 -1 13 0 21 + 333 RST_DLY_1_ 3 -1 2 3 1 2 5 -1 -1 12 0 21 + 339 RST_DLY_7_ 3 -1 1 3 1 2 5 -1 -1 10 0 21 + 337 RST_DLY_5_ 3 -1 2 3 1 2 5 -1 -1 10 1 21 + 332 RST_DLY_0_ 3 -1 2 3 1 2 5 -1 -1 10 0 21 + 301 inst_AS_030_000_SYNC 3 -1 7 3 0 5 7 -1 -1 7 0 21 + 324 SM_AMIGA_3_ 3 -1 2 3 0 2 5 -1 -1 5 0 21 + 338 RST_DLY_6_ 3 -1 2 3 1 2 5 -1 -1 3 0 21 + 296 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 322 inst_RST_D0 3 -1 7 3 1 2 5 -1 -1 1 0 21 + 320 inst_CLK_000_NE 3 -1 0 3 3 4 5 -1 -1 1 0 21 + 316 inst_CLK_000_D1 3 -1 5 3 0 1 5 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 6 3 2 3 5 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 6 3 3 6 7 -1 -1 1 0 21 + 304 inst_DS_000_DMA 3 -1 6 2 0 6 -1 -1 9 0 21 + 303 inst_AS_000_DMA 3 -1 6 2 6 7 -1 -1 7 0 21 + 364 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 4 0 21 + 377 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 365 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 + 363 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 362 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 331 SM_AMIGA_6_ 3 -1 0 2 0 5 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 326 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 2 0 6 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 3 2 2 3 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_50 3 -1 3 2 0 3 -1 -1 1 0 21 + 314 inst_DTACK_D0 3 -1 1 2 2 5 -1 -1 1 0 21 + 370 RN_IPL_030_0_ 3 7 1 1 1 7 -1 9 0 21 + 369 RN_IPL_030_1_ 3 6 1 1 1 6 -1 9 0 21 + 368 RN_IPL_030_2_ 3 8 1 1 1 8 -1 9 0 21 + 361 inst_CLK_030_H 3 -1 6 1 6 -1 -1 8 0 21 + 375 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 372 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 371 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 330 IPL_D0_2_ 3 -1 1 1 1 -1 -1 3 0 21 + 329 IPL_D0_1_ 3 -1 1 1 1 -1 -1 3 0 21 + 328 IPL_D0_0_ 3 -1 1 1 1 -1 -1 3 0 21 + 312 RESET_OUT_1_sqmuxa 3 -1 2 1 2 -1 -1 3 1 21 + 306 CYCLE_DMA_1_ 3 -1 6 1 6 -1 -1 3 0 21 + 379 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 373 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 367 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 327 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 3 1 3 -1 -1 2 0 21 + 360 pos_clk_un27_clk_000_ne_d0_i_n 3 -1 2 1 5 -1 -1 1 0 21 + 359 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 358 CLK_000_N_SYNC_9_ 3 -1 3 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_8_ 3 -1 3 1 3 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_7_ 3 -1 2 1 3 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_6_ 3 -1 4 1 2 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_5_ 3 -1 2 1 4 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_3_ 3 -1 1 1 0 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_1_ 3 -1 4 1 6 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 + 348 CLK_000_P_SYNC_8_ 3 -1 6 1 1 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_7_ 3 -1 4 1 6 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_6_ 3 -1 2 1 4 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_5_ 3 -1 5 1 2 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_4_ 3 -1 2 1 5 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_3_ 3 -1 0 1 2 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_2_ 3 -1 3 1 0 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_1_ 3 -1 2 1 3 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_0_ 3 -1 5 1 2 -1 -1 1 0 21 + 321 CLK_000_N_SYNC_11_ 3 -1 7 1 0 -1 -1 1 0 21 + 319 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 0 1 7 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 3 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 63 CLK_030 1 -1 -1 3 1 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 2 4 7 56 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 59 A1 1 -1 -1 1 3 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 29 DTACK 1 -1 -1 1 1 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 3 13 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +147 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 2 5 6 7 40 -1 1 0 21 + 79 RW_000 5 368 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 376 6 2 2 7 70 -1 2 0 21 + 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 369 6 1 0 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 0 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 + 8 IPL_030_2_ 5 367 1 0 8 -1 9 0 21 + 7 IPL_030_0_ 5 378 1 0 7 -1 9 0 21 + 6 IPL_030_1_ 5 377 1 0 6 -1 9 0 21 + 65 E 5 373 6 0 65 -1 5 0 21 + 80 DSACK1 5 372 7 0 80 -1 4 0 21 + 34 VMA 5 374 3 0 34 -1 3 0 21 + 82 BGACK_030 5 371 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 370 3 0 28 -1 2 0 21 + 2 RESET 5 375 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 371 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 2 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 0 7 0 2 3 4 5 6 7 -1 -1 1 0 21 + 375 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 298 inst_AS_030_D0 3 -1 7 6 2 3 4 5 6 7 -1 -1 1 0 21 + 317 inst_CLK_000_PE 3 -1 6 5 0 2 3 5 7 -1 -1 1 0 21 + 365 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 + 296 SM_AMIGA_5_ 3 -1 5 4 2 5 6 7 -1 -1 3 0 21 + 324 inst_CLK_000_NE_D0 3 -1 5 4 1 2 5 6 -1 -1 1 0 21 + 316 inst_CLK_000_D0 3 -1 3 4 1 2 3 6 -1 -1 1 0 21 + 373 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 + 294 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 5 0 21 + 322 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 + 360 SM_AMIGA_1_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 330 SM_AMIGA_6_ 3 -1 2 3 0 2 5 -1 -1 3 0 21 + 325 SM_AMIGA_0_ 3 -1 5 3 2 5 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 + 315 inst_CLK_000_D1 3 -1 6 3 1 2 3 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 3 3 0 5 6 -1 -1 1 0 21 + 335 RST_DLY_4_ 3 -1 1 2 1 2 -1 -1 9 0 21 + 334 RST_DLY_3_ 3 -1 2 2 1 2 -1 -1 8 0 21 + 336 RST_DLY_5_ 3 -1 2 2 1 2 -1 -1 7 1 21 + 333 RST_DLY_2_ 3 -1 2 2 1 2 -1 -1 7 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 301 inst_AS_030_000_SYNC 3 -1 5 2 2 5 -1 -1 7 0 21 + 332 RST_DLY_1_ 3 -1 1 2 1 2 -1 -1 6 0 21 + 338 RST_DLY_7_ 3 -1 1 2 1 2 -1 -1 5 0 21 + 331 RST_DLY_0_ 3 -1 1 2 1 2 -1 -1 5 0 21 + 323 SM_AMIGA_3_ 3 -1 5 2 2 5 -1 -1 5 0 21 + 362 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 4 0 21 + 337 RST_DLY_6_ 3 -1 2 2 1 2 -1 -1 4 1 21 + 311 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 4 0 21 + 374 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 361 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 326 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 + 321 inst_RST_D0 3 -1 7 2 1 2 -1 -1 1 0 21 + 319 inst_CLK_000_NE 3 -1 3 2 3 5 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 3 2 3 5 -1 -1 1 0 21 + 378 RN_IPL_030_0_ 3 7 1 1 1 7 -1 9 0 21 + 377 RN_IPL_030_1_ 3 6 1 1 1 6 -1 9 0 21 + 367 RN_IPL_030_2_ 3 8 1 1 1 8 -1 9 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 372 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 364 N_292_i 3 -1 2 1 5 -1 -1 4 0 21 + 369 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 368 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 329 IPL_D0_2_ 3 -1 1 1 1 -1 -1 3 0 21 + 328 IPL_D0_1_ 3 -1 1 1 1 -1 -1 3 0 21 + 327 IPL_D0_0_ 3 -1 1 1 1 -1 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 376 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 370 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 366 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 6 1 6 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 3 1 6 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 0 1 3 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_4_ 3 -1 6 1 0 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_2_ 3 -1 4 1 6 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_1_ 3 -1 3 1 4 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_0_ 3 -1 3 1 3 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_8_ 3 -1 1 1 3 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_7_ 3 -1 0 1 1 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_6_ 3 -1 4 1 0 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_5_ 3 -1 1 1 4 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_4_ 3 -1 6 1 1 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_3_ 3 -1 1 1 6 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_1_ 3 -1 0 1 1 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_0_ 3 -1 3 1 0 -1 -1 1 0 21 + 320 CLK_000_N_SYNC_11_ 3 -1 7 1 3 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 3 1 6 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 0 1 5 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 0 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 59 A1 1 -1 -1 2 0 6 59 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 3 35 -1 + 29 DTACK 1 -1 -1 1 0 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 0 13 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +146 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 40 BERR 5 -1 4 3 2 5 7 40 -1 1 0 21 + 68 A0 5 368 6 2 3 5 68 -1 3 0 21 + 70 RW 5 377 6 2 2 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 5 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 5 69 -1 1 0 21 + 30 LDS_000 5 -1 3 1 0 30 -1 1 0 21 + 8 IPL_030_2_ 5 366 1 0 8 -1 9 0 21 + 7 IPL_030_0_ 5 375 1 0 7 -1 9 0 21 + 6 IPL_030_1_ 5 373 1 0 6 -1 9 0 21 + 65 E 5 372 6 0 65 -1 5 0 21 + 80 DSACK1 5 371 7 0 80 -1 4 0 21 + 34 VMA 5 374 3 0 34 -1 3 0 21 + 82 BGACK_030 5 370 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 369 3 0 28 -1 2 0 21 + 2 RESET 5 376 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 0 6 0 2 3 4 6 7 -1 -1 1 0 21 + 317 inst_CLK_000_PE 3 -1 5 5 0 2 3 5 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 + 323 inst_CLK_000_NE_D0 3 -1 3 4 1 3 5 6 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 3 2 3 7 -1 -1 14 0 21 + 372 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 + 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 321 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 + 360 SM_AMIGA_1_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 329 SM_AMIGA_6_ 3 -1 2 3 2 3 5 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 + 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 324 SM_AMIGA_0_ 3 -1 5 3 2 5 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 2 0 21 + 319 inst_CLK_000_NE 3 -1 4 3 2 3 5 -1 -1 1 0 21 + 316 inst_CLK_000_D0 3 -1 1 3 1 2 3 -1 -1 1 0 21 + 315 inst_CLK_000_D1 3 -1 3 3 1 2 3 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 3 0 2 6 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 334 RST_DLY_3_ 3 -1 6 2 1 6 -1 -1 6 0 21 + 333 RST_DLY_2_ 3 -1 6 2 1 6 -1 -1 5 0 21 + 322 SM_AMIGA_3_ 3 -1 5 2 2 5 -1 -1 5 0 21 + 362 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 4 0 21 + 336 RST_DLY_5_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 332 RST_DLY_1_ 3 -1 1 2 1 6 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 4 0 21 + 374 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 361 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 3 0 21 + 337 RST_DLY_6_ 3 -1 6 2 1 6 -1 -1 3 0 21 + 331 RST_DLY_0_ 3 -1 1 2 1 6 -1 -1 3 0 21 + 338 RST_DLY_7_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 335 RST_DLY_4_ 3 -1 6 2 1 6 -1 -1 2 1 21 + 325 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 1 2 0 1 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 6 2 3 5 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 3 2 3 5 -1 -1 1 0 21 + 375 RN_IPL_030_0_ 3 7 1 1 1 7 -1 9 0 21 + 373 RN_IPL_030_1_ 3 6 1 1 1 6 -1 9 0 21 + 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 9 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 301 inst_AS_030_000_SYNC 3 -1 2 1 2 -1 -1 7 0 21 + 371 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 330 N_168_i 3 -1 2 1 5 -1 -1 4 0 21 + 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 328 IPL_D0_2_ 3 -1 1 1 1 -1 -1 3 0 21 + 327 IPL_D0_1_ 3 -1 1 1 1 -1 -1 3 0 21 + 326 IPL_D0_0_ 3 -1 1 1 1 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 3 1 3 -1 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 2 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 3 1 2 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 1 1 3 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 4 1 0 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_4_ 3 -1 6 1 4 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_3_ 3 -1 1 1 6 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_1_ 3 -1 4 1 6 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_0_ 3 -1 3 1 4 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_8_ 3 -1 6 1 2 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_7_ 3 -1 5 1 6 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_6_ 3 -1 0 1 5 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_5_ 3 -1 3 1 0 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_4_ 3 -1 1 1 3 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_3_ 3 -1 0 1 1 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_2_ 3 -1 1 1 0 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 + 320 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 2 1 5 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 5 1 5 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 0 1 7 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 59 A1 1 -1 -1 1 0 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 29 DTACK 1 -1 -1 1 5 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 0 13 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +146 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 2 5 6 7 40 -1 1 0 21 + 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 377 6 2 6 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 68 A0 5 368 6 1 3 68 -1 3 0 21 + 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 3 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 3 69 -1 1 0 21 + 30 LDS_000 5 -1 3 1 0 30 -1 1 0 21 + 8 IPL_030_2_ 5 366 1 0 8 -1 9 0 21 + 7 IPL_030_0_ 5 375 1 0 7 -1 9 0 21 + 6 IPL_030_1_ 5 373 1 0 6 -1 9 0 21 + 65 E 5 372 6 0 65 -1 5 0 21 + 80 DSACK1 5 371 7 0 80 -1 4 0 21 + 34 VMA 5 374 3 0 34 -1 3 0 21 + 82 BGACK_030 5 370 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 369 3 0 28 -1 2 0 21 + 2 RESET 5 376 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 370 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 2 0 21 + 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 2 6 0 3 4 5 6 7 -1 -1 1 0 21 + 319 inst_CLK_000_NE 3 -1 6 5 0 1 2 3 5 -1 -1 1 0 21 + 317 inst_CLK_000_PE 3 -1 3 5 0 2 3 5 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 5 3 4 5 6 7 -1 -1 1 0 21 + 296 SM_AMIGA_5_ 3 -1 5 4 2 5 6 7 -1 -1 3 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 13 0 21 + 333 RST_DLY_3_ 3 -1 2 3 0 1 2 -1 -1 6 0 21 + 372 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 + 332 RST_DLY_2_ 3 -1 0 3 0 1 2 -1 -1 5 0 21 + 322 SM_AMIGA_3_ 3 -1 2 3 2 5 6 -1 -1 5 0 21 + 294 cpu_est_1_ 3 -1 2 3 2 3 6 -1 -1 5 0 21 + 335 RST_DLY_5_ 3 -1 2 3 0 1 2 -1 -1 4 0 21 + 331 RST_DLY_1_ 3 -1 0 3 0 1 2 -1 -1 4 0 21 + 321 cpu_est_2_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 + 336 RST_DLY_6_ 3 -1 2 3 0 1 2 -1 -1 3 0 21 + 330 RST_DLY_0_ 3 -1 0 3 0 1 2 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 0 3 0 6 7 -1 -1 3 0 21 + 337 RST_DLY_7_ 3 -1 0 3 0 1 2 -1 -1 2 0 21 + 334 RST_DLY_4_ 3 -1 0 3 0 1 2 -1 -1 2 1 21 + 293 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 2 0 21 + 316 inst_CLK_000_D0 3 -1 5 3 1 3 5 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 3 0 5 6 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 362 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 + 374 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 6 2 3 6 -1 -1 3 0 21 + 361 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 3 0 21 + 360 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 329 SM_AMIGA_6_ 3 -1 5 2 3 5 -1 -1 3 0 21 + 325 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 5 2 3 5 -1 -1 2 0 21 + 324 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 5 2 2 5 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 6 2 4 6 -1 -1 2 0 21 + 323 inst_CLK_000_NE_D0 3 -1 5 2 2 6 -1 -1 1 0 21 + 315 inst_CLK_000_D1 3 -1 3 2 1 5 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 1 2 2 3 -1 -1 1 0 21 + 375 RN_IPL_030_0_ 3 7 1 1 1 7 -1 9 0 21 + 373 RN_IPL_030_1_ 3 6 1 1 1 6 -1 9 0 21 + 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 9 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 + 371 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 3 1 3 -1 -1 4 0 21 + 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 328 IPL_D0_2_ 3 -1 1 1 1 -1 -1 3 0 21 + 327 IPL_D0_1_ 3 -1 1 1 1 -1 -1 3 0 21 + 326 IPL_D0_0_ 3 -1 1 1 1 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 3 1 3 -1 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 341 N_125_i 3 -1 2 1 5 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 2 1 6 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 1 1 2 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 4 1 1 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 1 1 4 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_4_ 3 -1 6 1 1 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_3_ 3 -1 6 1 6 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_8_ 3 -1 1 1 3 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_7_ 3 -1 6 1 1 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_6_ 3 -1 2 1 6 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_5_ 3 -1 1 1 2 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_4_ 3 -1 2 1 1 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_3_ 3 -1 3 1 2 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_1_ 3 -1 6 1 3 -1 -1 1 0 21 + 338 CLK_000_P_SYNC_0_ 3 -1 1 1 6 -1 -1 1 0 21 + 320 CLK_000_N_SYNC_11_ 3 -1 7 1 6 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 3 1 3 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 1 1 2 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 3 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 59 A1 1 -1 -1 1 5 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 29 DTACK 1 -1 -1 1 1 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 2 13 -1 + 10 CLK_000 1 -1 -1 1 5 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +146 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 + 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 377 6 2 5 7 70 -1 2 0 21 + 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 368 6 1 2 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 + 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 + 65 E 5 374 6 0 65 -1 5 0 21 + 80 DSACK1 5 373 7 0 80 -1 4 0 21 + 34 VMA 5 375 3 0 34 -1 3 0 21 + 82 BGACK_030 5 370 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 369 3 0 28 -1 2 0 21 + 2 RESET 5 376 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 + 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 317 inst_CLK_000_PE 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 + 319 inst_CLK_000_NE 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 + 374 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 + 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 360 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 3 0 21 + 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 328 SM_AMIGA_0_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 + 327 inst_CLK_000_NE_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 + 316 inst_CLK_000_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 301 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 + 334 RST_DLY_3_ 3 -1 0 2 0 1 -1 -1 6 0 21 + 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 + 336 RST_DLY_5_ 3 -1 1 2 0 1 -1 -1 4 0 21 + 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 4 0 21 + 375 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 330 SM_AMIGA_6_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 338 RST_DLY_7_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 335 RST_DLY_4_ 3 -1 0 2 0 1 -1 -1 2 1 21 + 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 315 inst_CLK_000_D1 3 -1 6 2 3 5 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 + 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 + 320 N_96_i 3 -1 5 1 5 -1 -1 4 0 21 + 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 2 1 3 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_3_ 3 -1 3 1 0 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_1_ 3 -1 1 1 3 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_0_ 3 -1 3 1 1 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 + 325 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 324 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 321 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 0 1 2 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 + 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 59 A1 1 -1 -1 1 2 59 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +146 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 + 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 377 6 2 5 7 70 -1 2 0 21 + 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 368 6 1 2 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 + 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 + 65 E 5 374 6 0 65 -1 5 0 21 + 80 DSACK1 5 373 7 0 80 -1 4 0 21 + 34 VMA 5 375 3 0 34 -1 3 0 21 + 82 BGACK_030 5 370 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 369 3 0 28 -1 2 0 21 + 2 RESET 5 376 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 + 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 317 inst_CLK_000_PE 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 + 319 inst_CLK_000_NE 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 + 374 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 + 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 360 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 3 0 21 + 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 328 SM_AMIGA_0_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 + 327 inst_CLK_000_NE_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 + 316 inst_CLK_000_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 301 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 + 334 RST_DLY_3_ 3 -1 0 2 0 1 -1 -1 6 0 21 + 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 + 336 RST_DLY_5_ 3 -1 1 2 0 1 -1 -1 4 0 21 + 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 4 0 21 + 375 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 330 SM_AMIGA_6_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 338 RST_DLY_7_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 335 RST_DLY_4_ 3 -1 0 2 0 1 -1 -1 2 1 21 + 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 315 inst_CLK_000_D1 3 -1 6 2 3 5 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 + 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 + 320 N_96_i 3 -1 5 1 5 -1 -1 4 0 21 + 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 2 1 3 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_3_ 3 -1 3 1 0 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_1_ 3 -1 1 1 3 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_0_ 3 -1 3 1 1 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 + 325 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 324 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 321 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 0 1 2 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 + 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 59 A1 1 -1 -1 1 2 59 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +146 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 + 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 377 6 2 5 7 70 -1 2 0 21 + 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 368 6 1 2 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 + 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 + 65 E 5 374 6 0 65 -1 5 0 21 + 80 DSACK1 5 373 7 0 80 -1 4 0 21 + 34 VMA 5 375 3 0 34 -1 3 0 21 + 82 BGACK_030 5 370 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 369 3 0 28 -1 2 0 21 + 2 RESET 5 376 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 + 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 317 inst_CLK_000_PE 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 + 319 inst_CLK_000_NE 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 + 374 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 + 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 360 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 3 0 21 + 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 328 SM_AMIGA_0_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 + 327 inst_CLK_000_NE_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 + 316 inst_CLK_000_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 301 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 + 334 RST_DLY_3_ 3 -1 0 2 0 1 -1 -1 6 0 21 + 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 + 336 RST_DLY_5_ 3 -1 1 2 0 1 -1 -1 4 0 21 + 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 4 0 21 + 375 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 330 SM_AMIGA_6_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 338 RST_DLY_7_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 335 RST_DLY_4_ 3 -1 0 2 0 1 -1 -1 2 1 21 + 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 315 inst_CLK_000_D1 3 -1 6 2 3 5 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 + 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 + 320 N_96_i 3 -1 5 1 5 -1 -1 4 0 21 + 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 2 1 3 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_3_ 3 -1 3 1 0 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_1_ 3 -1 1 1 3 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_0_ 3 -1 3 1 1 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 + 325 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 324 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 321 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 0 1 2 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 + 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 59 A1 1 -1 -1 1 2 59 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +146 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 + 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 377 6 2 5 7 70 -1 2 0 21 + 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 368 6 1 2 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 + 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 + 65 E 5 374 6 0 65 -1 5 0 21 + 80 DSACK1 5 373 7 0 80 -1 4 0 21 + 34 VMA 5 375 3 0 34 -1 3 0 21 + 82 BGACK_030 5 370 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 369 3 0 28 -1 2 0 21 + 2 RESET 5 376 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 + 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 317 inst_CLK_000_PE 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 + 319 inst_CLK_000_NE 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 + 374 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 + 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 360 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 3 0 21 + 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 328 SM_AMIGA_0_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 + 327 inst_CLK_000_NE_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 + 316 inst_CLK_000_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 301 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 + 334 RST_DLY_3_ 3 -1 0 2 0 1 -1 -1 6 0 21 + 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 + 336 RST_DLY_5_ 3 -1 1 2 0 1 -1 -1 4 0 21 + 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 4 0 21 + 375 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 330 SM_AMIGA_6_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 338 RST_DLY_7_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 335 RST_DLY_4_ 3 -1 0 2 0 1 -1 -1 2 1 21 + 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 315 inst_CLK_000_D1 3 -1 6 2 3 5 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 + 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 + 320 N_96_i 3 -1 5 1 5 -1 -1 4 0 21 + 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 2 1 3 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_3_ 3 -1 3 1 0 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_1_ 3 -1 1 1 3 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_0_ 3 -1 3 1 1 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 + 325 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 324 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 321 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 0 1 2 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 + 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 59 A1 1 -1 -1 1 2 59 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +146 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 1 2 5 7 40 -1 1 0 21 + 79 RW_000 5 367 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 377 6 2 5 7 70 -1 2 0 21 + 97 DS_030 5 -1 0 2 0 3 97 -1 1 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 368 6 1 2 68 -1 3 0 21 + 78 SIZE_1_ 5 -1 7 1 2 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 + 8 IPL_030_2_ 5 366 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 372 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 371 1 0 6 -1 10 0 21 + 65 E 5 374 6 0 65 -1 5 0 21 + 80 DSACK1 5 373 7 0 80 -1 4 0 21 + 34 VMA 5 375 3 0 34 -1 3 0 21 + 82 BGACK_030 5 370 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 369 3 0 28 -1 2 0 21 + 2 RESET 5 376 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 + 376 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 370 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 317 inst_CLK_000_PE 3 -1 5 5 0 1 3 5 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 5 2 3 4 5 7 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 4 2 3 5 7 -1 -1 14 0 21 + 319 inst_CLK_000_NE 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 + 374 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 + 294 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 360 SM_AMIGA_1_ 3 -1 5 3 1 5 7 -1 -1 3 0 21 + 296 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 328 SM_AMIGA_0_ 3 -1 1 3 1 5 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 + 327 inst_CLK_000_NE_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 + 316 inst_CLK_000_D0 3 -1 3 3 3 5 6 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 301 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 + 334 RST_DLY_3_ 3 -1 0 2 0 1 -1 -1 6 0 21 + 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 + 336 RST_DLY_5_ 3 -1 1 2 0 1 -1 -1 4 0 21 + 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 4 0 21 + 375 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 330 SM_AMIGA_6_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 338 RST_DLY_7_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 335 RST_DLY_4_ 3 -1 0 2 0 1 -1 -1 2 1 21 + 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 2 2 2 3 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 315 inst_CLK_000_D1 3 -1 6 2 3 5 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 1 2 3 5 -1 -1 1 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 + 372 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 371 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 366 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 373 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 + 320 N_96_i 3 -1 5 1 5 -1 -1 4 0 21 + 368 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 367 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 377 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 369 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 365 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 3 1 0 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 3 1 3 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 2 1 3 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_4_ 3 -1 0 1 2 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_3_ 3 -1 3 1 0 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_2_ 3 -1 3 1 3 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_1_ 3 -1 1 1 3 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_0_ 3 -1 3 1 1 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_6_ 3 -1 6 1 0 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_5_ 3 -1 6 1 6 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_4_ 3 -1 1 1 6 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_1_ 3 -1 6 1 6 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 + 325 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 324 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 321 CLK_000_N_SYNC_11_ 3 -1 7 1 4 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 2 1 5 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 4 1 7 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 0 1 2 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 + 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_23_ 1 -1 -1 1 4 84 -1 + 83 A_22_ 1 -1 -1 1 4 83 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 59 A1 1 -1 -1 1 2 59 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +141 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 40 BERR 5 -1 4 4 0 2 5 7 40 -1 1 0 21 + 79 RW_000 5 366 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 70 RW 5 376 6 2 0 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 68 A0 5 367 6 1 6 68 -1 3 0 21 + 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 6 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 + 8 IPL_030_2_ 5 365 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 371 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 370 1 0 6 -1 10 0 21 + 65 E 5 373 6 0 65 -1 5 0 21 + 80 DSACK1 5 372 7 0 80 -1 4 0 21 + 34 VMA 5 374 3 0 34 -1 3 0 21 + 82 BGACK_030 5 369 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 368 3 0 28 -1 2 0 21 + 2 RESET 5 375 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 369 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 2 0 21 + 375 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 299 inst_nEXP_SPACE_D0reg 3 -1 5 6 0 3 4 5 6 7 -1 -1 1 0 21 + 319 inst_CLK_000_NE 3 -1 2 5 0 1 2 3 5 -1 -1 1 0 21 + 317 inst_CLK_000_PE 3 -1 3 5 0 2 3 5 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 5 0 3 4 5 7 -1 -1 1 0 21 + 326 inst_CLK_000_NE_D0 3 -1 1 4 2 3 5 6 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 3 3 5 7 -1 -1 14 0 21 + 373 RN_E 3 65 6 3 2 3 6 65 -1 5 0 21 + 325 SM_AMIGA_3_ 3 -1 2 3 0 2 5 -1 -1 5 0 21 + 294 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 + 321 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 359 SM_AMIGA_1_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 296 SM_AMIGA_5_ 3 -1 5 3 0 5 7 -1 -1 3 0 21 + 327 SM_AMIGA_0_ 3 -1 0 3 0 5 7 -1 -1 2 0 21 + 293 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 302 inst_BGACK_030_INT_D 3 -1 7 3 0 5 6 -1 -1 1 0 21 + 303 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 333 RST_DLY_3_ 3 -1 2 2 1 2 -1 -1 6 0 21 + 332 RST_DLY_2_ 3 -1 2 2 1 2 -1 -1 5 0 21 + 361 SM_AMIGA_2_ 3 -1 2 2 2 5 -1 -1 4 0 21 + 335 RST_DLY_5_ 3 -1 1 2 1 2 -1 -1 4 0 21 + 331 RST_DLY_1_ 3 -1 2 2 1 2 -1 -1 4 0 21 + 311 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 4 0 21 + 374 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 362 inst_DS_000_ENABLE 3 -1 0 2 0 3 -1 -1 3 0 21 + 360 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 336 RST_DLY_6_ 3 -1 2 2 1 2 -1 -1 3 0 21 + 330 RST_DLY_0_ 3 -1 2 2 1 2 -1 -1 3 0 21 + 329 SM_AMIGA_6_ 3 -1 5 2 5 6 -1 -1 3 0 21 + 310 inst_UDS_000_INT 3 -1 6 2 3 6 -1 -1 3 0 21 + 308 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 307 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 337 RST_DLY_7_ 3 -1 1 2 1 2 -1 -1 2 0 21 + 334 RST_DLY_4_ 3 -1 2 2 1 2 -1 -1 2 1 21 + 328 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 295 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 + 316 inst_CLK_000_D0 3 -1 6 2 3 5 -1 -1 1 0 21 + 309 inst_VPA_D 3 -1 1 2 2 3 -1 -1 1 0 21 + 371 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 370 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 365 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 304 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 358 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 301 inst_AS_030_000_SYNC 3 -1 5 1 5 -1 -1 7 0 21 + 372 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 367 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 366 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 306 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 376 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 368 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 363 N_271_0 3 -1 2 1 5 -1 -1 2 0 21 + 305 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 357 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_9_ 3 -1 5 1 7 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_6_ 3 -1 1 1 4 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_5_ 3 -1 4 1 1 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_4_ 3 -1 3 1 4 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_3_ 3 -1 1 1 3 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_2_ 3 -1 3 1 1 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_1_ 3 -1 4 1 3 -1 -1 1 0 21 + 347 CLK_000_N_SYNC_0_ 3 -1 5 1 4 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_7_ 3 -1 1 1 0 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_6_ 3 -1 3 1 1 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_5_ 3 -1 1 1 3 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_4_ 3 -1 2 1 1 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_3_ 3 -1 1 1 2 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 + 338 CLK_000_P_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 + 324 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 323 IPL_D0_1_ 3 -1 3 1 1 -1 -1 1 0 21 + 322 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 320 CLK_000_N_SYNC_11_ 3 -1 7 1 2 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_9_ 3 -1 6 1 3 -1 -1 1 0 21 + 315 inst_CLK_000_D1 3 -1 5 1 5 -1 -1 1 0 21 + 314 inst_CLK_OUT_PRE_50 3 -1 6 1 6 -1 -1 1 0 21 + 313 inst_DTACK_D0 3 -1 3 1 2 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 6 1 7 -1 -1 1 0 21 + 300 inst_DS_030_D0 3 -1 3 1 6 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_18_ 1 -1 -1 3 4 5 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 59 A1 1 -1 -1 2 0 6 59 -1 + 55 IPL_1_ 1 -1 -1 2 1 3 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 35 VPA 1 -1 -1 1 1 35 -1 + 29 DTACK 1 -1 -1 1 3 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 5 13 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +141 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 79 RW_000 5 366 7 3 0 4 6 79 -1 3 0 21 + 41 AS_000 5 -1 4 3 0 4 7 41 -1 1 0 21 + 40 BERR 5 -1 4 3 2 5 7 40 -1 1 0 21 + 68 A0 5 367 6 2 1 5 68 -1 3 0 21 + 70 RW 5 376 6 2 2 7 70 -1 2 0 21 + 81 AS_030 5 -1 7 2 4 7 81 -1 1 0 21 + 31 UDS_000 5 -1 3 2 0 6 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 0 6 30 -1 1 0 21 + 97 DS_030 5 -1 0 1 3 97 -1 1 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 1 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 8 IPL_030_2_ 5 365 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 371 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 370 1 0 6 -1 10 0 21 + 65 E 5 373 6 0 65 -1 5 0 21 + 80 DSACK1 5 372 7 0 80 -1 4 0 21 + 34 VMA 5 374 3 0 34 -1 3 0 21 + 82 BGACK_030 5 369 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 368 3 0 28 -1 2 0 21 + 2 RESET 5 375 1 0 2 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 300 inst_nEXP_SPACE_D0reg 3 -1 6 7 0 2 3 4 5 6 7 -1 -1 1 0 21 + 375 RN_RESET 3 2 1 6 0 1 3 4 6 7 2 -1 2 0 21 + 369 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 318 inst_CLK_000_PE 3 -1 3 5 0 2 3 5 7 -1 -1 1 0 21 + 320 inst_CLK_000_NE 3 -1 6 4 0 1 3 5 -1 -1 1 0 21 + 299 inst_AS_030_D0 3 -1 7 4 2 3 4 7 -1 -1 1 0 21 + 364 SM_AMIGA_i_7_ 3 -1 5 3 2 3 7 -1 -1 14 0 21 + 373 RN_E 3 65 6 3 3 5 6 65 -1 5 0 21 + 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 322 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 330 SM_AMIGA_6_ 3 -1 2 3 1 2 5 -1 -1 3 0 21 + 297 SM_AMIGA_5_ 3 -1 5 3 2 5 7 -1 -1 3 0 21 + 294 cpu_est_0_ 3 -1 3 3 3 5 6 -1 -1 2 0 21 + 327 inst_CLK_000_NE_D0 3 -1 1 3 3 5 6 -1 -1 1 0 21 + 317 inst_CLK_000_D0 3 -1 6 3 2 3 5 -1 -1 1 0 21 + 316 inst_CLK_000_D1 3 -1 5 3 2 3 5 -1 -1 1 0 21 + 304 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 302 inst_AS_030_000_SYNC 3 -1 2 2 2 5 -1 -1 7 0 21 + 334 RST_DLY_3_ 3 -1 1 2 0 1 -1 -1 6 0 21 + 333 RST_DLY_2_ 3 -1 1 2 0 1 -1 -1 5 0 21 + 326 SM_AMIGA_3_ 3 -1 5 2 2 5 -1 -1 5 0 21 + 336 RST_DLY_5_ 3 -1 0 2 0 1 -1 -1 4 0 21 + 332 RST_DLY_1_ 3 -1 0 2 0 1 -1 -1 4 0 21 + 312 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 4 0 21 + 374 RN_VMA 3 34 3 2 3 5 34 -1 3 0 21 + 363 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 360 SM_AMIGA_1_ 3 -1 5 2 5 7 -1 -1 3 0 21 + 337 RST_DLY_6_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 331 RST_DLY_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 311 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 + 309 SIZE_DMA_1_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 308 SIZE_DMA_0_ 3 -1 6 2 6 7 -1 -1 3 0 21 + 338 RST_DLY_7_ 3 -1 0 2 0 1 -1 -1 2 0 21 + 335 RST_DLY_4_ 3 -1 1 2 0 1 -1 -1 2 1 21 + 329 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 328 SM_AMIGA_0_ 3 -1 5 2 5 7 -1 -1 2 0 21 + 298 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 296 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 315 inst_CLK_OUT_PRE_50 3 -1 0 2 0 6 -1 -1 1 0 21 + 310 inst_VPA_D 3 -1 5 2 3 5 -1 -1 1 0 21 + 303 inst_BGACK_030_INT_D 3 -1 7 2 2 6 -1 -1 1 0 21 + 301 inst_DS_030_D0 3 -1 3 2 1 5 -1 -1 1 0 21 + 371 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 370 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 365 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 305 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 359 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 372 RN_DSACK1 3 80 7 1 7 80 -1 4 0 21 + 362 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 4 0 21 + 293 N_165 3 -1 5 1 5 -1 -1 4 0 21 + 367 RN_A0 3 68 6 1 6 68 -1 3 0 21 + 366 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 361 SM_AMIGA_4_ 3 -1 5 1 5 -1 -1 3 0 21 + 307 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 3 0 21 + 376 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 368 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 306 CYCLE_DMA_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 358 CLK_000_N_SYNC_10_ 3 -1 7 1 7 -1 -1 1 0 21 + 357 CLK_000_N_SYNC_9_ 3 -1 6 1 7 -1 -1 1 0 21 + 356 CLK_000_N_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 + 355 CLK_000_N_SYNC_7_ 3 -1 4 1 0 -1 -1 1 0 21 + 354 CLK_000_N_SYNC_6_ 3 -1 3 1 4 -1 -1 1 0 21 + 353 CLK_000_N_SYNC_5_ 3 -1 0 1 3 -1 -1 1 0 21 + 352 CLK_000_N_SYNC_4_ 3 -1 3 1 0 -1 -1 1 0 21 + 351 CLK_000_N_SYNC_3_ 3 -1 0 1 3 -1 -1 1 0 21 + 350 CLK_000_N_SYNC_2_ 3 -1 0 1 0 -1 -1 1 0 21 + 349 CLK_000_N_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 348 CLK_000_N_SYNC_0_ 3 -1 3 1 0 -1 -1 1 0 21 + 347 CLK_000_P_SYNC_8_ 3 -1 2 1 2 -1 -1 1 0 21 + 346 CLK_000_P_SYNC_7_ 3 -1 6 1 2 -1 -1 1 0 21 + 345 CLK_000_P_SYNC_6_ 3 -1 4 1 6 -1 -1 1 0 21 + 344 CLK_000_P_SYNC_5_ 3 -1 4 1 4 -1 -1 1 0 21 + 343 CLK_000_P_SYNC_4_ 3 -1 1 1 4 -1 -1 1 0 21 + 342 CLK_000_P_SYNC_3_ 3 -1 2 1 1 -1 -1 1 0 21 + 341 CLK_000_P_SYNC_2_ 3 -1 1 1 2 -1 -1 1 0 21 + 340 CLK_000_P_SYNC_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 339 CLK_000_P_SYNC_0_ 3 -1 3 1 6 -1 -1 1 0 21 + 325 IPL_D0_2_ 3 -1 1 1 1 -1 -1 1 0 21 + 324 IPL_D0_1_ 3 -1 2 1 1 -1 -1 1 0 21 + 323 IPL_D0_0_ 3 -1 1 1 1 -1 -1 1 0 21 + 321 CLK_000_N_SYNC_11_ 3 -1 7 1 6 -1 -1 1 0 21 + 319 CLK_000_P_SYNC_9_ 3 -1 2 1 3 -1 -1 1 0 21 + 314 inst_DTACK_D0 3 -1 3 1 5 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 6 1 7 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 1 7 63 -1 + 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 55 IPL_1_ 1 -1 -1 2 1 2 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 59 A1 1 -1 -1 1 6 59 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 29 DTACK 1 -1 -1 1 3 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 13 nEXP_SPACE 1 -1 -1 1 6 13 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 5 A_29_ 1 -1 -1 1 4 5 -1 4 A_30_ 1 -1 -1 1 4 4 -1 3 A_31_ 1 -1 -1 1 4 3 -1 \ No newline at end of file diff --git a/Logic/68030_tk.plc b/Logic/68030_tk.plc index 574798b..d02df1f 100644 --- a/Logic/68030_tk.plc +++ b/Logic/68030_tk.plc @@ -8,30 +8,29 @@ ; Source file 68030_tk.tt4 ; FITTER-generated Placements. ; DEVICE mach447a -; DATE Wed May 13 22:59:29 2015 +; DATE Thu Jul 09 18:49:07 2015 -Pin 15 A_28_ -Pin 16 A_27_ +Pin 70 SIZE_0_ Comb ; S6=1 S9=1 Pair 263 +Pin 5 A_30_ Pin 79 SIZE_1_ Comb ; S6=1 S9=1 Pair 287 +Pin 6 A_29_ +Pin 15 A_28_ +Pin 4 A_31_ +Pin 16 A_27_ Pin 17 A_26_ Pin 18 A_25_ -Pin 4 A_31_ Pin 19 A_24_ -Pin 85 A_23_ -Pin 84 A_22_ -Pin 94 A_21_ Pin 68 IPL_2_ -Pin 93 A_20_ -Pin 97 A_19_ Pin 58 FC_1_ -Pin 95 A_18_ Pin 82 AS_030 Comb ; S6=1 S9=1 Pair 281 -Pin 59 A_17_ Pin 42 AS_000 Comb ; S6=1 S9=1 Pair 203 -Pin 96 A_16_ +Pin 97 A_19_ +Pin 95 A_18_ Pin 98 DS_030 Comb ; S6=1 S9=1 Pair 101 +Pin 59 A_17_ Pin 32 UDS_000 Comb ; S6=1 S9=1 Pair 185 +Pin 96 A_16_ Pin 31 LDS_000 Comb ; S6=1 S9=1 Pair 191 Pin 60 A1 Pin 14 nEXP_SPACE @@ -43,13 +42,13 @@ Pin 11 CLK_000 Pin 61 CLK_OSZI Pin 65 CLK_DIV_OUT Comb ; S6=1 S9=1 Pair 247 Pin 10 CLK_EXP Comb ; S6=1 S9=1 Pair 127 -Pin 56 IPL_1_ Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 271 -Pin 67 IPL_0_ Pin 91 FPU_SENSE -Pin 57 FC_0_ +Pin 56 IPL_1_ Pin 30 DTACK +Pin 67 IPL_0_ Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 +Pin 57 FC_0_ Pin 36 VPA Pin 86 RST Pin 33 AMIGA_ADDR_ENABLE Comb ; S6=1 S9=1 Pair 181 @@ -57,21 +56,19 @@ Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 199 Pin 20 AMIGA_BUS_ENABLE_LOW Comb ; S6=1 S9=1 Pair 149 Pin 34 AMIGA_BUS_ENABLE_HIGH Comb ; S6=1 S9=1 Pair 179 Pin 47 CIIN Comb ; S6=1 S9=1 Pair 215 -Pin 70 SIZE_0_ Comb ; S6=1 S9=1 Pair 263 -Pin 5 A_30_ -Pin 6 A_29_ -Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 131 +Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 128 Pin 80 RW_000 Reg ; S6=1 S9=1 Pair 269 Pin 69 A0 Reg ; S6=1 S9=1 Pair 257 Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 175 Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275 -Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 143 +Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 134 Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 137 Pin 81 DSACK1 Reg ; S6=1 S9=1 Pair 283 Pin 66 E Reg ; S6=1 S9=1 Pair 251 Pin 35 VMA Reg ; S6=1 S9=1 Pair 173 -Pin 3 RESET Reg ; S6=1 S9=1 Pair 125 +Pin 3 RESET Reg ; S6=1 S9=1 Pair 146 Pin 71 RW Reg ; S6=1 S9=1 Pair 245 +Node 263 RN_SIZE_0_ Comb ; S6=1 S9=1 Node 287 RN_SIZE_1_ Comb ; S6=1 S9=1 Node 281 RN_AS_030 Comb ; S6=1 S9=1 Node 203 RN_AS_000 Comb ; S6=1 S9=1 @@ -79,91 +76,89 @@ Node 101 RN_DS_030 Comb ; S6=1 S9=1 Node 185 RN_UDS_000 Comb ; S6=1 S9=1 Node 191 RN_LDS_000 Comb ; S6=1 S9=1 Node 197 RN_BERR Comb ; S6=1 S9=1 -Node 263 RN_SIZE_0_ Comb ; S6=1 S9=1 -Node 131 RN_IPL_030_2_ Reg ; S6=1 S9=1 +Node 128 RN_IPL_030_2_ Reg ; S6=1 S9=1 Node 269 RN_RW_000 Reg ; S6=1 S9=1 Node 257 RN_A0 Reg ; S6=1 S9=1 Node 175 RN_BG_000 Reg ; S6=1 S9=1 Node 275 RN_BGACK_030 Reg ; S6=1 S9=1 -Node 143 RN_IPL_030_1_ Reg ; S6=1 S9=1 +Node 134 RN_IPL_030_1_ Reg ; S6=1 S9=1 Node 137 RN_IPL_030_0_ Reg ; S6=1 S9=1 Node 283 RN_DSACK1 Reg ; S6=1 S9=1 Node 251 RN_E Reg ; S6=1 S9=1 Node 173 RN_VMA Reg ; S6=1 S9=1 -Node 125 RN_RESET Reg ; S6=1 S9=1 +Node 146 RN_RESET Reg ; S6=1 S9=1 Node 245 RN_RW Reg ; S6=1 S9=1 -Node 223 cpu_est_0_ Reg ; S6=1 S9=1 -Node 187 cpu_est_1_ Reg ; S6=1 S9=1 -Node 157 inst_AS_000_INT Reg ; S6=1 S9=1 -Node 239 SM_AMIGA_5_ Reg ; S6=1 S9=1 -Node 163 inst_AMIGA_BUS_ENABLE_DMA_LOW Reg ; S6=1 S9=1 +Node 230 N_165 Comb ; S6=1 S9=1 +Node 182 cpu_est_0_ Reg ; S6=1 S9=1 +Node 193 cpu_est_1_ Reg ; S6=1 S9=1 +Node 151 inst_AS_000_INT Reg ; S6=1 S9=1 +Node 227 SM_AMIGA_5_ Reg ; S6=1 S9=1 +Node 266 inst_AMIGA_BUS_ENABLE_DMA_LOW Reg ; S6=1 S9=1 Node 277 inst_AS_030_D0 Reg ; S6=1 S9=1 Node 253 inst_nEXP_SPACE_D0reg Reg ; S6=1 S9=1 -Node 124 inst_DS_030_D0 Reg ; S6=1 S9=1 -Node 155 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 +Node 188 inst_DS_030_D0 Reg ; S6=1 S9=1 +Node 161 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 Node 289 inst_BGACK_030_INT_D Reg ; S6=1 S9=1 Node 113 inst_AS_000_DMA Reg ; S6=1 S9=1 -Node 115 inst_DS_000_DMA Reg ; S6=1 S9=1 -Node 110 CYCLE_DMA_0_ Reg ; S6=1 S9=1 -Node 104 CYCLE_DMA_1_ Reg ; S6=1 S9=1 -Node 265 SIZE_DMA_0_ Reg ; S6=1 S9=1 -Node 259 SIZE_DMA_1_ Reg ; S6=1 S9=1 -Node 146 inst_VPA_D Reg ; S6=1 S9=1 -Node 167 inst_UDS_000_INT Reg ; S6=1 S9=1 -Node 161 inst_LDS_000_INT Reg ; S6=1 S9=1 -Node 217 inst_CLK_OUT_PRE_D Reg ; S6=1 S9=1 -Node 152 inst_DTACK_D0 Reg ; S6=1 S9=1 -Node 211 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1 -Node 248 inst_CLK_000_D1 Reg ; S6=1 S9=1 -Node 182 inst_CLK_000_D0 Reg ; S6=1 S9=1 -Node 221 inst_CLK_000_PE Reg ; S6=1 S9=1 -Node 118 CLK_000_P_SYNC_9_ Reg ; S6=1 S9=1 -Node 209 inst_CLK_000_NE Reg ; S6=1 S9=1 -Node 230 N_96_i Comb ; S6=1 S9=1 +Node 121 inst_DS_000_DMA Reg ; S6=1 S9=1 +Node 116 CYCLE_DMA_0_ Reg ; S6=1 S9=1 +Node 110 CYCLE_DMA_1_ Reg ; S6=1 S9=1 +Node 254 SIZE_DMA_0_ Reg ; S6=1 S9=1 +Node 248 SIZE_DMA_1_ Reg ; S6=1 S9=1 +Node 241 inst_VPA_D Reg ; S6=1 S9=1 +Node 229 inst_UDS_000_INT Reg ; S6=1 S9=1 +Node 139 inst_LDS_000_INT Reg ; S6=1 S9=1 +Node 268 inst_CLK_OUT_PRE_D Reg ; S6=1 S9=1 +Node 196 inst_DTACK_D0 Reg ; S6=1 S9=1 +Node 115 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1 +Node 233 inst_CLK_000_D1 Reg ; S6=1 S9=1 +Node 265 inst_CLK_000_D0 Reg ; S6=1 S9=1 +Node 187 inst_CLK_000_PE Reg ; S6=1 S9=1 +Node 152 CLK_000_P_SYNC_9_ Reg ; S6=1 S9=1 +Node 259 inst_CLK_000_NE Reg ; S6=1 S9=1 Node 278 CLK_000_N_SYNC_11_ Reg ; S6=1 S9=1 -Node 193 cpu_est_2_ Reg ; S6=1 S9=1 -Node 148 IPL_D0_0_ Reg ; S6=1 S9=1 -Node 268 IPL_D0_1_ Reg ; S6=1 S9=1 -Node 262 IPL_D0_2_ Reg ; S6=1 S9=1 -Node 241 SM_AMIGA_3_ Reg ; S6=1 S9=1 -Node 176 inst_CLK_000_NE_D0 Reg ; S6=1 S9=1 -Node 133 SM_AMIGA_0_ Reg ; S6=1 S9=1 -Node 151 inst_AMIGA_BUS_ENABLE_DMA_HIGH Reg ; S6=1 S9=1 -Node 235 SM_AMIGA_6_ Reg ; S6=1 S9=1 -Node 134 RST_DLY_0_ Reg ; S6=1 S9=1 +Node 176 cpu_est_2_ Reg ; S6=1 S9=1 +Node 136 IPL_D0_0_ Reg ; S6=1 S9=1 +Node 169 IPL_D0_1_ Reg ; S6=1 S9=1 +Node 145 IPL_D0_2_ Reg ; S6=1 S9=1 +Node 239 SM_AMIGA_3_ Reg ; S6=1 S9=1 +Node 148 inst_CLK_000_NE_D0 Reg ; S6=1 S9=1 +Node 235 SM_AMIGA_0_ Reg ; S6=1 S9=1 +Node 260 inst_AMIGA_BUS_ENABLE_DMA_HIGH Reg ; S6=1 S9=1 +Node 155 SM_AMIGA_6_ Reg ; S6=1 S9=1 +Node 140 RST_DLY_0_ Reg ; S6=1 S9=1 Node 103 RST_DLY_1_ Reg ; S6=1 S9=1 -Node 139 RST_DLY_2_ Reg ; S6=1 S9=1 -Node 119 RST_DLY_3_ Reg ; S6=1 S9=1 -Node 109 RST_DLY_4_ Reg ; S6=1 S9=1 -Node 145 RST_DLY_5_ Reg ; S6=1 S9=1 -Node 128 RST_DLY_6_ Reg ; S6=1 S9=1 -Node 140 RST_DLY_7_ Reg ; S6=1 S9=1 -Node 196 CLK_000_P_SYNC_0_ Reg ; S6=1 S9=1 -Node 256 CLK_000_P_SYNC_1_ Reg ; S6=1 S9=1 -Node 250 CLK_000_P_SYNC_2_ Reg ; S6=1 S9=1 -Node 142 CLK_000_P_SYNC_3_ Reg ; S6=1 S9=1 -Node 136 CLK_000_P_SYNC_4_ Reg ; S6=1 S9=1 -Node 266 CLK_000_P_SYNC_5_ Reg ; S6=1 S9=1 -Node 260 CLK_000_P_SYNC_6_ Reg ; S6=1 S9=1 -Node 112 CLK_000_P_SYNC_7_ Reg ; S6=1 S9=1 -Node 106 CLK_000_P_SYNC_8_ Reg ; S6=1 S9=1 -Node 190 CLK_000_N_SYNC_0_ Reg ; S6=1 S9=1 -Node 130 CLK_000_N_SYNC_1_ Reg ; S6=1 S9=1 -Node 184 CLK_000_N_SYNC_2_ Reg ; S6=1 S9=1 -Node 178 CLK_000_N_SYNC_3_ Reg ; S6=1 S9=1 -Node 122 CLK_000_N_SYNC_4_ Reg ; S6=1 S9=1 -Node 169 CLK_000_N_SYNC_5_ Reg ; S6=1 S9=1 +Node 133 RST_DLY_2_ Reg ; S6=1 S9=1 +Node 125 RST_DLY_3_ Reg ; S6=1 S9=1 +Node 143 RST_DLY_4_ Reg ; S6=1 S9=1 +Node 119 RST_DLY_5_ Reg ; S6=1 S9=1 +Node 142 RST_DLY_6_ Reg ; S6=1 S9=1 +Node 109 RST_DLY_7_ Reg ; S6=1 S9=1 +Node 190 CLK_000_P_SYNC_0_ Reg ; S6=1 S9=1 +Node 262 CLK_000_P_SYNC_1_ Reg ; S6=1 S9=1 +Node 130 CLK_000_P_SYNC_2_ Reg ; S6=1 S9=1 +Node 163 CLK_000_P_SYNC_3_ Reg ; S6=1 S9=1 +Node 131 CLK_000_P_SYNC_4_ Reg ; S6=1 S9=1 +Node 211 CLK_000_P_SYNC_5_ Reg ; S6=1 S9=1 +Node 205 CLK_000_P_SYNC_6_ Reg ; S6=1 S9=1 +Node 256 CLK_000_P_SYNC_7_ Reg ; S6=1 S9=1 +Node 157 CLK_000_P_SYNC_8_ Reg ; S6=1 S9=1 +Node 184 CLK_000_N_SYNC_0_ Reg ; S6=1 S9=1 +Node 124 CLK_000_N_SYNC_1_ Reg ; S6=1 S9=1 +Node 118 CLK_000_N_SYNC_2_ Reg ; S6=1 S9=1 +Node 112 CLK_000_N_SYNC_3_ Reg ; S6=1 S9=1 +Node 178 CLK_000_N_SYNC_4_ Reg ; S6=1 S9=1 +Node 106 CLK_000_N_SYNC_5_ Reg ; S6=1 S9=1 Node 194 CLK_000_N_SYNC_6_ Reg ; S6=1 S9=1 -Node 188 CLK_000_N_SYNC_7_ Reg ; S6=1 S9=1 -Node 116 CLK_000_N_SYNC_8_ Reg ; S6=1 S9=1 -Node 254 CLK_000_N_SYNC_9_ Reg ; S6=1 S9=1 +Node 209 CLK_000_N_SYNC_7_ Reg ; S6=1 S9=1 +Node 122 CLK_000_N_SYNC_8_ Reg ; S6=1 S9=1 +Node 250 CLK_000_N_SYNC_9_ Reg ; S6=1 S9=1 Node 272 CLK_000_N_SYNC_10_ Reg ; S6=1 S9=1 -Node 121 inst_CLK_030_H Reg ; S6=1 S9=1 -Node 233 SM_AMIGA_1_ Reg ; S6=1 S9=1 +Node 104 inst_CLK_030_H Reg ; S6=1 S9=1 +Node 223 SM_AMIGA_1_ Reg ; S6=1 S9=1 Node 236 SM_AMIGA_4_ Reg ; S6=1 S9=1 Node 224 SM_AMIGA_2_ Reg ; S6=1 S9=1 -Node 229 inst_DS_000_ENABLE Reg ; S6=1 S9=1 -Node 227 SM_AMIGA_i_7_ Reg ; S6=1 S9=1 -Node 205 CIIN_0 Comb ; S6=1 S9=1 +Node 167 inst_DS_000_ENABLE Reg ; S6=1 S9=1 +Node 221 SM_AMIGA_i_7_ Reg ; S6=1 S9=1 ; Unused Pins & Nodes ; -> None Found. diff --git a/Logic/68030_tk.prd b/Logic/68030_tk.prd index 50bdaf1..dbc77c7 100644 --- a/Logic/68030_tk.prd +++ b/Logic/68030_tk.prd @@ -5,8 +5,8 @@ |--------------------------------------------| -Start: Wed May 13 22:59:29 2015 -End : Wed May 13 22:59:29 2015 $$$ Elapsed time: 00:00:00 +Start: Thu Jul 09 18:49:07 2015 +End : Thu Jul 09 18:49:07 2015 $$$ Elapsed time: 00:00:00 =========================================================================== Part [C:/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] @@ -21,33 +21,33 @@ Part [C:/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] | | +- Signals to Place | | +----- Logic Array Inputs | | | +- Placed | | | +- Array Inputs Used _|____|____|____|_______________|____|_____________|___|________________ - 0 | 16 | 16 | 16 => 100% | 8 | 8 => 100% | 33 | 30 => 90% - 1 | 16 | 16 | 16 => 100% | 8 | 8 => 100% | 33 | 29 => 87% + 0 | 16 | 16 | 16 => 100% | 8 | 6 => 75% | 33 | 30 => 90% + 1.| 16 | 16 | 16 => 100% | 8 | 8 => 100% | 33 | 29 => 87% 2 | 16 | 9 | 9 => 100% | 8 | 7 => 87% | 33 | 28 => 84% - 3 | 16 | 16 | 16 => 100% | 8 | 8 => 100% | 33 | 29 => 87% - 4 | 16 | 8 | 8 => 100% | 8 | 4 => 50% | 33 | 31 => 93% - 5 | 16 | 11 | 11 => 100% | 8 | 5 => 62% | 33 | 29 => 87% - 6 | 16 | 16 | 16 => 100% | 8 | 7 => 87% | 33 | 26 => 78% - 7 | 16 | 10 | 10 => 100% | 8 | 8 => 100% | 33 | 31 => 93% + 3 | 16 | 16 | 16 => 100% | 8 | 8 => 100% | 33 | 28 => 84% + 4 | 16 | 7 | 7 => 100% | 8 | 4 => 50% | 33 | 27 => 81% + 5 | 16 | 11 | 11 => 100% | 8 | 5 => 62% | 33 | 28 => 84% + 6 | 16 | 16 | 16 => 100% | 8 | 7 => 87% | 33 | 27 => 81% + 7 | 16 | 10 | 10 => 100% | 8 | 6 => 75% | 33 | 31 => 93% ---|----|----|------------|-------|------------|-----|------------------ - | Avg number of array inputs in used blocks : 29.13 => 88% + | Avg number of array inputs in used blocks : 28.50 => 86% -* Input/Clock Signal count: 32 -> placed: 32 = 100% +* Input/Clock Signal count: 28 -> placed: 28 = 100% Resources Available Used ----------------------------------------------------------------- Input Pins : 2 2 => 100% - I/O Pins : 64 55 => 85% + I/O Pins : 64 51 => 79% Clock Only Pins : 0 0 => 0% Clock/Input Pins : 4 4 => 100% Logic Blocks : 8 8 => 100% - Macrocells : 128 102 => 79% + Macrocells : 128 101 => 78% PT Clusters : 128 59 => 46% - Single PT Clusters : 128 53 => 41% Input Registers : 0 * Routing Completion: 100% -* Attempts: Place [ 146] Route [ 0] +* Attempts: Place [ 1250] Route [ 0] =========================================================================== Signal Fanout Table =========================================================================== @@ -57,9 +57,9 @@ _|____|____|____|_______________|____|_____________|___|________________ | | | +- Signal-to-Pin Assignment | | | | Fanout to Logic Blocks Signal Name ___|__|__|____|____________________________________________________________ - 1| 6| IO| 69|=> ..2.|....| A0 + 1| 6| IO| 69|=> .1..|.5..| A0 |=> Paired w/: RN_A0 - 2| 5|INP| 60|=> ..2.|....| A1 + 2| 5|INP| 60|=> ....|..6.| A1 3| 3|OUT| 33|=> ....|....| AMIGA_ADDR_ENABLE 4| 4|OUT| 48|=> ....|....| AMIGA_BUS_DATA_DIR 5| 3|OUT| 34|=> ....|....| AMIGA_BUS_ENABLE_HIGH @@ -71,162 +71,157 @@ ___|__|__|____|____________________________________________________________ 11| 5|INP| 59|=> ..2.|4..7| A_17_ 12| 0|INP| 95|=> ..2.|4..7| A_18_ 13| 0|INP| 97|=> ..2.|4..7| A_19_ - 14| 0|INP| 93|=> ....|4...| A_20_ - 15| 0|INP| 94|=> ....|4...| A_21_ - 16| 7|INP| 84|=> ....|4...| A_22_ - 17| 7|INP| 85|=> ....|4...| A_23_ - 18| 2|INP| 19|=> ....|4...| A_24_ - 19| 2|INP| 18|=> ....|4...| A_25_ - 20| 2|INP| 17|=> ....|4...| A_26_ - 21| 2|INP| 16|=> ....|4...| A_27_ - 22| 2|INP| 15|=> ....|4...| A_28_ - 23| 1|INP| 6|=> ....|4...| A_29_ - 24| 1|INP| 5|=> ....|4...| A_30_ - 25| 1|INP| 4|=> ....|4...| A_31_ - 26| 4| IO| 41|=> .12.|.5.7| BERR - 27| 3|INP| 28|=> ....|4..7| BGACK_000 - 28| 7| IO| 83|=> ....|....| BGACK_030 + 14| 2|INP| 19|=> ....|4...| A_24_ + 15| 2|INP| 18|=> ....|4...| A_25_ + 16| 2|INP| 17|=> ....|4...| A_26_ + 17| 2|INP| 16|=> ....|4...| A_27_ + 18| 2|INP| 15|=> ....|4...| A_28_ + 19| 1|INP| 6|=> ....|4...| A_29_ + 20| 1|INP| 5|=> ....|4...| A_30_ + 21| 1|INP| 4|=> ....|4...| A_31_ + 22| 4| IO| 41|=> ..2.|.5.7| BERR + 23| 3|INP| 28|=> ....|4..7| BGACK_000 + 24| 7| IO| 83|=> ....|....| BGACK_030 |=> Paired w/: RN_BGACK_030 - 29| 3| IO| 29|=> ....|....| BG_000 + 25| 3| IO| 29|=> ....|....| BG_000 |=> Paired w/: RN_BG_000 - 30| 2|INP| 21|=> ...3|....| BG_030 - 31| 4|OUT| 47|=> ....|....| CIIN - 32| 4|NOD| . |=> ....|4...| CIIN_0 - 33| +|INP| 11|=> ...3|....| CLK_000 - 34| 3|NOD| . |=> .1..|....| CLK_000_N_SYNC_0_ - 35| 7|NOD| . |=> ....|...7| CLK_000_N_SYNC_10_ - 36| 7|NOD| . |=> ....|4...| CLK_000_N_SYNC_11_ - 37| 1|NOD| . |=> ...3|....| CLK_000_N_SYNC_1_ - 38| 3|NOD| . |=> ...3|....| CLK_000_N_SYNC_2_ - 39| 3|NOD| . |=> 0...|....| CLK_000_N_SYNC_3_ - 40| 0|NOD| . |=> ..2.|....| CLK_000_N_SYNC_4_ - 41| 2|NOD| . |=> ...3|....| CLK_000_N_SYNC_5_ - 42| 3|NOD| . |=> ...3|....| CLK_000_N_SYNC_6_ - 43| 3|NOD| . |=> 0...|....| CLK_000_N_SYNC_7_ - 44| 0|NOD| . |=> ....|..6.| CLK_000_N_SYNC_8_ - 45| 6|NOD| . |=> ....|...7| CLK_000_N_SYNC_9_ - 46| 3|NOD| . |=> ....|..6.| CLK_000_P_SYNC_0_ - 47| 6|NOD| . |=> ....|..6.| CLK_000_P_SYNC_1_ - 48| 6|NOD| . |=> .1..|....| CLK_000_P_SYNC_2_ - 49| 1|NOD| . |=> .1..|....| CLK_000_P_SYNC_3_ - 50| 1|NOD| . |=> ....|..6.| CLK_000_P_SYNC_4_ - 51| 6|NOD| . |=> ....|..6.| CLK_000_P_SYNC_5_ - 52| 6|NOD| . |=> 0...|....| CLK_000_P_SYNC_6_ - 53| 0|NOD| . |=> 0...|....| CLK_000_P_SYNC_7_ - 54| 0|NOD| . |=> 0...|....| CLK_000_P_SYNC_8_ - 55| 0|NOD| . |=> ....|.5..| CLK_000_P_SYNC_9_ - 56| +|INP| 64|=> 01..|...7| CLK_030 - 57| 6|OUT| 65|=> ....|....| CLK_DIV_OUT - 58| 1|OUT| 10|=> ....|....| CLK_EXP - 59| +|Cin| 61|=> ....|....| CLK_OSZI - 60| 0|NOD| . |=> 0...|....| CYCLE_DMA_0_ - 61| 0|NOD| . |=> 0...|....| CYCLE_DMA_1_ - 62| 7| IO| 81|=> ....|....| DSACK1 + 26| 2|INP| 21|=> ...3|....| BG_030 + 27| 4|OUT| 47|=> ....|....| CIIN + 28| +|INP| 11|=> ....|..6.| CLK_000 + 29| 3|NOD| . |=> 0...|....| CLK_000_N_SYNC_0_ + 30| 7|NOD| . |=> ....|...7| CLK_000_N_SYNC_10_ + 31| 7|NOD| . |=> ....|..6.| CLK_000_N_SYNC_11_ + 32| 0|NOD| . |=> 0...|....| CLK_000_N_SYNC_1_ + 33| 0|NOD| . |=> 0...|....| CLK_000_N_SYNC_2_ + 34| 0|NOD| . |=> ...3|....| CLK_000_N_SYNC_3_ + 35| 3|NOD| . |=> 0...|....| CLK_000_N_SYNC_4_ + 36| 0|NOD| . |=> ...3|....| CLK_000_N_SYNC_5_ + 37| 3|NOD| . |=> ....|4...| CLK_000_N_SYNC_6_ + 38| 4|NOD| . |=> 0...|....| CLK_000_N_SYNC_7_ + 39| 0|NOD| . |=> ....|..6.| CLK_000_N_SYNC_8_ + 40| 6|NOD| . |=> ....|...7| CLK_000_N_SYNC_9_ + 41| 3|NOD| . |=> ....|..6.| CLK_000_P_SYNC_0_ + 42| 6|NOD| . |=> .1..|....| CLK_000_P_SYNC_1_ + 43| 1|NOD| . |=> ..2.|....| CLK_000_P_SYNC_2_ + 44| 2|NOD| . |=> .1..|....| CLK_000_P_SYNC_3_ + 45| 1|NOD| . |=> ....|4...| CLK_000_P_SYNC_4_ + 46| 4|NOD| . |=> ....|4...| CLK_000_P_SYNC_5_ + 47| 4|NOD| . |=> ....|..6.| CLK_000_P_SYNC_6_ + 48| 6|NOD| . |=> ..2.|....| CLK_000_P_SYNC_7_ + 49| 2|NOD| . |=> ..2.|....| CLK_000_P_SYNC_8_ + 50| 2|NOD| . |=> ...3|....| CLK_000_P_SYNC_9_ + 51| +|INP| 64|=> 01..|...7| CLK_030 + 52| 6|OUT| 65|=> ....|....| CLK_DIV_OUT + 53| 1|OUT| 10|=> ....|....| CLK_EXP + 54| +|Cin| 61|=> ....|....| CLK_OSZI + 55| 0|NOD| . |=> 0...|....| CYCLE_DMA_0_ + 56| 0|NOD| . |=> 0...|....| CYCLE_DMA_1_ + 57| 7| IO| 81|=> ....|....| DSACK1 |=> Paired w/: RN_DSACK1 - 63| 0| IO| 98|=> 0..3|....| DS_030 - 64| 3|INP| 30|=> ..2.|....| DTACK - 65| 6| IO| 66|=> ....|....| E + 58| 0| IO| 98|=> ...3|....| DS_030 + 59| 3|INP| 30|=> ...3|....| DTACK + 60| 6| IO| 66|=> ....|....| E |=> Paired w/: RN_E - 66| 5|INP| 57|=> ..2.|4..7| FC_0_ - 67| 5|INP| 58|=> ..2.|4..7| FC_1_ - 68| 7|OUT| 78|=> ....|....| FPU_CS - 69| 0|INP| 91|=> ....|4..7| FPU_SENSE - 70| 1| IO| 8|=> ....|....| IPL_030_0_ + 61| 5|INP| 57|=> ..2.|4..7| FC_0_ + 62| 5|INP| 58|=> ..2.|4..7| FC_1_ + 63| 7|OUT| 78|=> ....|....| FPU_CS + 64| 0|INP| 91|=> ....|4..7| FPU_SENSE + 65| 1| IO| 8|=> ....|....| IPL_030_0_ |=> Paired w/: RN_IPL_030_0_ - 71| 1| IO| 7|=> ....|....| IPL_030_1_ + 66| 1| IO| 7|=> ....|....| IPL_030_1_ |=> Paired w/: RN_IPL_030_1_ - 72| 1| IO| 9|=> ....|....| IPL_030_2_ + 67| 1| IO| 9|=> ....|....| IPL_030_2_ |=> Paired w/: RN_IPL_030_2_ - 73| 6|INP| 67|=> .1..|....| IPL_0_ - 74| 5|INP| 56|=> .1..|..6.| IPL_1_ - 75| 6|INP| 68|=> .1..|..6.| IPL_2_ - 76| 1|NOD| . |=> .1..|....| IPL_D0_0_ - 77| 6|NOD| . |=> .1..|....| IPL_D0_1_ - 78| 6|NOD| . |=> .1..|....| IPL_D0_2_ - 79| 3| IO| 31|=> 0...|..6.| LDS_000 - 80| 5|NOD| . |=> ....|.5..| N_96_i - 81| 1| IO| 3|=> ....|....| RESET + 68| 6|INP| 67|=> .1..|....| IPL_0_ + 69| 5|INP| 56|=> .12.|....| IPL_1_ + 70| 6|INP| 68|=> .1..|....| IPL_2_ + 71| 1|NOD| . |=> .1..|....| IPL_D0_0_ + 72| 2|NOD| . |=> .1..|....| IPL_D0_1_ + 73| 1|NOD| . |=> .1..|....| IPL_D0_2_ + 74| 3| IO| 31|=> 0...|..6.| LDS_000 + 75| 5|NOD| . |=> ....|.5..| N_165 + 76| 1| IO| 3|=> ....|....| RESET |=> Paired w/: RN_RESET - 82| 6|NOD| . |=> ....|..6.| RN_A0 + 77| 6|NOD| . |=> ....|..6.| RN_A0 |=> Paired w/: A0 - 83| 7|NOD| . |=> 0.23|4.67| RN_BGACK_030 + 78| 7|NOD| . |=> 0.23|4.67| RN_BGACK_030 |=> Paired w/: BGACK_030 - 84| 3|NOD| . |=> ...3|....| RN_BG_000 + 79| 3|NOD| . |=> ...3|....| RN_BG_000 |=> Paired w/: BG_000 - 85| 7|NOD| . |=> ....|...7| RN_DSACK1 + 80| 7|NOD| . |=> ....|...7| RN_DSACK1 |=> Paired w/: DSACK1 - 86| 6|NOD| . |=> ...3|.56.| RN_E + 81| 6|NOD| . |=> ...3|.56.| RN_E |=> Paired w/: E - 87| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ + 82| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ |=> Paired w/: IPL_030_0_ - 88| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ + 83| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ |=> Paired w/: IPL_030_1_ - 89| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ + 84| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ |=> Paired w/: IPL_030_2_ - 90| 1|NOD| . |=> 01.3|4.67| RN_RESET + 85| 1|NOD| . |=> 01.3|4.67| RN_RESET |=> Paired w/: RESET - 91| 6|NOD| . |=> ....|..6.| RN_RW + 86| 6|NOD| . |=> ....|..6.| RN_RW |=> Paired w/: RW - 92| 7|NOD| . |=> ....|...7| RN_RW_000 + 87| 7|NOD| . |=> ....|...7| RN_RW_000 |=> Paired w/: RW_000 - 93| 3|NOD| . |=> ...3|.5..| RN_VMA + 88| 3|NOD| . |=> ...3|.5..| RN_VMA |=> Paired w/: VMA - 94| +|INP| 86|=> 0123|.567| RST - 95| 1|NOD| . |=> 01..|....| RST_DLY_0_ - 96| 0|NOD| . |=> 01..|....| RST_DLY_1_ - 97| 1|NOD| . |=> 01..|....| RST_DLY_2_ - 98| 0|NOD| . |=> 01..|....| RST_DLY_3_ - 99| 0|NOD| . |=> 01..|....| RST_DLY_4_ - 100| 1|NOD| . |=> 01..|....| RST_DLY_5_ - 101| 1|NOD| . |=> 01..|....| RST_DLY_6_ - 102| 1|NOD| . |=> 01..|....| RST_DLY_7_ - 103| 6| IO| 71|=> ....|.5.7| RW + 89| +|INP| 86|=> 0123|.567| RST + 90| 1|NOD| . |=> 01..|....| RST_DLY_0_ + 91| 0|NOD| . |=> 01..|....| RST_DLY_1_ + 92| 1|NOD| . |=> 01..|....| RST_DLY_2_ + 93| 1|NOD| . |=> 01..|....| RST_DLY_3_ + 94| 1|NOD| . |=> 01..|....| RST_DLY_4_ + 95| 0|NOD| . |=> 01..|....| RST_DLY_5_ + 96| 1|NOD| . |=> 01..|....| RST_DLY_6_ + 97| 0|NOD| . |=> 01..|....| RST_DLY_7_ + 98| 6| IO| 71|=> ..2.|...7| RW |=> Paired w/: RN_RW - 104| 7| IO| 80|=> 0...|4.6.| RW_000 + 99| 7| IO| 80|=> 0...|4.6.| RW_000 |=> Paired w/: RN_RW_000 - 105| 6| IO| 70|=> ..2.|....| SIZE_0_ - 106| 7| IO| 79|=> ..2.|....| SIZE_1_ - 107| 6|NOD| . |=> ....|..67| SIZE_DMA_0_ - 108| 6|NOD| . |=> ....|..67| SIZE_DMA_1_ - 109| 1|NOD| . |=> .1..|.5.7| SM_AMIGA_0_ - 110| 5|NOD| . |=> .1..|.5.7| SM_AMIGA_1_ - 111| 5|NOD| . |=> ....|.5..| SM_AMIGA_2_ - 112| 5|NOD| . |=> ....|.5..| SM_AMIGA_3_ - 113| 5|NOD| . |=> ....|.5..| SM_AMIGA_4_ - 114| 5|NOD| . |=> ..2.|.5.7| SM_AMIGA_5_ - 115| 5|NOD| . |=> ..2.|.5..| SM_AMIGA_6_ - 116| 5|NOD| . |=> ..23|.5.7| SM_AMIGA_i_7_ - 117| 3| IO| 32|=> 0...|..6.| UDS_000 - 118| 3| IO| 35|=> ....|....| VMA + 100| 6| IO| 70|=> .1..|....| SIZE_0_ + 101| 7| IO| 79|=> .1..|....| SIZE_1_ + 102| 6|NOD| . |=> ....|..67| SIZE_DMA_0_ + 103| 6|NOD| . |=> ....|..67| SIZE_DMA_1_ + 104| 5|NOD| . |=> ....|.5.7| SM_AMIGA_0_ + 105| 5|NOD| . |=> ....|.5.7| SM_AMIGA_1_ + 106| 5|NOD| . |=> ....|.5..| SM_AMIGA_2_ + 107| 5|NOD| . |=> ..2.|.5..| SM_AMIGA_3_ + 108| 5|NOD| . |=> ....|.5..| SM_AMIGA_4_ + 109| 5|NOD| . |=> ..2.|.5.7| SM_AMIGA_5_ + 110| 2|NOD| . |=> .12.|.5..| SM_AMIGA_6_ + 111| 5|NOD| . |=> ..23|...7| SM_AMIGA_i_7_ + 112| 3| IO| 32|=> 0...|..6.| UDS_000 + 113| 3| IO| 35|=> ....|....| VMA |=> Paired w/: RN_VMA - 119| +|INP| 36|=> .1..|....| VPA - 120| 5|NOD| . |=> ...3|.56.| cpu_est_0_ - 121| 3|NOD| . |=> ...3|.56.| cpu_est_1_ - 122| 3|NOD| . |=> ...3|.56.| cpu_est_2_ - 123| 2|NOD| . |=> ..23|....| inst_AMIGA_BUS_ENABLE_DMA_HIGH - 124| 2|NOD| . |=> ..2.|....| inst_AMIGA_BUS_ENABLE_DMA_LOW - 125| 0|NOD| . |=> 0...|...7| inst_AS_000_DMA - 126| 2|NOD| . |=> ..2.|4...| inst_AS_000_INT - 127| 2|NOD| . |=> ..2.|.5..| inst_AS_030_000_SYNC - 128| 7|NOD| . |=> ..23|45.7| inst_AS_030_D0 - 129| 7|NOD| . |=> ..2.|..6.| inst_BGACK_030_INT_D - 130| 3|NOD| . |=> ...3|.56.| inst_CLK_000_D0 - 131| 6|NOD| . |=> ...3|.5..| inst_CLK_000_D1 - 132| 4|NOD| . |=> 01.3|.5..| inst_CLK_000_NE - 133| 3|NOD| . |=> ...3|.56.| inst_CLK_000_NE_D0 - 134| 5|NOD| . |=> 01.3|.5.7| inst_CLK_000_PE - 135| 0|NOD| . |=> 0...|....| inst_CLK_030_H - 136| 4|NOD| . |=> ....|4...| inst_CLK_OUT_PRE_50 - 137| 4|NOD| . |=> ....|...7| inst_CLK_OUT_PRE_D - 138| 0|NOD| . |=> 0...|....| inst_DS_000_DMA - 139| 5|NOD| . |=> ...3|.5..| inst_DS_000_ENABLE - 140| 0|NOD| . |=> ..2.|....| inst_DS_030_D0 - 141| 2|NOD| . |=> ....|.5..| inst_DTACK_D0 - 142| 2|NOD| . |=> ..23|....| inst_LDS_000_INT - 143| 2|NOD| . |=> ..23|....| inst_UDS_000_INT - 144| 1|NOD| . |=> ...3|.5..| inst_VPA_D - 145| 6|NOD| . |=> 0.23|4567| inst_nEXP_SPACE_D0reg - 146| +|INP| 14|=> ....|..6.| nEXP_SPACE + 114| +|INP| 36|=> ....|.5..| VPA + 115| 3|NOD| . |=> ...3|.56.| cpu_est_0_ + 116| 3|NOD| . |=> ...3|.56.| cpu_est_1_ + 117| 3|NOD| . |=> ...3|.56.| cpu_est_2_ + 118| 6|NOD| . |=> ...3|..6.| inst_AMIGA_BUS_ENABLE_DMA_HIGH + 119| 6|NOD| . |=> ..2.|..6.| inst_AMIGA_BUS_ENABLE_DMA_LOW + 120| 0|NOD| . |=> 0...|...7| inst_AS_000_DMA + 121| 2|NOD| . |=> ..2.|4...| inst_AS_000_INT + 122| 2|NOD| . |=> ..2.|.5..| inst_AS_030_000_SYNC + 123| 7|NOD| . |=> ..23|4..7| inst_AS_030_D0 + 124| 7|NOD| . |=> ..2.|..6.| inst_BGACK_030_INT_D + 125| 6|NOD| . |=> ..23|.5..| inst_CLK_000_D0 + 126| 5|NOD| . |=> ..23|.5..| inst_CLK_000_D1 + 127| 6|NOD| . |=> 01.3|.5..| inst_CLK_000_NE + 128| 1|NOD| . |=> ...3|.56.| inst_CLK_000_NE_D0 + 129| 3|NOD| . |=> 0.23|.5.7| inst_CLK_000_PE + 130| 0|NOD| . |=> 0...|....| inst_CLK_030_H + 131| 0|NOD| . |=> 0...|..6.| inst_CLK_OUT_PRE_50 + 132| 6|NOD| . |=> ....|...7| inst_CLK_OUT_PRE_D + 133| 0|NOD| . |=> 0...|....| inst_DS_000_DMA + 134| 2|NOD| . |=> ..23|....| inst_DS_000_ENABLE + 135| 3|NOD| . |=> .1..|.5..| inst_DS_030_D0 + 136| 3|NOD| . |=> ....|.5..| inst_DTACK_D0 + 137| 1|NOD| . |=> .1.3|....| inst_LDS_000_INT + 138| 5|NOD| . |=> ...3|.5..| inst_UDS_000_INT + 139| 5|NOD| . |=> ...3|.5..| inst_VPA_D + 140| 6|NOD| . |=> 0.23|4567| inst_nEXP_SPACE_D0reg + 141| +|INP| 14|=> ....|..6.| nEXP_SPACE --------------------------------------------------------------------------- =========================================================================== < C:/ispLever/ispcpld/dat/mach4a/mach447a Device Pin Assignments > @@ -318,8 +313,8 @@ ____|_____|_________|______________________________________________________ 81 | I_O | 7_04|*| DSACK1 82 | I_O | 7_03|*| AS_030 83 | I_O | 7_02|*| BGACK_030 - 84 | I_O | 7_01|*| A_22_ - 85 | I_O | 7_00|*| A_23_ + 84 | I_O | 7_01| | - + 85 | I_O | 7_00| | - 86 | Inp | |*| RST 87 | Vcc | | | (pwr/test) 88 | GND | | | (pwr/test) @@ -327,8 +322,8 @@ ____|_____|_________|______________________________________________________ 90 | Vcc | | | (pwr/test) 91 | I_O | 0_00|*| FPU_SENSE 92 | I_O | 0_01|*| AVEC - 93 | I_O | 0_02|*| A_20_ - 94 | I_O | 0_03|*| A_21_ + 93 | I_O | 0_02| | - + 94 | I_O | 0_03| | - 95 | I_O | 0_04|*| A_18_ 96 | I_O | 0_05|*| A_16_ 97 | I_O | 0_06|*| A_19_ @@ -348,20 +343,20 @@ ____|_____|_________|______________________________________________________ _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| DS_030| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig 1| RST_DLY_1_|NOD| | S | 4 | 4 to [ 1]| 1 XOR free - 2| CYCLE_DMA_1_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free - 3|CLK_000_P_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig - 4| AVEC|OUT| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5| RST_DLY_4_|NOD| | S | 2 :+: 1| 4 to [ 5]| 1 XOR to [ 5] - 6| CYCLE_DMA_0_|NOD| | S | 2 | 4 to [ 6]| 1 XOR free - 7|CLK_000_P_SYNC_7_|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [ 7] for 1 PT sig + 2|inst_CLK_030_H|NOD| | S | 8 | 4 to [ 2]| 1 XOR to [ 2] as logic PT + 3|CLK_000_N_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig + 4| AVEC|OUT| | S | 1 | 4 to [ 2]| 1 XOR to [ 4] for 1 PT sig + 5| RST_DLY_7_|NOD| | S | 2 | 4 to [ 5]| 1 XOR free + 6| CYCLE_DMA_1_|NOD| | S | 3 | 4 to [ 6]| 1 XOR free + 7|CLK_000_N_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig 8|inst_AS_000_DMA|NOD| | S | 7 | 4 to [ 8]| 1 XOR to [ 8] as logic PT - 9|inst_DS_000_DMA|NOD| | S | 9 | 4 to [ 9]| 1 XOR to [ 9] as logic PT -10|CLK_000_N_SYNC_8_|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [10] for 1 PT sig -11|CLK_000_P_SYNC_9_|NOD| | S | 1 | 4 to [12]| 1 XOR to [11] for 1 PT sig -12| RST_DLY_3_|NOD| | S | 6 | 4 to [12]| 1 XOR to [12] as logic PT -13|inst_CLK_030_H|NOD| | S | 8 | 4 to [13]| 1 XOR to [13] as logic PT -14|CLK_000_N_SYNC_4_|NOD| | S | 1 | 4 to [13]| 1 XOR to [14] for 1 PT sig -15|inst_DS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig + 9|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [ 9] for 1 PT sig +10| CYCLE_DMA_0_|NOD| | S | 2 | 4 to [10]| 1 XOR free +11|CLK_000_N_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig +12| RST_DLY_5_|NOD| | S | 4 | 4 to [12]| 1 XOR free +13|inst_DS_000_DMA|NOD| | S | 9 | 4 to [13]| 1 XOR to [13] as logic PT +14|CLK_000_N_SYNC_8_|NOD| | S | 1 | 4 to [13]| 1 XOR to [14] for 1 PT sig +15|CLK_000_N_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig --------------------------------------------------------------------------- =========================================================================== < Block [ 0] > Maximum PT Capacity @@ -375,20 +370,20 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ _|_________________|__|__|___|_____|_______________________________________ 0| DS_030| IO| | S | 1 |=> can support up to [ 5] logic PT(s) 1| RST_DLY_1_|NOD| | S | 4 |=> can support up to [ 13] logic PT(s) - 2| CYCLE_DMA_1_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) - 3|CLK_000_P_SYNC_8_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 4| AVEC|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) - 5| RST_DLY_4_|NOD| | S | 2 :+: 1|=> can support up to [ 8] logic PT(s) - 6| CYCLE_DMA_0_|NOD| | S | 2 |=> can support up to [ 5] logic PT(s) - 7|CLK_000_P_SYNC_7_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) - 8|inst_AS_000_DMA|NOD| | S | 7 |=> can support up to [ 9] logic PT(s) - 9|inst_DS_000_DMA|NOD| | S | 9 |=> can support up to [ 9] logic PT(s) -10|CLK_000_N_SYNC_8_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) -11|CLK_000_P_SYNC_9_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) -12| RST_DLY_3_|NOD| | S | 6 |=> can support up to [ 9] logic PT(s) -13|inst_CLK_030_H|NOD| | S | 8 |=> can support up to [ 13] logic PT(s) -14|CLK_000_N_SYNC_4_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) -15|inst_DS_030_D0|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 2|inst_CLK_030_H|NOD| | S | 8 |=> can support up to [ 13] logic PT(s) + 3|CLK_000_N_SYNC_5_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 4| AVEC|OUT| | S | 1 |=> can support up to [ 5] logic PT(s) + 5| RST_DLY_7_|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) + 6| CYCLE_DMA_1_|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) + 7|CLK_000_N_SYNC_3_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 8|inst_AS_000_DMA|NOD| | S | 7 |=> can support up to [ 13] logic PT(s) + 9|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +10| CYCLE_DMA_0_|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) +11|CLK_000_N_SYNC_2_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +12| RST_DLY_5_|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) +13|inst_DS_000_DMA|NOD| | S | 9 |=> can support up to [ 13] logic PT(s) +14|CLK_000_N_SYNC_8_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) +15|CLK_000_N_SYNC_1_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 0] > Node-Pin Assignments @@ -400,20 +395,20 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| DS_030| IO| | => | 5 6 ( 7) 0 | 96 97 ( 98) 91 1| RST_DLY_1_|NOD| | => | 5 6 7 0 | 96 97 98 91 - 2| CYCLE_DMA_1_|NOD| | => | 6 7 0 1 | 97 98 91 92 - 3|CLK_000_P_SYNC_8_|NOD| | => | 6 7 0 1 | 97 98 91 92 + 2|inst_CLK_030_H|NOD| | => | 6 7 0 1 | 97 98 91 92 + 3|CLK_000_N_SYNC_5_|NOD| | => | 6 7 0 1 | 97 98 91 92 4| AVEC|OUT| | => | 7 0 ( 1) 2 | 98 91 ( 92) 93 - 5| RST_DLY_4_|NOD| | => | 7 0 1 2 | 98 91 92 93 - 6| CYCLE_DMA_0_|NOD| | => | 0 1 2 3 | 91 92 93 94 - 7|CLK_000_P_SYNC_7_|NOD| | => | 0 1 2 3 | 91 92 93 94 + 5| RST_DLY_7_|NOD| | => | 7 0 1 2 | 98 91 92 93 + 6| CYCLE_DMA_1_|NOD| | => | 0 1 2 3 | 91 92 93 94 + 7|CLK_000_N_SYNC_3_|NOD| | => | 0 1 2 3 | 91 92 93 94 8|inst_AS_000_DMA|NOD| | => | 1 2 3 4 | 92 93 94 95 - 9|inst_DS_000_DMA|NOD| | => | 1 2 3 4 | 92 93 94 95 -10|CLK_000_N_SYNC_8_|NOD| | => | 2 3 4 5 | 93 94 95 96 -11|CLK_000_P_SYNC_9_|NOD| | => | 2 3 4 5 | 93 94 95 96 -12| RST_DLY_3_|NOD| | => | 3 4 5 6 | 94 95 96 97 -13|inst_CLK_030_H|NOD| | => | 3 4 5 6 | 94 95 96 97 -14|CLK_000_N_SYNC_4_|NOD| | => | 4 5 6 7 | 95 96 97 98 -15|inst_DS_030_D0|NOD| | => | 4 5 6 7 | 95 96 97 98 + 9|inst_CLK_OUT_PRE_50|NOD| | => | 1 2 3 4 | 92 93 94 95 +10| CYCLE_DMA_0_|NOD| | => | 2 3 4 5 | 93 94 95 96 +11|CLK_000_N_SYNC_2_|NOD| | => | 2 3 4 5 | 93 94 95 96 +12| RST_DLY_5_|NOD| | => | 3 4 5 6 | 94 95 96 97 +13|inst_DS_000_DMA|NOD| | => | 3 4 5 6 | 94 95 96 97 +14|CLK_000_N_SYNC_8_|NOD| | => | 4 5 6 7 | 95 96 97 98 +15|CLK_000_N_SYNC_1_|NOD| | => | 4 5 6 7 | 95 96 97 98 --------------------------------------------------------------------------- =========================================================================== < Block [ 0] > IO-to-Node Pin Mapping @@ -426,8 +421,8 @@ _|_________________|__|_____|____________________|________________________ _|_________________|__|___|_____|___________________________________________ 0| FPU_SENSE|INP|*| 91| => | 0 1 2 3 4 5 6 7 1| AVEC|OUT|*| 92| => | 2 3 ( 4) 5 6 7 8 9 - 2| A_20_|INP|*| 93| => | 4 5 6 7 8 9 10 11 - 3| A_21_|INP|*| 94| => | 6 7 8 9 10 11 12 13 + 2| | | | 93| => | 4 5 6 7 8 9 10 11 + 3| | | | 94| => | 6 7 8 9 10 11 12 13 4| A_18_|INP|*| 95| => | 8 9 10 11 12 13 14 15 5| A_16_|INP|*| 96| => | 10 11 12 13 14 15 0 1 6| A_19_|INP|*| 97| => | 12 13 14 15 0 1 2 3 @@ -444,8 +439,8 @@ _|_________________|__|___|_____|___________________________________________ _|_________________|__|___|_____|__________________________________________ 0| FPU_SENSE|INP|*| 91| => | Input macrocell [ -] 1| AVEC|OUT|*| 92| => | Input macrocell [ -] - 2| A_20_|INP|*| 93| => | Input macrocell [ -] - 3| A_21_|INP|*| 94| => | Input macrocell [ -] + 2| | | | 93| => | Input macrocell [ -] + 3| | | | 94| => | Input macrocell [ -] 4| A_18_|INP|*| 95| => | Input macrocell [ -] 5| A_16_|INP|*| 96| => | Input macrocell [ -] 6| A_19_|INP|*| 97| => | Input macrocell [ -] @@ -467,38 +462,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 92|OUT AVEC|*| ] [RegIn 1 |105| -| | ] - [MCell 2 |104|NOD CYCLE_DMA_1_| |*] - [MCell 3 |106|NOD CLK_000_P_SYNC_8_| |*] + [MCell 2 |104|NOD inst_CLK_030_H| |*] + [MCell 3 |106|NOD CLK_000_N_SYNC_5_| |*] - 2 [IOpin 2 | 93|INP A_20_|*|*] + 2 [IOpin 2 | 93| -| | ] [RegIn 2 |108| -| | ] [MCell 4 |107|OUT AVEC| | ] - [MCell 5 |109|NOD RST_DLY_4_| |*] + [MCell 5 |109|NOD RST_DLY_7_| |*] - 3 [IOpin 3 | 94|INP A_21_|*|*] + 3 [IOpin 3 | 94| -| | ] [RegIn 3 |111| -| | ] - [MCell 6 |110|NOD CYCLE_DMA_0_| |*] - [MCell 7 |112|NOD CLK_000_P_SYNC_7_| |*] + [MCell 6 |110|NOD CYCLE_DMA_1_| |*] + [MCell 7 |112|NOD CLK_000_N_SYNC_3_| |*] 4 [IOpin 4 | 95|INP A_18_|*|*] [RegIn 4 |114| -| | ] [MCell 8 |113|NOD inst_AS_000_DMA| |*] - [MCell 9 |115|NOD inst_DS_000_DMA| |*] + [MCell 9 |115|NOD inst_CLK_OUT_PRE_50| |*] 5 [IOpin 5 | 96|INP A_16_|*|*] [RegIn 5 |117| -| | ] - [MCell 10 |116|NOD CLK_000_N_SYNC_8_| |*] - [MCell 11 |118|NOD CLK_000_P_SYNC_9_| |*] + [MCell 10 |116|NOD CYCLE_DMA_0_| |*] + [MCell 11 |118|NOD CLK_000_N_SYNC_2_| |*] 6 [IOpin 6 | 97|INP A_19_|*|*] [RegIn 6 |120| -| | ] - [MCell 12 |119|NOD RST_DLY_3_| |*] - [MCell 13 |121|NOD inst_CLK_030_H| |*] + [MCell 12 |119|NOD RST_DLY_5_| |*] + [MCell 13 |121|NOD inst_DS_000_DMA| |*] 7 [IOpin 7 | 98| IO DS_030|*|*] [RegIn 7 |123| -| | ] - [MCell 14 |122|NOD CLK_000_N_SYNC_4_| |*] - [MCell 15 |124|NOD inst_DS_030_D0| |*] + [MCell 14 |122|NOD CLK_000_N_SYNC_8_| |*] + [MCell 15 |124|NOD CLK_000_N_SYNC_1_| |*] --------------------------------------------------------------------------- =========================================================================== < Block [ 0] > Logic Array Fan-in @@ -506,39 +501,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Mcel 0 2 ( 104)| CYCLE_DMA_1_ -Mux01| Mcel 0 7 ( 112)| CLK_000_P_SYNC_7_ -Mux02| Mcel 1 10 ( 140)| RST_DLY_7_ -Mux03| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg +Mux00| Input Pin ( 86)| RST +Mux01| Mcel 1 12 ( 143)| RST_DLY_4_ +Mux02| Mcel 1 10 ( 140)| RST_DLY_0_ +Mux03| Mcel 0 8 ( 113)| inst_AS_000_DMA Mux04| Input Pin ( 64)| CLK_030 -Mux05| IOPin 0 7 ( 98)| DS_030 +Mux05| ... | ... Mux06| IOPin 7 5 ( 80)| RW_000 -Mux07| ... | ... -Mux08| Mcel 4 8 ( 209)| inst_CLK_000_NE -Mux09| Mcel 0 12 ( 119)| RST_DLY_3_ -Mux10| Mcel 1 2 ( 128)| RST_DLY_6_ -Mux11| Mcel 1 6 ( 134)| RST_DLY_0_ -Mux12| IOPin 3 3 ( 32)| UDS_000 -Mux13| Mcel 3 3 ( 178)| CLK_000_N_SYNC_3_ -Mux14| ... | ... -Mux15| Mcel 0 6 ( 110)| CYCLE_DMA_0_ -Mux16| Mcel 1 9 ( 139)| RST_DLY_2_ -Mux17| ... | ... -Mux18| Mcel 0 5 ( 109)| RST_DLY_4_ -Mux19| Mcel 0 9 ( 115)| inst_DS_000_DMA -Mux20| Mcel 3 10 ( 188)| CLK_000_N_SYNC_7_ -Mux21| Mcel 0 1 ( 103)| RST_DLY_1_ -Mux22| Mcel 6 10 ( 260)| CLK_000_P_SYNC_6_ +Mux07| Mcel 0 11 ( 118)| CLK_000_N_SYNC_2_ +Mux08| Mcel 4 8 ( 209)| CLK_000_N_SYNC_7_ +Mux09| Mcel 0 1 ( 103)| RST_DLY_1_ +Mux10| Mcel 0 6 ( 110)| CYCLE_DMA_1_ +Mux11| ... | ... +Mux12| Mcel 3 9 ( 187)| inst_CLK_000_PE +Mux13| Mcel 0 15 ( 124)| CLK_000_N_SYNC_1_ +Mux14| Mcel 0 10 ( 116)| CYCLE_DMA_0_ +Mux15| Mcel 0 12 ( 119)| RST_DLY_5_ +Mux16| IOPin 4 1 ( 42)| AS_000 +Mux17| Mcel 1 11 ( 142)| RST_DLY_6_ +Mux18| Mcel 0 5 ( 109)| RST_DLY_7_ +Mux19| Mcel 0 9 ( 115)| inst_CLK_OUT_PRE_50 +Mux20| IOPin 3 3 ( 32)| UDS_000 +Mux21| Mcel 3 7 ( 184)| CLK_000_N_SYNC_0_ +Mux22| Mcel 3 3 ( 178)| CLK_000_N_SYNC_4_ Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux24| Input Pin ( 86)| RST -Mux25| Mcel 0 13 ( 121)| inst_CLK_030_H -Mux26| IOPin 4 1 ( 42)| AS_000 -Mux27| IOPin 3 4 ( 31)| LDS_000 -Mux28| Mcel 1 13 ( 145)| RST_DLY_5_ -Mux29| Mcel 1 0 ( 125)| RN_RESET -Mux30| Mcel 0 8 ( 113)| inst_AS_000_DMA -Mux31| Mcel 5 0 ( 221)| inst_CLK_000_PE -Mux32| Mcel 0 3 ( 106)| CLK_000_P_SYNC_8_ +Mux24| IOPin 3 4 ( 31)| LDS_000 +Mux25| Mcel 0 2 ( 104)| inst_CLK_030_H +Mux26| Mcel 1 0 ( 125)| RST_DLY_3_ +Mux27| Mcel 6 9 ( 259)| inst_CLK_000_NE +Mux28| Mcel 0 13 ( 121)| inst_DS_000_DMA +Mux29| Mcel 1 14 ( 146)| RN_RESET +Mux30| ... | ... +Mux31| Mcel 1 5 ( 133)| RST_DLY_2_ +Mux32| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Macrocell (MCell) Cluster Assignments @@ -550,22 +545,22 @@ Mux32| Mcel 0 3 ( 106)| CLK_000_P_SYNC_8_ | Sig Type-+ | | | | | | | XOR to Mcell Assignment | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| RESET| IO| | S | 2 | 4 to [ 0]| 1 XOR free - 1| CLK_EXP|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2| RST_DLY_6_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free - 3|CLK_000_N_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig - 4| IPL_030_2_| IO| | S |10 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 5| SM_AMIGA_0_|NOD| | S | 2 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 6| RST_DLY_0_|NOD| | S | 3 | 4 to [ 5]| 1 XOR free - 7|CLK_000_P_SYNC_4_|NOD| | S | 1 | 4 to [ 6]| 1 XOR to [ 7] for 1 PT sig - 8| IPL_030_0_| IO| | S |10 | 4 to [ 8]| 1 XOR to [ 8] as logic PT - 9| RST_DLY_2_|NOD| | S | 5 | 4 to [ 8]| 1 XOR to [ 8] as logic PT -10| RST_DLY_7_|NOD| | S | 2 | 4 to [ 9]| 1 XOR to [ 9] as logic PT -11|CLK_000_P_SYNC_3_|NOD| | S | 1 | 4 to [10]| 1 XOR to [11] for 1 PT sig -12| IPL_030_1_| IO| | S |10 | 4 to [12]| 1 XOR to [12] as logic PT -13| RST_DLY_5_|NOD| | S | 4 | 4 to [12]| 1 XOR to [12] as logic PT -14| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig -15| IPL_D0_0_|NOD| | S | 1 | 4 to [13]| 1 XOR to [15] for 1 PT sig + 0| RST_DLY_3_|NOD| | S | 6 | 4 to [ 0]| 1 XOR to [ 0] as logic PT + 1| CLK_EXP|OUT| | S | 1 | 4 to [ 0]| 1 XOR to [ 1] for 1 PT sig + 2| IPL_030_2_| IO| | S |10 | 4 to [ 2]| 1 XOR to [ 2] as logic PT + 3|CLK_000_P_SYNC_2_|NOD| | S | 1 | 4 to [ 2]| 1 XOR to [ 3] for 1 PT sig + 4|CLK_000_P_SYNC_4_|NOD| | S | 1 | 4 to [ 2]| 1 XOR to [ 4] for 1 PT sig + 5| RST_DLY_2_|NOD| | S | 5 | 4 to [ 5]| 1 XOR to [ 5] as logic PT + 6| IPL_030_1_| IO| | S |10 | 4 to [ 6]| 1 XOR to [ 6] as logic PT + 7| IPL_D0_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig + 8| IPL_030_0_| IO| | S |10 | 4 to [ 6]| 1 XOR to [ 6] as logic PT + 9|inst_LDS_000_INT|NOD| | S | 4 | 4 to [ 8]| 1 XOR to [ 8] as logic PT +10| RST_DLY_0_|NOD| | S | 3 | 4 to [ 8]| 1 XOR to [ 8] as logic PT +11| RST_DLY_6_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free +12| RST_DLY_4_|NOD| | S | 2 :+: 1| 4 to [10]| 1 XOR to [12] +13| IPL_D0_2_|NOD| | S | 1 | 4 to [11]| 1 XOR to [13] for 1 PT sig +14| RESET| IO| | S | 2 | 4 to [12]| 1 XOR free +15|inst_CLK_000_NE_D0|NOD| | S | 1 | 4 to [14]| 1 XOR to [15] for 1 PT sig --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Maximum PT Capacity @@ -577,22 +572,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| RESET| IO| | S | 2 |=> can support up to [ 9] logic PT(s) - 1| CLK_EXP|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) - 2| RST_DLY_6_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) - 3|CLK_000_N_SYNC_1_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 4| IPL_030_2_| IO| | S |10 |=> can support up to [ 14] logic PT(s) - 5| SM_AMIGA_0_|NOD| | S | 2 |=> can support up to [ 5] logic PT(s) - 6| RST_DLY_0_|NOD| | S | 3 |=> can support up to [ 4] logic PT(s) - 7|CLK_000_P_SYNC_4_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) - 8| IPL_030_0_| IO| | S |10 |=> can support up to [ 10] logic PT(s) - 9| RST_DLY_2_|NOD| | S | 5 |=> can support up to [ 5] logic PT(s) -10| RST_DLY_7_|NOD| | S | 2 |=> can support up to [ 4] logic PT(s) -11|CLK_000_P_SYNC_3_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) -12| IPL_030_1_| IO| | S |10 |=> can support up to [ 14] logic PT(s) -13| RST_DLY_5_|NOD| | S | 4 |=> can support up to [ 8] logic PT(s) -14| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) -15| IPL_D0_0_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 0| RST_DLY_3_|NOD| | S | 6 |=> can support up to [ 9] logic PT(s) + 1| CLK_EXP|OUT| | S | 1 |=> can support up to [ 1] logic PT(s) + 2| IPL_030_2_| IO| | S |10 |=> can support up to [ 13] logic PT(s) + 3|CLK_000_P_SYNC_2_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) + 4|CLK_000_P_SYNC_4_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) + 5| RST_DLY_2_|NOD| | S | 5 |=> can support up to [ 9] logic PT(s) + 6| IPL_030_1_| IO| | S |10 |=> can support up to [ 14] logic PT(s) + 7| IPL_D0_0_|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) + 8| IPL_030_0_| IO| | S |10 |=> can support up to [ 14] logic PT(s) + 9|inst_LDS_000_INT|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) +10| RST_DLY_0_|NOD| | S | 3 |=> can support up to [ 4] logic PT(s) +11| RST_DLY_6_|NOD| | S | 3 |=> can support up to [ 4] logic PT(s) +12| RST_DLY_4_|NOD| | S | 2 :+: 1|=> can support up to [ 5] logic PT(s) +13| IPL_D0_2_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) +14| RESET| IO| | S | 2 |=> can support up to [ 4] logic PT(s) +15|inst_CLK_000_NE_D0|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Node-Pin Assignments @@ -602,22 +597,22 @@ _|_________________|__|__|___|_____|_______________________________________ | Sig Type---+ | to | Block [ 1] IO Pin | Device Pin | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ - 0| RESET| IO| | => | 5 6 ( 7) 0 | 5 4 ( 3) 10 + 0| RST_DLY_3_|NOD| | => | 5 6 7 0 | 5 4 3 10 1| CLK_EXP|OUT| | => | 5 6 7 ( 0)| 5 4 3 ( 10) - 2| RST_DLY_6_|NOD| | => | 6 7 0 1 | 4 3 10 9 - 3|CLK_000_N_SYNC_1_|NOD| | => | 6 7 0 1 | 4 3 10 9 - 4| IPL_030_2_| IO| | => | 7 0 ( 1) 2 | 3 10 ( 9) 8 - 5| SM_AMIGA_0_|NOD| | => | 7 0 1 2 | 3 10 9 8 - 6| RST_DLY_0_|NOD| | => | 0 1 2 3 | 10 9 8 7 - 7|CLK_000_P_SYNC_4_|NOD| | => | 0 1 2 3 | 10 9 8 7 + 2| IPL_030_2_| IO| | => | 6 7 0 ( 1)| 4 3 10 ( 9) + 3|CLK_000_P_SYNC_2_|NOD| | => | 6 7 0 1 | 4 3 10 9 + 4|CLK_000_P_SYNC_4_|NOD| | => | 7 0 1 2 | 3 10 9 8 + 5| RST_DLY_2_|NOD| | => | 7 0 1 2 | 3 10 9 8 + 6| IPL_030_1_| IO| | => | 0 1 2 ( 3)| 10 9 8 ( 7) + 7| IPL_D0_0_|NOD| | => | 0 1 2 3 | 10 9 8 7 8| IPL_030_0_| IO| | => | 1 ( 2) 3 4 | 9 ( 8) 7 6 - 9| RST_DLY_2_|NOD| | => | 1 2 3 4 | 9 8 7 6 -10| RST_DLY_7_|NOD| | => | 2 3 4 5 | 8 7 6 5 -11|CLK_000_P_SYNC_3_|NOD| | => | 2 3 4 5 | 8 7 6 5 -12| IPL_030_1_| IO| | => |( 3) 4 5 6 |( 7) 6 5 4 -13| RST_DLY_5_|NOD| | => | 3 4 5 6 | 7 6 5 4 -14| inst_VPA_D|NOD| | => | 4 5 6 7 | 6 5 4 3 -15| IPL_D0_0_|NOD| | => | 4 5 6 7 | 6 5 4 3 + 9|inst_LDS_000_INT|NOD| | => | 1 2 3 4 | 9 8 7 6 +10| RST_DLY_0_|NOD| | => | 2 3 4 5 | 8 7 6 5 +11| RST_DLY_6_|NOD| | => | 2 3 4 5 | 8 7 6 5 +12| RST_DLY_4_|NOD| | => | 3 4 5 6 | 7 6 5 4 +13| IPL_D0_2_|NOD| | => | 3 4 5 6 | 7 6 5 4 +14| RESET| IO| | => | 4 5 6 ( 7)| 6 5 4 ( 3) +15|inst_CLK_000_NE_D0|NOD| | => | 4 5 6 7 | 6 5 4 3 --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > IO-to-Node Pin Mapping @@ -629,13 +624,13 @@ _|_________________|__|_____|____________________|________________________ | Signal Name | | | | Node Destinations Via Output Matrix _|_________________|__|___|_____|___________________________________________ 0| CLK_EXP|OUT|*| 10| => | 0 ( 1) 2 3 4 5 6 7 - 1| IPL_030_2_| IO|*| 9| => | 2 3 ( 4) 5 6 7 8 9 + 1| IPL_030_2_| IO|*| 9| => | ( 2) 3 4 5 6 7 8 9 2| IPL_030_0_| IO|*| 8| => | 4 5 6 7 ( 8) 9 10 11 - 3| IPL_030_1_| IO|*| 7| => | 6 7 8 9 10 11 (12) 13 + 3| IPL_030_1_| IO|*| 7| => | ( 6) 7 8 9 10 11 12 13 4| A_29_|INP|*| 6| => | 8 9 10 11 12 13 14 15 5| A_30_|INP|*| 5| => | 10 11 12 13 14 15 0 1 6| A_31_|INP|*| 4| => | 12 13 14 15 0 1 2 3 - 7| RESET| IO|*| 3| => | 14 15 ( 0) 1 2 3 4 5 + 7| RESET| IO|*| 3| => | (14) 15 0 1 2 3 4 5 --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > IO/Node and IO/Input Macrocell Pairing Table @@ -670,43 +665,43 @@ IMX No. | +---- Block IO Pin or Macrocell Number ---|-------|----|---|---|----------|------|-|------------------------------ 0 [IOpin 0 | 10|OUT CLK_EXP|*| ] [RegIn 0 |126| -| | ] - [MCell 0 |125|NOD RN_RESET| |*] paired w/[ RESET] + [MCell 0 |125|NOD RST_DLY_3_| |*] [MCell 1 |127|OUT CLK_EXP| | ] 1 [IOpin 1 | 9| IO IPL_030_2_|*| ] paired w/[ RN_IPL_030_2_] [RegIn 1 |129| -| | ] - [MCell 2 |128|NOD RST_DLY_6_| |*] - [MCell 3 |130|NOD CLK_000_N_SYNC_1_| |*] + [MCell 2 |128|NOD RN_IPL_030_2_| |*] paired w/[ IPL_030_2_] + [MCell 3 |130|NOD CLK_000_P_SYNC_2_| |*] 2 [IOpin 2 | 8| IO IPL_030_0_|*| ] paired w/[ RN_IPL_030_0_] [RegIn 2 |132| -| | ] - [MCell 4 |131|NOD RN_IPL_030_2_| |*] paired w/[ IPL_030_2_] - [MCell 5 |133|NOD SM_AMIGA_0_| |*] + [MCell 4 |131|NOD CLK_000_P_SYNC_4_| |*] + [MCell 5 |133|NOD RST_DLY_2_| |*] 3 [IOpin 3 | 7| IO IPL_030_1_|*| ] paired w/[ RN_IPL_030_1_] [RegIn 3 |135| -| | ] - [MCell 6 |134|NOD RST_DLY_0_| |*] - [MCell 7 |136|NOD CLK_000_P_SYNC_4_| |*] + [MCell 6 |134|NOD RN_IPL_030_1_| |*] paired w/[ IPL_030_1_] + [MCell 7 |136|NOD IPL_D0_0_| |*] 4 [IOpin 4 | 6|INP A_29_|*|*] [RegIn 4 |138| -| | ] [MCell 8 |137|NOD RN_IPL_030_0_| |*] paired w/[ IPL_030_0_] - [MCell 9 |139|NOD RST_DLY_2_| |*] + [MCell 9 |139|NOD inst_LDS_000_INT| |*] 5 [IOpin 5 | 5|INP A_30_|*|*] [RegIn 5 |141| -| | ] - [MCell 10 |140|NOD RST_DLY_7_| |*] - [MCell 11 |142|NOD CLK_000_P_SYNC_3_| |*] + [MCell 10 |140|NOD RST_DLY_0_| |*] + [MCell 11 |142|NOD RST_DLY_6_| |*] 6 [IOpin 6 | 4|INP A_31_|*|*] [RegIn 6 |144| -| | ] - [MCell 12 |143|NOD RN_IPL_030_1_| |*] paired w/[ IPL_030_1_] - [MCell 13 |145|NOD RST_DLY_5_| |*] + [MCell 12 |143|NOD RST_DLY_4_| |*] + [MCell 13 |145|NOD IPL_D0_2_| |*] 7 [IOpin 7 | 3| IO RESET|*| ] paired w/[ RN_RESET] [RegIn 7 |147| -| | ] - [MCell 14 |146|NOD inst_VPA_D| |*] - [MCell 15 |148|NOD IPL_D0_0_| |*] + [MCell 14 |146|NOD RN_RESET| |*] paired w/[ RESET] + [MCell 15 |148|NOD inst_CLK_000_NE_D0| |*] --------------------------------------------------------------------------- =========================================================================== < Block [ 1] > Logic Array Fan-in @@ -714,39 +709,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 1 10 ( 140)| RST_DLY_7_ -Mux03| IOPin 5 4 ( 56)| IPL_1_ -Mux04| IOPin 6 3 ( 68)| IPL_2_ -Mux05| Mcel 5 0 ( 221)| inst_CLK_000_PE -Mux06| Mcel 1 9 ( 139)| RST_DLY_2_ -Mux07| Mcel 1 11 ( 142)| CLK_000_P_SYNC_3_ -Mux08| Mcel 4 8 ( 209)| inst_CLK_000_NE -Mux09| Mcel 6 11 ( 262)| IPL_D0_2_ -Mux10| Mcel 1 13 ( 145)| RST_DLY_5_ -Mux11| Mcel 1 6 ( 134)| RST_DLY_0_ -Mux12| Mcel 1 12 ( 143)| RN_IPL_030_1_ -Mux13| Input Pin ( 36)| VPA -Mux14| ... | ... -Mux15| Mcel 0 12 ( 119)| RST_DLY_3_ +Mux00| IOPin 6 4 ( 69)| A0 +Mux01| Mcel 1 12 ( 143)| RST_DLY_4_ +Mux02| Mcel 3 10 ( 188)| inst_DS_030_D0 +Mux03| Mcel 2 9 ( 163)| CLK_000_P_SYNC_3_ +Mux04| Input Pin ( 64)| CLK_030 +Mux05| ... | ... +Mux06| Mcel 1 9 ( 139)| inst_LDS_000_INT +Mux07| Mcel 1 11 ( 142)| RST_DLY_6_ +Mux08| Mcel 1 8 ( 137)| RN_IPL_030_0_ +Mux09| Mcel 0 1 ( 103)| RST_DLY_1_ +Mux10| Mcel 1 13 ( 145)| IPL_D0_2_ +Mux11| Mcel 1 6 ( 134)| RN_IPL_030_1_ +Mux12| Mcel 1 10 ( 140)| RST_DLY_0_ +Mux13| Mcel 6 11 ( 262)| CLK_000_P_SYNC_1_ +Mux14| Mcel 2 4 ( 155)| SM_AMIGA_6_ +Mux15| Mcel 0 12 ( 119)| RST_DLY_5_ Mux16| IOPin 6 2 ( 67)| IPL_0_ -Mux17| Mcel 1 8 ( 137)| RN_IPL_030_0_ -Mux18| Mcel 6 15 ( 268)| IPL_D0_1_ -Mux19| Mcel 3 11 ( 190)| CLK_000_N_SYNC_0_ -Mux20| Input Pin ( 64)| CLK_030 -Mux21| Mcel 0 1 ( 103)| RST_DLY_1_ -Mux22| ... | ... +Mux17| IOPin 6 5 ( 70)| SIZE_0_ +Mux18| Mcel 1 2 ( 128)| RN_IPL_030_2_ +Mux19| ... | ... +Mux20| IOPin 7 6 ( 79)| SIZE_1_ +Mux21| IOPin 5 4 ( 56)| IPL_1_ +Mux22| IOPin 6 3 ( 68)| IPL_2_ Mux23| ... | ... -Mux24| Mcel 6 3 ( 250)| CLK_000_P_SYNC_2_ -Mux25| Mcel 1 2 ( 128)| RST_DLY_6_ -Mux26| Mcel 1 0 ( 125)| RN_RESET -Mux27| Mcel 1 15 ( 148)| IPL_D0_0_ -Mux28| Mcel 0 5 ( 109)| RST_DLY_4_ -Mux29| ... | ... -Mux30| Mcel 1 4 ( 131)| RN_IPL_030_2_ -Mux31| Mcel 1 5 ( 133)| SM_AMIGA_0_ -Mux32| Mcel 5 8 ( 233)| SM_AMIGA_1_ +Mux24| Input Pin ( 86)| RST +Mux25| ... | ... +Mux26| Mcel 1 0 ( 125)| RST_DLY_3_ +Mux27| Mcel 6 9 ( 259)| inst_CLK_000_NE +Mux28| Mcel 0 5 ( 109)| RST_DLY_7_ +Mux29| Mcel 1 14 ( 146)| RN_RESET +Mux30| Mcel 2 13 ( 169)| IPL_D0_1_ +Mux31| Mcel 1 5 ( 133)| RST_DLY_2_ +Mux32| Mcel 1 7 ( 136)| IPL_D0_0_ --------------------------------------------------------------------------- =========================================================================== < Block [ 2] > Macrocell (MCell) Cluster Assignments @@ -759,19 +754,19 @@ Mux32| Mcel 5 8 ( 233)| SM_AMIGA_1_ | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ 0|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 | 4 to [ 1]| 1 XOR free - 2| inst_DTACK_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 1|inst_AS_000_INT|NOD| | S | 2 | 4 to [ 1]| 1 XOR free + 2|CLK_000_P_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 free | 1 XOR free - 4|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 5|inst_AS_000_INT|NOD| | S | 2 | 4 to [ 5]| 1 XOR free - 6| | ? | | S | | 4 to [ 4]| 1 XOR free + 4| SM_AMIGA_6_|NOD| | S | 3 | 4 to [ 4]| 1 XOR free + 5|CLK_000_P_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig + 6| | ? | | S | | 4 free | 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_LDS_000_INT|NOD| | S | 4 | 4 to [ 8]| 1 XOR free - 9|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 | 4 to [ 9]| 1 XOR free + 8|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [ 8]| 1 XOR to [ 8] as logic PT + 9|CLK_000_P_SYNC_3_|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [ 9] for 1 PT sig 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12|inst_UDS_000_INT|NOD| | S | 3 | 4 to [12]| 1 XOR free -13|CLK_000_N_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +12|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [12]| 1 XOR free +13| IPL_D0_1_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -786,19 +781,19 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ 0|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) - 1|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) - 2| inst_DTACK_D0|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 3| | ? | | S | |=> can support up to [ 9] logic PT(s) - 4|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 15] logic PT(s) - 5|inst_AS_000_INT|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) - 6| | ? | | S | |=> can support up to [ 6] logic PT(s) - 7| | ? | | S | |=> can support up to [ 5] logic PT(s) - 8|inst_LDS_000_INT|NOD| | S | 4 |=> can support up to [ 15] logic PT(s) - 9|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) + 1|inst_AS_000_INT|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) + 2|CLK_000_P_SYNC_9_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) + 3| | ? | | S | |=> can support up to [ 13] logic PT(s) + 4| SM_AMIGA_6_|NOD| | S | 3 |=> can support up to [ 19] logic PT(s) + 5|CLK_000_P_SYNC_8_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) + 6| | ? | | S | |=> can support up to [ 14] logic PT(s) + 7| | ? | | S | |=> can support up to [ 10] logic PT(s) + 8|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 19] logic PT(s) + 9|CLK_000_P_SYNC_3_|NOD| | S | 1 |=> can support up to [ 11] logic PT(s) 10| | ? | | S | |=> can support up to [ 10] logic PT(s) 11| | ? | | S | |=> can support up to [ 14] logic PT(s) -12|inst_UDS_000_INT|NOD| | S | 3 |=> can support up to [ 19] logic PT(s) -13|CLK_000_N_SYNC_5_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) +12|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 19] logic PT(s) +13| IPL_D0_1_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) 14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- @@ -811,19 +806,19 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0|AMIGA_BUS_ENABLE_LOW|OUT| | => |( 5) 6 7 0 |( 20) 21 22 15 - 1|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | => | 5 6 7 0 | 20 21 22 15 - 2| inst_DTACK_D0|NOD| | => | 6 7 0 1 | 21 22 15 16 + 1|inst_AS_000_INT|NOD| | => | 5 6 7 0 | 20 21 22 15 + 2|CLK_000_P_SYNC_9_|NOD| | => | 6 7 0 1 | 21 22 15 16 3| | | | => | 6 7 0 1 | 21 22 15 16 - 4|inst_AS_030_000_SYNC|NOD| | => | 7 0 1 2 | 22 15 16 17 - 5|inst_AS_000_INT|NOD| | => | 7 0 1 2 | 22 15 16 17 + 4| SM_AMIGA_6_|NOD| | => | 7 0 1 2 | 22 15 16 17 + 5|CLK_000_P_SYNC_8_|NOD| | => | 7 0 1 2 | 22 15 16 17 6| | | | => | 0 1 2 3 | 15 16 17 18 7| | | | => | 0 1 2 3 | 15 16 17 18 - 8|inst_LDS_000_INT|NOD| | => | 1 2 3 4 | 16 17 18 19 - 9|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | => | 1 2 3 4 | 16 17 18 19 + 8|inst_AS_030_000_SYNC|NOD| | => | 1 2 3 4 | 16 17 18 19 + 9|CLK_000_P_SYNC_3_|NOD| | => | 1 2 3 4 | 16 17 18 19 10| | | | => | 2 3 4 5 | 17 18 19 20 11| | | | => | 2 3 4 5 | 17 18 19 20 -12|inst_UDS_000_INT|NOD| | => | 3 4 5 6 | 18 19 20 21 -13|CLK_000_N_SYNC_5_|NOD| | => | 3 4 5 6 | 18 19 20 21 +12|inst_DS_000_ENABLE|NOD| | => | 3 4 5 6 | 18 19 20 21 +13| IPL_D0_1_|NOD| | => | 3 4 5 6 | 18 19 20 21 14| | | | => | 4 5 6 7 | 19 20 21 22 15| | | | => | 4 5 6 7 | 19 20 21 22 --------------------------------------------------------------------------- @@ -875,17 +870,17 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 15|INP A_28_|*|*] [RegIn 0 |150| -| | ] [MCell 0 |149|OUT AMIGA_BUS_ENABLE_LOW| | ] - [MCell 1 |151|NOD inst_AMIGA_BUS_ENABLE_DMA_HIGH| |*] + [MCell 1 |151|NOD inst_AS_000_INT| |*] 1 [IOpin 1 | 16|INP A_27_|*|*] [RegIn 1 |153| -| | ] - [MCell 2 |152|NOD inst_DTACK_D0| |*] + [MCell 2 |152|NOD CLK_000_P_SYNC_9_| |*] [MCell 3 |154| -| | ] 2 [IOpin 2 | 17|INP A_26_|*|*] [RegIn 2 |156| -| | ] - [MCell 4 |155|NOD inst_AS_030_000_SYNC| |*] - [MCell 5 |157|NOD inst_AS_000_INT| |*] + [MCell 4 |155|NOD SM_AMIGA_6_| |*] + [MCell 5 |157|NOD CLK_000_P_SYNC_8_| |*] 3 [IOpin 3 | 18|INP A_25_|*|*] [RegIn 3 |159| -| | ] @@ -894,8 +889,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 4 [IOpin 4 | 19|INP A_24_|*|*] [RegIn 4 |162| -| | ] - [MCell 8 |161|NOD inst_LDS_000_INT| |*] - [MCell 9 |163|NOD inst_AMIGA_BUS_ENABLE_DMA_LOW| |*] + [MCell 8 |161|NOD inst_AS_030_000_SYNC| |*] + [MCell 9 |163|NOD CLK_000_P_SYNC_3_| |*] 5 [IOpin 5 | 20|OUT AMIGA_BUS_ENABLE_LOW|*| ] [RegIn 5 |165| -| | ] @@ -904,8 +899,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 21|INP BG_030|*|*] [RegIn 6 |168| -| | ] - [MCell 12 |167|NOD inst_UDS_000_INT| |*] - [MCell 13 |169|NOD CLK_000_N_SYNC_5_| |*] + [MCell 12 |167|NOD inst_DS_000_ENABLE| |*] + [MCell 13 |169|NOD IPL_D0_1_| |*] 7 [IOpin 7 | 22| -| | ] [RegIn 7 |171| -| | ] @@ -918,38 +913,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| IOPin 6 4 ( 69)| A0 -Mux01| IOPin 5 2 ( 58)| FC_1_ -Mux02| ... | ... -Mux03| Mcel 0 14 ( 122)| CLK_000_N_SYNC_4_ -Mux04| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux05| ... | ... +Mux00| Input Pin ( 86)| RST +Mux01| IOPin 4 0 ( 41)| BERR +Mux02| Mcel 5 8 ( 233)| inst_CLK_000_D1 +Mux03| IOPin 5 4 ( 56)| IPL_1_ +Mux04| Mcel 2 12 ( 167)| inst_DS_000_ENABLE +Mux05| Mcel 1 3 ( 130)| CLK_000_P_SYNC_2_ Mux06| IOPin 0 5 ( 96)| A_16_ -Mux07| Mcel 2 5 ( 157)| inst_AS_000_INT +Mux07| Mcel 3 9 ( 187)| inst_CLK_000_PE Mux08| IOPin 5 1 ( 59)| A_17_ -Mux09| IOPin 3 5 ( 30)| DTACK -Mux10| Mcel 5 4 ( 227)| SM_AMIGA_i_7_ -Mux11| IOPin 5 0 ( 60)| A1 -Mux12| IOPin 0 6 ( 97)| A_19_ -Mux13| Mcel 0 15 ( 124)| inst_DS_030_D0 -Mux14| IOPin 6 5 ( 70)| SIZE_0_ -Mux15| Mcel 2 12 ( 167)| inst_UDS_000_INT -Mux16| Mcel 2 8 ( 161)| inst_LDS_000_INT -Mux17| IOPin 0 4 ( 95)| A_18_ -Mux18| Mcel 5 9 ( 235)| SM_AMIGA_6_ +Mux09| Mcel 6 13 ( 265)| inst_CLK_000_D0 +Mux10| Mcel 6 14 ( 266)| inst_AMIGA_BUS_ENABLE_DMA_LOW +Mux11| IOPin 6 6 ( 71)| RW +Mux12| IOPin 5 2 ( 58)| FC_1_ +Mux13| Mcel 7 5 ( 277)| inst_AS_030_D0 +Mux14| Mcel 5 4 ( 227)| SM_AMIGA_5_ +Mux15| Mcel 2 5 ( 157)| CLK_000_P_SYNC_8_ +Mux16| Mcel 2 8 ( 161)| inst_AS_030_000_SYNC +Mux17| Mcel 5 12 ( 239)| SM_AMIGA_3_ +Mux18| ... | ... Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Input Pin ( 86)| RST -Mux22| Mcel 2 1 ( 151)| inst_AMIGA_BUS_ENABLE_DMA_HIGH -Mux23| Mcel 2 9 ( 163)| inst_AMIGA_BUS_ENABLE_DMA_LOW +Mux21| ... | ... +Mux22| Mcel 2 1 ( 151)| inst_AS_000_INT +Mux23| ... | ... Mux24| IOPin 5 3 ( 57)| FC_0_ -Mux25| IOPin 4 0 ( 41)| BERR -Mux26| ... | ... -Mux27| IOPin 7 6 ( 79)| SIZE_1_ +Mux25| Mcel 5 0 ( 221)| SM_AMIGA_i_7_ +Mux26| Mcel 6 7 ( 256)| CLK_000_P_SYNC_7_ +Mux27| IOPin 0 6 ( 97)| A_19_ Mux28| ... | ... -Mux29| Mcel 2 4 ( 155)| inst_AS_030_000_SYNC +Mux29| Mcel 2 4 ( 155)| SM_AMIGA_6_ Mux30| ... | ... -Mux31| Mcel 5 12 ( 239)| SM_AMIGA_5_ +Mux31| IOPin 0 4 ( 95)| A_18_ Mux32| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg --------------------------------------------------------------------------- =========================================================================== @@ -964,20 +959,20 @@ Mux32| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| VMA| IO| | S | 3 | 4 to [ 0]| 1 XOR free 1| BG_000| IO| | S | 2 | 4 to [ 1]| 1 XOR free - 2|inst_CLK_000_NE_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3|CLK_000_N_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig + 2| cpu_est_2_|NOD| | S | 4 | 4 to [ 2]| 1 XOR free + 3|CLK_000_N_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 | 4 to [ 4]| 1 XOR free 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7|CLK_000_N_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig + 6| cpu_est_0_|NOD| | S | 2 | 4 to [ 6]| 1 XOR free + 7|CLK_000_N_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig 8| UDS_000| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9| cpu_est_1_|NOD| | S | 5 | 4 to [ 9]| 1 XOR to [ 9] as logic PT -10|CLK_000_N_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11|CLK_000_N_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig + 9|inst_CLK_000_PE|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig +10|inst_DS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig +11|CLK_000_P_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig 12| LDS_000| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| cpu_est_2_|NOD| | S | 4 | 4 to [13]| 1 XOR free +13| cpu_est_1_|NOD| | S | 5 | 4 to [13]| 1 XOR to [13] as logic PT 14|CLK_000_N_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig -15|CLK_000_P_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig +15| inst_DTACK_D0|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Maximum PT Capacity @@ -989,22 +984,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| VMA| IO| | S | 3 |=> can support up to [ 9] logic PT(s) - 1| BG_000| IO| | S | 2 |=> can support up to [ 13] logic PT(s) - 2|inst_CLK_000_NE_D0|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) - 3|CLK_000_N_SYNC_3_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) - 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 |=> can support up to [ 17] logic PT(s) - 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) - 6|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) - 7|CLK_000_N_SYNC_2_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) - 8| UDS_000| IO| | S | 1 |=> can support up to [ 13] logic PT(s) - 9| cpu_est_1_|NOD| | S | 5 |=> can support up to [ 17] logic PT(s) -10|CLK_000_N_SYNC_7_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) -11|CLK_000_N_SYNC_0_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) + 0| VMA| IO| | S | 3 |=> can support up to [ 5] logic PT(s) + 1| BG_000| IO| | S | 2 |=> can support up to [ 9] logic PT(s) + 2| cpu_est_2_|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) + 3|CLK_000_N_SYNC_4_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 |=> can support up to [ 13] logic PT(s) + 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) + 6| cpu_est_0_|NOD| | S | 2 |=> can support up to [ 17] logic PT(s) + 7|CLK_000_N_SYNC_0_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) + 8| UDS_000| IO| | S | 1 |=> can support up to [ 17] logic PT(s) + 9|inst_CLK_000_PE|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) +10|inst_DS_030_D0|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) +11|CLK_000_P_SYNC_0_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) 12| LDS_000| IO| | S | 1 |=> can support up to [ 13] logic PT(s) -13| cpu_est_2_|NOD| | S | 4 |=> can support up to [ 17] logic PT(s) +13| cpu_est_1_|NOD| | S | 5 |=> can support up to [ 17] logic PT(s) 14|CLK_000_N_SYNC_6_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) -15|CLK_000_P_SYNC_0_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) +15| inst_DTACK_D0|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Node-Pin Assignments @@ -1016,20 +1011,20 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| VMA| IO| | => | 5 6 7 ( 0)| 30 29 28 ( 35) 1| BG_000| IO| | => | 5 ( 6) 7 0 | 30 ( 29) 28 35 - 2|inst_CLK_000_NE_D0|NOD| | => | 6 7 0 1 | 29 28 35 34 - 3|CLK_000_N_SYNC_3_|NOD| | => | 6 7 0 1 | 29 28 35 34 + 2| cpu_est_2_|NOD| | => | 6 7 0 1 | 29 28 35 34 + 3|CLK_000_N_SYNC_4_|NOD| | => | 6 7 0 1 | 29 28 35 34 4|AMIGA_BUS_ENABLE_HIGH|OUT| | => | 7 0 ( 1) 2 | 28 35 ( 34) 33 5|AMIGA_ADDR_ENABLE|OUT| | => | 7 0 1 ( 2)| 28 35 34 ( 33) - 6|inst_CLK_000_D0|NOD| | => | 0 1 2 3 | 35 34 33 32 - 7|CLK_000_N_SYNC_2_|NOD| | => | 0 1 2 3 | 35 34 33 32 + 6| cpu_est_0_|NOD| | => | 0 1 2 3 | 35 34 33 32 + 7|CLK_000_N_SYNC_0_|NOD| | => | 0 1 2 3 | 35 34 33 32 8| UDS_000| IO| | => | 1 2 ( 3) 4 | 34 33 ( 32) 31 - 9| cpu_est_1_|NOD| | => | 1 2 3 4 | 34 33 32 31 -10|CLK_000_N_SYNC_7_|NOD| | => | 2 3 4 5 | 33 32 31 30 -11|CLK_000_N_SYNC_0_|NOD| | => | 2 3 4 5 | 33 32 31 30 + 9|inst_CLK_000_PE|NOD| | => | 1 2 3 4 | 34 33 32 31 +10|inst_DS_030_D0|NOD| | => | 2 3 4 5 | 33 32 31 30 +11|CLK_000_P_SYNC_0_|NOD| | => | 2 3 4 5 | 33 32 31 30 12| LDS_000| IO| | => | 3 ( 4) 5 6 | 32 ( 31) 30 29 -13| cpu_est_2_|NOD| | => | 3 4 5 6 | 32 31 30 29 +13| cpu_est_1_|NOD| | => | 3 4 5 6 | 32 31 30 29 14|CLK_000_N_SYNC_6_|NOD| | => | 4 5 6 7 | 31 30 29 28 -15|CLK_000_P_SYNC_0_|NOD| | => | 4 5 6 7 | 31 30 29 28 +15| inst_DTACK_D0|NOD| | => | 4 5 6 7 | 31 30 29 28 --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > IO-to-Node Pin Mapping @@ -1085,8 +1080,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 34|OUT AMIGA_BUS_ENABLE_HIGH|*| ] [RegIn 1 |177| -| | ] - [MCell 2 |176|NOD inst_CLK_000_NE_D0| |*] - [MCell 3 |178|NOD CLK_000_N_SYNC_3_| |*] + [MCell 2 |176|NOD cpu_est_2_| |*] + [MCell 3 |178|NOD CLK_000_N_SYNC_4_| |*] 2 [IOpin 2 | 33|OUT AMIGA_ADDR_ENABLE|*| ] [RegIn 2 |180| -| | ] @@ -1095,28 +1090,28 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 32| IO UDS_000|*|*] [RegIn 3 |183| -| | ] - [MCell 6 |182|NOD inst_CLK_000_D0| |*] - [MCell 7 |184|NOD CLK_000_N_SYNC_2_| |*] + [MCell 6 |182|NOD cpu_est_0_| |*] + [MCell 7 |184|NOD CLK_000_N_SYNC_0_| |*] 4 [IOpin 4 | 31| IO LDS_000|*|*] [RegIn 4 |186| -| | ] [MCell 8 |185| IO UDS_000| | ] - [MCell 9 |187|NOD cpu_est_1_| |*] + [MCell 9 |187|NOD inst_CLK_000_PE| |*] 5 [IOpin 5 | 30|INP DTACK|*|*] [RegIn 5 |189| -| | ] - [MCell 10 |188|NOD CLK_000_N_SYNC_7_| |*] - [MCell 11 |190|NOD CLK_000_N_SYNC_0_| |*] + [MCell 10 |188|NOD inst_DS_030_D0| |*] + [MCell 11 |190|NOD CLK_000_P_SYNC_0_| |*] 6 [IOpin 6 | 29| IO BG_000|*| ] paired w/[ RN_BG_000] [RegIn 6 |192| -| | ] [MCell 12 |191| IO LDS_000| | ] - [MCell 13 |193|NOD cpu_est_2_| |*] + [MCell 13 |193|NOD cpu_est_1_| |*] 7 [IOpin 7 | 28|INP BGACK_000|*|*] [RegIn 7 |195| -| | ] [MCell 14 |194|NOD CLK_000_N_SYNC_6_| |*] - [MCell 15 |196|NOD CLK_000_P_SYNC_0_| |*] + [MCell 15 |196|NOD inst_DTACK_D0| |*] --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Logic Array Fan-in @@ -1124,37 +1119,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux01| Mcel 3 13 ( 193)| cpu_est_2_ -Mux02| Mcel 6 4 ( 251)| RN_E -Mux03| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg -Mux04| Mcel 3 6 ( 182)| inst_CLK_000_D0 +Mux00| Input Pin ( 86)| RST +Mux01| Mcel 0 7 ( 112)| CLK_000_N_SYNC_3_ +Mux02| Mcel 2 2 ( 152)| CLK_000_P_SYNC_9_ +Mux03| Mcel 3 2 ( 176)| cpu_est_2_ +Mux04| Mcel 2 12 ( 167)| inst_DS_000_ENABLE Mux05| IOPin 0 7 ( 98)| DS_030 -Mux06| ... | ... -Mux07| Mcel 2 8 ( 161)| inst_LDS_000_INT -Mux08| Mcel 3 7 ( 184)| CLK_000_N_SYNC_2_ -Mux09| Mcel 5 5 ( 229)| inst_DS_000_ENABLE -Mux10| Mcel 3 14 ( 194)| CLK_000_N_SYNC_6_ -Mux11| Mcel 2 13 ( 169)| CLK_000_N_SYNC_5_ -Mux12| Mcel 3 9 ( 187)| cpu_est_1_ -Mux13| Mcel 1 3 ( 130)| CLK_000_N_SYNC_1_ +Mux06| Mcel 0 3 ( 106)| CLK_000_N_SYNC_5_ +Mux07| Mcel 3 9 ( 187)| inst_CLK_000_PE +Mux08| Mcel 1 15 ( 148)| inst_CLK_000_NE_D0 +Mux09| IOPin 3 5 ( 30)| DTACK +Mux10| Mcel 6 9 ( 259)| inst_CLK_000_NE +Mux11| Mcel 6 4 ( 251)| RN_E +Mux12| Mcel 6 13 ( 265)| inst_CLK_000_D0 +Mux13| Mcel 7 5 ( 277)| inst_AS_030_D0 Mux14| IOPin 2 6 ( 21)| BG_030 -Mux15| Mcel 2 12 ( 167)| inst_UDS_000_INT -Mux16| Mcel 4 8 ( 209)| inst_CLK_000_NE +Mux15| Mcel 5 13 ( 241)| inst_VPA_D +Mux16| Mcel 1 9 ( 139)| inst_LDS_000_INT Mux17| Mcel 3 1 ( 175)| RN_BG_000 Mux18| Mcel 3 0 ( 173)| RN_VMA Mux19| ... | ... -Mux20| Mcel 1 14 ( 146)| inst_VPA_D -Mux21| Input Pin ( 86)| RST -Mux22| Mcel 2 1 ( 151)| inst_AMIGA_BUS_ENABLE_DMA_HIGH -Mux23| Mcel 6 2 ( 248)| inst_CLK_000_D1 -Mux24| Input Pin ( 11)| CLK_000 -Mux25| Mcel 5 0 ( 221)| inst_CLK_000_PE -Mux26| Mcel 1 0 ( 125)| RN_RESET -Mux27| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux28| Mcel 3 2 ( 176)| inst_CLK_000_NE_D0 -Mux29| Mcel 5 4 ( 227)| SM_AMIGA_i_7_ -Mux30| Mcel 5 1 ( 223)| cpu_est_0_ +Mux20| Mcel 5 8 ( 233)| inst_CLK_000_D1 +Mux21| Mcel 3 13 ( 193)| cpu_est_1_ +Mux22| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg +Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux24| ... | ... +Mux25| Mcel 5 0 ( 221)| SM_AMIGA_i_7_ +Mux26| ... | ... +Mux27| Mcel 5 5 ( 229)| inst_UDS_000_INT +Mux28| Mcel 6 10 ( 260)| inst_AMIGA_BUS_ENABLE_DMA_HIGH +Mux29| Mcel 1 14 ( 146)| RN_RESET +Mux30| Mcel 3 6 ( 182)| cpu_est_0_ Mux31| ... | ... Mux32| ... | ... --------------------------------------------------------------------------- @@ -1173,15 +1168,15 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 2| | ? | | S | | 4 free | 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free 4| AS_000| IO| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5| CIIN_0|NOD| | S | 2 | 4 to [ 5]| 1 XOR free + 5|CLK_000_P_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig 6| | ? | | S | | 4 free | 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_CLK_000_NE|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig + 8|CLK_000_N_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig + 9|CLK_000_P_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| CIIN|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +13| | ? | | S | | 4 free | 1 XOR free 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1198,18 +1193,18 @@ _|_________________|__|__|___|_____|_______________________________________ 0| BERR| IO| | S | 1 |=> can support up to [ 10] logic PT(s) 1|AMIGA_BUS_DATA_DIR|OUT| | S | 2 |=> can support up to [ 19] logic PT(s) 2| | ? | | S | |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 14] logic PT(s) - 4| AS_000| IO| | S | 1 |=> can support up to [ 15] logic PT(s) - 5| CIIN_0|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) - 6| | ? | | S | |=> can support up to [ 14] logic PT(s) + 3| | ? | | S | |=> can support up to [ 18] logic PT(s) + 4| AS_000| IO| | S | 1 |=> can support up to [ 19] logic PT(s) + 5|CLK_000_P_SYNC_6_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) + 6| | ? | | S | |=> can support up to [ 18] logic PT(s) 7| | ? | | S | |=> can support up to [ 18] logic PT(s) - 8|inst_CLK_000_NE|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) - 9|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) + 8|CLK_000_N_SYNC_7_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) + 9|CLK_000_P_SYNC_5_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) 10| | ? | | S | |=> can support up to [ 18] logic PT(s) -11| | ? | | S | |=> can support up to [ 18] logic PT(s) -12| CIIN|OUT| | S | 1 |=> can support up to [ 19] logic PT(s) -13|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) +11| | ? | | S | |=> can support up to [ 19] logic PT(s) +12| CIIN|OUT| | S | 1 |=> can support up to [ 20] logic PT(s) +13| | ? | | S | |=> can support up to [ 19] logic PT(s) +14| | ? | | S | |=> can support up to [ 15] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- =========================================================================== @@ -1225,15 +1220,15 @@ _|_________________|__|_____|____________________|________________________ 2| | | | => | 6 7 0 1 | 47 48 41 42 3| | | | => | 6 7 0 1 | 47 48 41 42 4| AS_000| IO| | => | 7 0 ( 1) 2 | 48 41 ( 42) 43 - 5| CIIN_0|NOD| | => | 7 0 1 2 | 48 41 42 43 + 5|CLK_000_P_SYNC_6_|NOD| | => | 7 0 1 2 | 48 41 42 43 6| | | | => | 0 1 2 3 | 41 42 43 44 7| | | | => | 0 1 2 3 | 41 42 43 44 - 8|inst_CLK_000_NE|NOD| | => | 1 2 3 4 | 42 43 44 45 - 9|inst_CLK_OUT_PRE_50|NOD| | => | 1 2 3 4 | 42 43 44 45 + 8|CLK_000_N_SYNC_7_|NOD| | => | 1 2 3 4 | 42 43 44 45 + 9|CLK_000_P_SYNC_5_|NOD| | => | 1 2 3 4 | 42 43 44 45 10| | | | => | 2 3 4 5 | 43 44 45 46 11| | | | => | 2 3 4 5 | 43 44 45 46 12| CIIN|OUT| | => | 3 4 5 ( 6)| 44 45 46 ( 47) -13|inst_CLK_OUT_PRE_D|NOD| | => | 3 4 5 6 | 44 45 46 47 +13| | | | => | 3 4 5 6 | 44 45 46 47 14| | | | => | 4 5 6 7 | 45 46 47 48 15| | | | => | 4 5 6 7 | 45 46 47 48 --------------------------------------------------------------------------- @@ -1295,7 +1290,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 2 [IOpin 2 | 43| -| | ] [RegIn 2 |204| -| | ] [MCell 4 |203| IO AS_000| | ] - [MCell 5 |205|NOD CIIN_0| |*] + [MCell 5 |205|NOD CLK_000_P_SYNC_6_| |*] 3 [IOpin 3 | 44| -| | ] [RegIn 3 |207| -| | ] @@ -1304,8 +1299,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 4 [IOpin 4 | 45| -| | ] [RegIn 4 |210| -| | ] - [MCell 8 |209|NOD inst_CLK_000_NE| |*] - [MCell 9 |211|NOD inst_CLK_OUT_PRE_50| |*] + [MCell 8 |209|NOD CLK_000_N_SYNC_7_| |*] + [MCell 9 |211|NOD CLK_000_P_SYNC_5_| |*] 5 [IOpin 5 | 46| -| | ] [RegIn 5 |213| -| | ] @@ -1315,7 +1310,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 47|OUT CIIN|*| ] [RegIn 6 |216| -| | ] [MCell 12 |215|OUT CIIN| | ] - [MCell 13 |217|NOD inst_CLK_OUT_PRE_D| |*] + [MCell 13 |217| -| | ] 7 [IOpin 7 | 48|OUT AMIGA_BUS_DATA_DIR|*| ] [RegIn 7 |219| -| | ] @@ -1328,39 +1323,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux01| IOPin 5 2 ( 58)| FC_1_ -Mux02| Mcel 4 9 ( 211)| inst_CLK_OUT_PRE_50 -Mux03| IOPin 2 3 ( 18)| A_25_ -Mux04| IOPin 1 4 ( 6)| A_29_ -Mux05| IOPin 0 3 ( 94)| A_21_ -Mux06| IOPin 7 5 ( 80)| RW_000 +Mux00| Mcel 1 4 ( 131)| CLK_000_P_SYNC_4_ +Mux01| IOPin 1 6 ( 4)| A_31_ +Mux02| Mcel 4 9 ( 211)| CLK_000_P_SYNC_5_ +Mux03| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg +Mux04| Mcel 7 5 ( 277)| inst_AS_030_D0 +Mux05| IOPin 2 4 ( 19)| A_24_ +Mux06| IOPin 0 5 ( 96)| A_16_ Mux07| IOPin 2 0 ( 15)| A_28_ -Mux08| IOPin 0 0 ( 91)| FPU_SENSE -Mux09| IOPin 7 1 ( 84)| A_22_ -Mux10| ... | ... -Mux11| IOPin 0 5 ( 96)| A_16_ -Mux12| IOPin 0 6 ( 97)| A_19_ -Mux13| IOPin 5 1 ( 59)| A_17_ -Mux14| Mcel 4 5 ( 205)| CIIN_0 -Mux15| Mcel 2 5 ( 157)| inst_AS_000_INT +Mux08| IOPin 5 1 ( 59)| A_17_ +Mux09| IOPin 2 2 ( 17)| A_26_ +Mux10| Mcel 3 14 ( 194)| CLK_000_N_SYNC_6_ +Mux11| IOPin 0 0 ( 91)| FPU_SENSE +Mux12| IOPin 2 3 ( 18)| A_25_ +Mux13| IOPin 1 4 ( 6)| A_29_ +Mux14| ... | ... +Mux15| ... | ... Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| IOPin 2 2 ( 17)| A_26_ -Mux18| IOPin 7 0 ( 85)| A_23_ -Mux19| IOPin 7 3 ( 82)| AS_030 -Mux20| IOPin 2 4 ( 19)| A_24_ +Mux17| IOPin 5 3 ( 57)| FC_0_ +Mux18| IOPin 3 7 ( 28)| BGACK_000 +Mux19| IOPin 1 5 ( 5)| A_30_ +Mux20| IOPin 5 2 ( 58)| FC_1_ Mux21| IOPin 2 1 ( 16)| A_27_ -Mux22| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg -Mux23| ... | ... -Mux24| IOPin 5 3 ( 57)| FC_0_ -Mux25| IOPin 1 6 ( 4)| A_31_ -Mux26| Mcel 1 0 ( 125)| RN_RESET -Mux27| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux28| IOPin 1 5 ( 5)| A_30_ -Mux29| IOPin 0 2 ( 93)| A_20_ -Mux30| Mcel 7 6 ( 278)| CLK_000_N_SYNC_11_ +Mux22| Mcel 2 1 ( 151)| inst_AS_000_INT +Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux24| ... | ... +Mux25| ... | ... +Mux26| ... | ... +Mux27| IOPin 0 6 ( 97)| A_19_ +Mux28| IOPin 7 5 ( 80)| RW_000 +Mux29| Mcel 1 14 ( 146)| RN_RESET +Mux30| ... | ... Mux31| IOPin 0 4 ( 95)| A_18_ -Mux32| IOPin 3 7 ( 28)| BGACK_000 +Mux32| IOPin 7 3 ( 82)| AS_030 --------------------------------------------------------------------------- =========================================================================== < Block [ 5] > Macrocell (MCell) Cluster Assignments @@ -1372,20 +1367,20 @@ Mux32| IOPin 3 7 ( 28)| BGACK_000 | Sig Type-+ | | | | | | | XOR to Mcell Assignment | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ - 0|inst_CLK_000_PE|NOD| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| cpu_est_0_|NOD| | S | 2 | 4 to [ 1]| 1 XOR free - 2| SM_AMIGA_2_|NOD| | S | 4 | 4 to [ 2]| 1 XOR free - 3| | ? | | S | | 4 to [ 4]| 1 XOR free - 4| SM_AMIGA_i_7_|NOD| | S |14 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 5|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [ 4]| 1 XOR to [ 4] as logic PT - 6| N_96_i|NOD| | S | 4 | 4 to [ 6]| 1 XOR free - 7| | ? | | S | | 4 to [ 5]| 1 XOR free - 8| SM_AMIGA_1_|NOD| | S | 3 | 4 to [ 8]| 1 XOR free - 9| SM_AMIGA_6_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free + 0| SM_AMIGA_i_7_|NOD| | S |14 | 4 to [ 0]| 1 XOR to [ 0] as logic PT + 1| SM_AMIGA_1_|NOD| | S | 3 | 4 to [ 0]| 1 XOR to [ 0] as logic PT + 2| SM_AMIGA_2_|NOD| | S | 4 | 4 to [ 0]| 1 XOR free + 3| | ? | | S | | 4 to [ 1]| 1 XOR free + 4| SM_AMIGA_5_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free + 5|inst_UDS_000_INT|NOD| | S | 3 | 4 to [ 5]| 1 XOR free + 6| N_165|NOD| | S | 4 | 4 to [ 4]| 1 XOR free + 7| | ? | | S | | 4 free | 1 XOR free + 8|inst_CLK_000_D1|NOD| | S | 1 | 4 to [ 6]| 1 XOR to [ 8] for 1 PT sig + 9| SM_AMIGA_0_|NOD| | S | 2 | 4 to [ 9]| 1 XOR free 10| SM_AMIGA_4_|NOD| | S | 3 | 4 to [10]| 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12| SM_AMIGA_5_|NOD| | S | 3 | 4 to [12]| 1 XOR free -13| SM_AMIGA_3_|NOD| | S | 5 | 4 to [13]| 1 XOR to [13] as logic PT +12| SM_AMIGA_3_|NOD| | S | 5 | 4 to [12]| 1 XOR to [12] as logic PT +13| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1399,21 +1394,21 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0|inst_CLK_000_PE|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) - 1| cpu_est_0_|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) + 0| SM_AMIGA_i_7_|NOD| | S |14 |=> can support up to [ 15] logic PT(s) + 1| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 5] logic PT(s) 2| SM_AMIGA_2_|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) 3| | ? | | S | |=> can support up to [ 1] logic PT(s) - 4| SM_AMIGA_i_7_|NOD| | S |14 |=> can support up to [ 15] logic PT(s) - 5|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 5] logic PT(s) - 6| N_96_i|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) - 7| | ? | | S | |=> can support up to [ 1] logic PT(s) - 8| SM_AMIGA_1_|NOD| | S | 3 |=> can support up to [ 5] logic PT(s) - 9| SM_AMIGA_6_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) + 4| SM_AMIGA_5_|NOD| | S | 3 |=> can support up to [ 5] logic PT(s) + 5|inst_UDS_000_INT|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) + 6| N_165|NOD| | S | 4 |=> can support up to [ 9] logic PT(s) + 7| | ? | | S | |=> can support up to [ 5] logic PT(s) + 8|inst_CLK_000_D1|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) + 9| SM_AMIGA_0_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) 10| SM_AMIGA_4_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) -11| | ? | | S | |=> can support up to [ 5] logic PT(s) -12| SM_AMIGA_5_|NOD| | S | 3 |=> can support up to [ 15] logic PT(s) -13| SM_AMIGA_3_|NOD| | S | 5 |=> can support up to [ 15] logic PT(s) -14| | ? | | S | |=> can support up to [ 10] logic PT(s) +11| | ? | | S | |=> can support up to [ 9] logic PT(s) +12| SM_AMIGA_3_|NOD| | S | 5 |=> can support up to [ 19] logic PT(s) +13| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) +14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- =========================================================================== @@ -1424,20 +1419,20 @@ _|_________________|__|__|___|_____|_______________________________________ | Sig Type---+ | to | Block [ 5] IO Pin | Device Pin | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ - 0|inst_CLK_000_PE|NOD| | => | 5 6 7 0 | 55 54 53 60 - 1| cpu_est_0_|NOD| | => | 5 6 7 0 | 55 54 53 60 + 0| SM_AMIGA_i_7_|NOD| | => | 5 6 7 0 | 55 54 53 60 + 1| SM_AMIGA_1_|NOD| | => | 5 6 7 0 | 55 54 53 60 2| SM_AMIGA_2_|NOD| | => | 6 7 0 1 | 54 53 60 59 3| | | | => | 6 7 0 1 | 54 53 60 59 - 4| SM_AMIGA_i_7_|NOD| | => | 7 0 1 2 | 53 60 59 58 - 5|inst_DS_000_ENABLE|NOD| | => | 7 0 1 2 | 53 60 59 58 - 6| N_96_i|NOD| | => | 0 1 2 3 | 60 59 58 57 + 4| SM_AMIGA_5_|NOD| | => | 7 0 1 2 | 53 60 59 58 + 5|inst_UDS_000_INT|NOD| | => | 7 0 1 2 | 53 60 59 58 + 6| N_165|NOD| | => | 0 1 2 3 | 60 59 58 57 7| | | | => | 0 1 2 3 | 60 59 58 57 - 8| SM_AMIGA_1_|NOD| | => | 1 2 3 4 | 59 58 57 56 - 9| SM_AMIGA_6_|NOD| | => | 1 2 3 4 | 59 58 57 56 + 8|inst_CLK_000_D1|NOD| | => | 1 2 3 4 | 59 58 57 56 + 9| SM_AMIGA_0_|NOD| | => | 1 2 3 4 | 59 58 57 56 10| SM_AMIGA_4_|NOD| | => | 2 3 4 5 | 58 57 56 55 11| | | | => | 2 3 4 5 | 58 57 56 55 -12| SM_AMIGA_5_|NOD| | => | 3 4 5 6 | 57 56 55 54 -13| SM_AMIGA_3_|NOD| | => | 3 4 5 6 | 57 56 55 54 +12| SM_AMIGA_3_|NOD| | => | 3 4 5 6 | 57 56 55 54 +13| inst_VPA_D|NOD| | => | 3 4 5 6 | 57 56 55 54 14| | | | => | 4 5 6 7 | 56 55 54 53 15| | | | => | 4 5 6 7 | 56 55 54 53 --------------------------------------------------------------------------- @@ -1488,8 +1483,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number ---|-------|----|---|---|----------|------|-|------------------------------ 0 [IOpin 0 | 60|INP A1|*|*] [RegIn 0 |222| -| | ] - [MCell 0 |221|NOD inst_CLK_000_PE| |*] - [MCell 1 |223|NOD cpu_est_0_| |*] + [MCell 0 |221|NOD SM_AMIGA_i_7_| |*] + [MCell 1 |223|NOD SM_AMIGA_1_| |*] 1 [IOpin 1 | 59|INP A_17_|*|*] [RegIn 1 |225| -| | ] @@ -1498,18 +1493,18 @@ IMX No. | +---- Block IO Pin or Macrocell Number 2 [IOpin 2 | 58|INP FC_1_|*|*] [RegIn 2 |228| -| | ] - [MCell 4 |227|NOD SM_AMIGA_i_7_| |*] - [MCell 5 |229|NOD inst_DS_000_ENABLE| |*] + [MCell 4 |227|NOD SM_AMIGA_5_| |*] + [MCell 5 |229|NOD inst_UDS_000_INT| |*] 3 [IOpin 3 | 57|INP FC_0_|*|*] [RegIn 3 |231| -| | ] - [MCell 6 |230|NOD N_96_i| |*] + [MCell 6 |230|NOD N_165| |*] [MCell 7 |232| -| | ] 4 [IOpin 4 | 56|INP IPL_1_|*|*] [RegIn 4 |234| -| | ] - [MCell 8 |233|NOD SM_AMIGA_1_| |*] - [MCell 9 |235|NOD SM_AMIGA_6_| |*] + [MCell 8 |233|NOD inst_CLK_000_D1| |*] + [MCell 9 |235|NOD SM_AMIGA_0_| |*] 5 [IOpin 5 | 55| -| | ] [RegIn 5 |237| -| | ] @@ -1518,8 +1513,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 54| -| | ] [RegIn 6 |240| -| | ] - [MCell 12 |239|NOD SM_AMIGA_5_| |*] - [MCell 13 |241|NOD SM_AMIGA_3_| |*] + [MCell 12 |239|NOD SM_AMIGA_3_| |*] + [MCell 13 |241|NOD inst_VPA_D| |*] 7 [IOpin 7 | 53| -| | ] [RegIn 7 |243| -| | ] @@ -1535,36 +1530,36 @@ IMX No. | +---- Block IO Pin or Macrocell Number Mux00| Input Pin ( 86)| RST Mux01| IOPin 4 0 ( 41)| BERR Mux02| Mcel 6 4 ( 251)| RN_E -Mux03| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg -Mux04| Mcel 3 6 ( 182)| inst_CLK_000_D0 -Mux05| Mcel 5 0 ( 221)| inst_CLK_000_PE -Mux06| Mcel 5 13 ( 241)| SM_AMIGA_3_ -Mux07| Mcel 0 11 ( 118)| CLK_000_P_SYNC_9_ -Mux08| Mcel 4 8 ( 209)| inst_CLK_000_NE -Mux09| Mcel 5 2 ( 224)| SM_AMIGA_2_ -Mux10| Mcel 5 4 ( 227)| SM_AMIGA_i_7_ -Mux11| Mcel 5 6 ( 230)| N_96_i -Mux12| Mcel 3 9 ( 187)| cpu_est_1_ -Mux13| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux14| Mcel 5 5 ( 229)| inst_DS_000_ENABLE -Mux15| Mcel 5 1 ( 223)| cpu_est_0_ -Mux16| Mcel 3 2 ( 176)| inst_CLK_000_NE_D0 -Mux17| Mcel 5 12 ( 239)| SM_AMIGA_5_ -Mux18| Mcel 5 9 ( 235)| SM_AMIGA_6_ +Mux03| Mcel 3 2 ( 176)| cpu_est_2_ +Mux04| Mcel 3 6 ( 182)| cpu_est_0_ +Mux05| ... | ... +Mux06| Mcel 5 13 ( 241)| inst_VPA_D +Mux07| Mcel 2 8 ( 161)| inst_AS_030_000_SYNC +Mux08| Mcel 1 15 ( 148)| inst_CLK_000_NE_D0 +Mux09| Mcel 5 5 ( 229)| inst_UDS_000_INT +Mux10| Mcel 5 1 ( 223)| SM_AMIGA_1_ +Mux11| Mcel 5 6 ( 230)| N_165 +Mux12| Mcel 6 13 ( 265)| inst_CLK_000_D0 +Mux13| Input Pin ( 36)| VPA +Mux14| Mcel 2 4 ( 155)| SM_AMIGA_6_ +Mux15| IOPin 6 4 ( 69)| A0 +Mux16| ... | ... +Mux17| Mcel 5 12 ( 239)| SM_AMIGA_3_ +Mux18| Mcel 5 9 ( 235)| SM_AMIGA_0_ Mux19| Mcel 5 10 ( 236)| SM_AMIGA_4_ -Mux20| Mcel 1 14 ( 146)| inst_VPA_D -Mux21| Mcel 3 13 ( 193)| cpu_est_2_ -Mux22| Mcel 2 2 ( 152)| inst_DTACK_D0 -Mux23| Mcel 6 2 ( 248)| inst_CLK_000_D1 +Mux20| Mcel 3 10 ( 188)| inst_DS_030_D0 +Mux21| Mcel 3 13 ( 193)| cpu_est_1_ +Mux22| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg +Mux23| ... | ... Mux24| ... | ... -Mux25| IOPin 6 6 ( 71)| RW +Mux25| Mcel 3 9 ( 187)| inst_CLK_000_PE Mux26| Mcel 3 0 ( 173)| RN_VMA -Mux27| ... | ... -Mux28| Mcel 1 5 ( 133)| SM_AMIGA_0_ -Mux29| Mcel 2 4 ( 155)| inst_AS_030_000_SYNC +Mux27| Mcel 6 9 ( 259)| inst_CLK_000_NE +Mux28| Mcel 5 2 ( 224)| SM_AMIGA_2_ +Mux29| Mcel 5 4 ( 227)| SM_AMIGA_5_ Mux30| ... | ... -Mux31| ... | ... -Mux32| Mcel 5 8 ( 233)| SM_AMIGA_1_ +Mux31| Mcel 3 15 ( 196)| inst_DTACK_D0 +Mux32| Mcel 5 8 ( 233)| inst_CLK_000_D1 --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Macrocell (MCell) Cluster Assignments @@ -1578,20 +1573,20 @@ Mux32| Mcel 5 8 ( 233)| SM_AMIGA_1_ _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| RW| IO| | S | 2 | 4 to [ 0]| 1 XOR free 1| CLK_DIV_OUT|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2|inst_CLK_000_D1|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig - 3|CLK_000_P_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig + 2| SIZE_DMA_1_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free + 3|CLK_000_N_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 3] for 1 PT sig 4| E| IO| | S | 5 | 4 to [ 4]| 1 XOR to [ 4] as logic PT 5|inst_nEXP_SPACE_D0reg|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6|CLK_000_N_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig - 7|CLK_000_P_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig + 6| SIZE_DMA_0_|NOD| | S | 3 | 4 to [ 6]| 1 XOR free + 7|CLK_000_P_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [ 7] for 1 PT sig 8| A0| IO| | S | 3 | 4 to [ 8]| 1 XOR free - 9| SIZE_DMA_1_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free -10|CLK_000_P_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig -11| IPL_D0_2_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig + 9|inst_CLK_000_NE|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig +10|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 | 4 to [10]| 1 XOR free +11|CLK_000_P_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [11] for 1 PT sig 12| SIZE_0_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| SIZE_DMA_0_|NOD| | S | 3 | 4 to [13]| 1 XOR free -14|CLK_000_P_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig -15| IPL_D0_1_|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig +13|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +14|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 | 4 to [14]| 1 XOR free +15|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 free | 1 XOR to [15] for 1 PT sig --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Maximum PT Capacity @@ -1603,22 +1598,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| RW| IO| | S | 2 |=> can support up to [ 13] logic PT(s) - 1| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) - 2|inst_CLK_000_D1|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) - 3|CLK_000_P_SYNC_2_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) - 4| E| IO| | S | 5 |=> can support up to [ 17] logic PT(s) - 5|inst_nEXP_SPACE_D0reg|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) - 6|CLK_000_N_SYNC_9_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) - 7|CLK_000_P_SYNC_1_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 0| RW| IO| | S | 2 |=> can support up to [ 9] logic PT(s) + 1| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) + 2| SIZE_DMA_1_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) + 3|CLK_000_N_SYNC_9_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 4| E| IO| | S | 5 |=> can support up to [ 13] logic PT(s) + 5|inst_nEXP_SPACE_D0reg|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) + 6| SIZE_DMA_0_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) + 7|CLK_000_P_SYNC_7_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) 8| A0| IO| | S | 3 |=> can support up to [ 13] logic PT(s) - 9| SIZE_DMA_1_|NOD| | S | 3 |=> can support up to [ 13] logic PT(s) -10|CLK_000_P_SYNC_6_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) -11| IPL_D0_2_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) + 9|inst_CLK_000_NE|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) +10|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 |=> can support up to [ 17] logic PT(s) +11|CLK_000_P_SYNC_1_|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) 12| SIZE_0_| IO| | S | 1 |=> can support up to [ 13] logic PT(s) -13| SIZE_DMA_0_|NOD| | S | 3 |=> can support up to [ 17] logic PT(s) -14|CLK_000_P_SYNC_5_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) -15| IPL_D0_1_|NOD| | S | 1 |=> can support up to [ 9] logic PT(s) +13|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 13] logic PT(s) +14|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 |=> can support up to [ 13] logic PT(s) +15|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Node-Pin Assignments @@ -1630,20 +1625,20 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| RW| IO| | => | 5 ( 6) 7 0 | 70 ( 71) 72 65 1| CLK_DIV_OUT|OUT| | => | 5 6 7 ( 0)| 70 71 72 ( 65) - 2|inst_CLK_000_D1|NOD| | => | 6 7 0 1 | 71 72 65 66 - 3|CLK_000_P_SYNC_2_|NOD| | => | 6 7 0 1 | 71 72 65 66 + 2| SIZE_DMA_1_|NOD| | => | 6 7 0 1 | 71 72 65 66 + 3|CLK_000_N_SYNC_9_|NOD| | => | 6 7 0 1 | 71 72 65 66 4| E| IO| | => | 7 0 ( 1) 2 | 72 65 ( 66) 67 5|inst_nEXP_SPACE_D0reg|NOD| | => | 7 0 1 2 | 72 65 66 67 - 6|CLK_000_N_SYNC_9_|NOD| | => | 0 1 2 3 | 65 66 67 68 - 7|CLK_000_P_SYNC_1_|NOD| | => | 0 1 2 3 | 65 66 67 68 + 6| SIZE_DMA_0_|NOD| | => | 0 1 2 3 | 65 66 67 68 + 7|CLK_000_P_SYNC_7_|NOD| | => | 0 1 2 3 | 65 66 67 68 8| A0| IO| | => | 1 2 3 ( 4)| 66 67 68 ( 69) - 9| SIZE_DMA_1_|NOD| | => | 1 2 3 4 | 66 67 68 69 -10|CLK_000_P_SYNC_6_|NOD| | => | 2 3 4 5 | 67 68 69 70 -11| IPL_D0_2_|NOD| | => | 2 3 4 5 | 67 68 69 70 + 9|inst_CLK_000_NE|NOD| | => | 1 2 3 4 | 66 67 68 69 +10|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | => | 2 3 4 5 | 67 68 69 70 +11|CLK_000_P_SYNC_1_|NOD| | => | 2 3 4 5 | 67 68 69 70 12| SIZE_0_| IO| | => | 3 4 ( 5) 6 | 68 69 ( 70) 71 -13| SIZE_DMA_0_|NOD| | => | 3 4 5 6 | 68 69 70 71 -14|CLK_000_P_SYNC_5_|NOD| | => | 4 5 6 7 | 69 70 71 72 -15| IPL_D0_1_|NOD| | => | 4 5 6 7 | 69 70 71 72 +13|inst_CLK_000_D0|NOD| | => | 3 4 5 6 | 68 69 70 71 +14|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | => | 4 5 6 7 | 69 70 71 72 +15|inst_CLK_OUT_PRE_D|NOD| | => | 4 5 6 7 | 69 70 71 72 --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > IO-to-Node Pin Mapping @@ -1700,8 +1695,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 66| IO E|*| ] paired w/[ RN_E] [RegIn 1 |249| -| | ] - [MCell 2 |248|NOD inst_CLK_000_D1| |*] - [MCell 3 |250|NOD CLK_000_P_SYNC_2_| |*] + [MCell 2 |248|NOD SIZE_DMA_1_| |*] + [MCell 3 |250|NOD CLK_000_N_SYNC_9_| |*] 2 [IOpin 2 | 67|INP IPL_0_|*|*] [RegIn 2 |252| -| | ] @@ -1710,28 +1705,28 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 68|INP IPL_2_|*|*] [RegIn 3 |255| -| | ] - [MCell 6 |254|NOD CLK_000_N_SYNC_9_| |*] - [MCell 7 |256|NOD CLK_000_P_SYNC_1_| |*] + [MCell 6 |254|NOD SIZE_DMA_0_| |*] + [MCell 7 |256|NOD CLK_000_P_SYNC_7_| |*] 4 [IOpin 4 | 69| IO A0|*|*] paired w/[ RN_A0] [RegIn 4 |258| -| | ] [MCell 8 |257|NOD RN_A0| |*] paired w/[ A0] - [MCell 9 |259|NOD SIZE_DMA_1_| |*] + [MCell 9 |259|NOD inst_CLK_000_NE| |*] 5 [IOpin 5 | 70| IO SIZE_0_|*|*] [RegIn 5 |261| -| | ] - [MCell 10 |260|NOD CLK_000_P_SYNC_6_| |*] - [MCell 11 |262|NOD IPL_D0_2_| |*] + [MCell 10 |260|NOD inst_AMIGA_BUS_ENABLE_DMA_HIGH| |*] + [MCell 11 |262|NOD CLK_000_P_SYNC_1_| |*] 6 [IOpin 6 | 71| IO RW|*|*] paired w/[ RN_RW] [RegIn 6 |264| -| | ] [MCell 12 |263| IO SIZE_0_| | ] - [MCell 13 |265|NOD SIZE_DMA_0_| |*] + [MCell 13 |265|NOD inst_CLK_000_D0| |*] 7 [IOpin 7 | 72| -| | ] [RegIn 7 |267| -| | ] - [MCell 14 |266|NOD CLK_000_P_SYNC_5_| |*] - [MCell 15 |268|NOD IPL_D0_1_| |*] + [MCell 14 |266|NOD inst_AMIGA_BUS_ENABLE_DMA_LOW| |*] + [MCell 15 |268|NOD inst_CLK_OUT_PRE_D| |*] --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Logic Array Fan-in @@ -1739,39 +1734,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| Mcel 3 13 ( 193)| cpu_est_2_ +Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux01| Mcel 3 13 ( 193)| cpu_est_1_ Mux02| Mcel 6 4 ( 251)| RN_E -Mux03| IOPin 5 4 ( 56)| IPL_1_ -Mux04| IOPin 6 3 ( 68)| IPL_2_ -Mux05| Input Pin ( 14)| nEXP_SPACE +Mux03| Mcel 3 11 ( 190)| CLK_000_P_SYNC_0_ +Mux04| Mcel 3 6 ( 182)| cpu_est_0_ +Mux05| Mcel 6 6 ( 254)| SIZE_DMA_0_ Mux06| IOPin 7 5 ( 80)| RW_000 -Mux07| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D -Mux08| IOPin 3 3 ( 32)| UDS_000 -Mux09| Mcel 6 13 ( 265)| SIZE_DMA_0_ -Mux10| Mcel 6 9 ( 259)| SIZE_DMA_1_ -Mux11| Mcel 6 14 ( 266)| CLK_000_P_SYNC_5_ -Mux12| Mcel 6 7 ( 256)| CLK_000_P_SYNC_1_ +Mux07| Mcel 7 6 ( 278)| CLK_000_N_SYNC_11_ +Mux08| Mcel 1 15 ( 148)| inst_CLK_000_NE_D0 +Mux09| Mcel 1 14 ( 146)| RN_RESET +Mux10| Mcel 6 14 ( 266)| inst_AMIGA_BUS_ENABLE_DMA_LOW +Mux11| IOPin 5 0 ( 60)| A1 +Mux12| IOPin 3 3 ( 32)| UDS_000 Mux13| Mcel 6 8 ( 257)| RN_A0 -Mux14| Mcel 0 10 ( 116)| CLK_000_N_SYNC_8_ -Mux15| Mcel 5 1 ( 223)| cpu_est_0_ -Mux16| Mcel 3 6 ( 182)| inst_CLK_000_D0 +Mux14| Input Pin ( 11)| CLK_000 +Mux15| Input Pin ( 14)| nEXP_SPACE +Mux16| Mcel 3 2 ( 176)| cpu_est_2_ Mux17| Mcel 6 0 ( 245)| RN_RW Mux18| ... | ... -Mux19| ... | ... -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Mcel 3 15 ( 196)| CLK_000_P_SYNC_0_ -Mux22| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg -Mux23| ... | ... -Mux24| Mcel 1 7 ( 136)| CLK_000_P_SYNC_4_ -Mux25| Mcel 3 9 ( 187)| cpu_est_1_ -Mux26| Mcel 1 0 ( 125)| RN_RESET -Mux27| IOPin 3 4 ( 31)| LDS_000 -Mux28| Mcel 3 2 ( 176)| inst_CLK_000_NE_D0 +Mux19| Mcel 0 9 ( 115)| inst_CLK_OUT_PRE_50 +Mux20| Mcel 0 14 ( 122)| CLK_000_N_SYNC_8_ +Mux21| Input Pin ( 86)| RST +Mux22| Mcel 6 10 ( 260)| inst_AMIGA_BUS_ENABLE_DMA_HIGH +Mux23| Mcel 6 2 ( 248)| SIZE_DMA_1_ +Mux24| IOPin 3 4 ( 31)| LDS_000 +Mux25| ... | ... +Mux26| Mcel 4 5 ( 205)| CLK_000_P_SYNC_6_ +Mux27| ... | ... +Mux28| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D Mux29| ... | ... Mux30| ... | ... Mux31| ... | ... -Mux32| ... | ... +Mux32| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg --------------------------------------------------------------------------- =========================================================================== < Block [ 7] > Macrocell (MCell) Cluster Assignments @@ -1861,8 +1856,8 @@ _|_________________|__|_____|____________________|________________________ | Sig Type--+ | | | | Signal Name | | | | Node Destinations Via Output Matrix _|_________________|__|___|_____|___________________________________________ - 0| A_23_|INP|*| 85| => | 0 1 2 3 4 5 6 7 - 1| A_22_|INP|*| 84| => | 2 3 4 5 6 7 8 9 + 0| | | | 85| => | 0 1 2 3 4 5 6 7 + 1| | | | 84| => | 2 3 4 5 6 7 8 9 2| BGACK_030| IO|*| 83| => | ( 4) 5 6 7 8 9 10 11 3| AS_030| IO|*| 82| => | 6 7 ( 8) 9 10 11 12 13 4| DSACK1| IO|*| 81| => | 8 ( 9) 10 11 12 13 14 15 @@ -1879,8 +1874,8 @@ _|_________________|__|___|_____|___________________________________________ | Sig Type--+ | | | | Signal Name | | | | Input Macrocell and Node Pairs _|_________________|__|___|_____|__________________________________________ - 0| A_23_|INP|*| 85| => | Input macrocell [ -] - 1| A_22_|INP|*| 84| => | Input macrocell [ -] + 0| | | | 85| => | Input macrocell [ -] + 1| | | | 84| => | Input macrocell [ -] 2| BGACK_030| IO|*| 83| => | Input macrocell [ -] | | | | | | IO paired w/ node [ RN_BGACK_030] 3| AS_030| IO|*| 82| => | Input macrocell [ -] @@ -1900,12 +1895,12 @@ IMX No. | +---- Block IO Pin or Macrocell Number | | | Pin Number | +- Signal Fixed (*) to Pin/Mcell | | | | Sig Type | | +- Feedback Required (*) ---|-------|----|---|---|----------|------|-|------------------------------ - 0 [IOpin 0 | 85|INP A_23_|*|*] + 0 [IOpin 0 | 85| -| | ] [RegIn 0 |270| -| | ] [MCell 0 |269|NOD RN_RW_000| |*] paired w/[ RW_000] [MCell 1 |271|OUT FPU_CS| | ] - 1 [IOpin 1 | 84|INP A_22_|*|*] + 1 [IOpin 1 | 84| -| | ] [RegIn 1 |273| -| | ] [MCell 2 |272|NOD CLK_000_N_SYNC_10_| |*] [MCell 3 |274| -| | ] @@ -1948,35 +1943,35 @@ IMX No. | +---- Block IO Pin or Macrocell Number --|--|--------------------|--------------------------------------------------- Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 4 13 ( 217)| inst_CLK_OUT_PRE_D +Mux02| Mcel 6 15 ( 268)| inst_CLK_OUT_PRE_D Mux03| Mcel 0 8 ( 113)| inst_AS_000_DMA Mux04| Mcel 7 5 ( 277)| inst_AS_030_D0 -Mux05| Mcel 5 0 ( 221)| inst_CLK_000_PE +Mux05| Mcel 5 0 ( 221)| SM_AMIGA_i_7_ Mux06| IOPin 0 6 ( 97)| A_19_ -Mux07| ... | ... +Mux07| Mcel 3 9 ( 187)| inst_CLK_000_PE Mux08| IOPin 0 0 ( 91)| FPU_SENSE -Mux09| Mcel 6 13 ( 265)| SIZE_DMA_0_ -Mux10| Mcel 6 9 ( 259)| SIZE_DMA_1_ +Mux09| Mcel 1 14 ( 146)| RN_RESET +Mux10| Mcel 5 4 ( 227)| SM_AMIGA_5_ Mux11| IOPin 0 5 ( 96)| A_16_ Mux12| IOPin 5 2 ( 58)| FC_1_ Mux13| IOPin 5 1 ( 59)| A_17_ Mux14| Mcel 7 2 ( 272)| CLK_000_N_SYNC_10_ -Mux15| ... | ... +Mux15| Mcel 5 1 ( 223)| SM_AMIGA_1_ Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| IOPin 0 4 ( 95)| A_18_ +Mux17| IOPin 5 3 ( 57)| FC_0_ Mux18| IOPin 3 7 ( 28)| BGACK_000 Mux19| IOPin 7 3 ( 82)| AS_030 Mux20| Input Pin ( 64)| CLK_030 Mux21| Input Pin ( 86)| RST Mux22| Mcel 6 5 ( 253)| inst_nEXP_SPACE_D0reg -Mux23| Mcel 6 6 ( 254)| CLK_000_N_SYNC_9_ -Mux24| IOPin 5 3 ( 57)| FC_0_ +Mux23| Mcel 6 2 ( 248)| SIZE_DMA_1_ +Mux24| Mcel 6 3 ( 250)| CLK_000_N_SYNC_9_ Mux25| IOPin 6 6 ( 71)| RW -Mux26| Mcel 1 0 ( 125)| RN_RESET +Mux26| ... | ... Mux27| Mcel 7 9 ( 283)| RN_DSACK1 -Mux28| Mcel 1 5 ( 133)| SM_AMIGA_0_ -Mux29| Mcel 5 4 ( 227)| SM_AMIGA_i_7_ +Mux28| ... | ... +Mux29| Mcel 6 6 ( 254)| SIZE_DMA_0_ Mux30| Mcel 7 0 ( 269)| RN_RW_000 -Mux31| Mcel 5 12 ( 239)| SM_AMIGA_5_ -Mux32| Mcel 5 8 ( 233)| SM_AMIGA_1_ +Mux31| IOPin 0 4 ( 95)| A_18_ +Mux32| Mcel 5 9 ( 235)| SM_AMIGA_0_ --------------------------------------------------------------------------- \ No newline at end of file diff --git a/Logic/68030_tk.rpt b/Logic/68030_tk.rpt index efd6d25..3e80148 100644 --- a/Logic/68030_tk.rpt +++ b/Logic/68030_tk.rpt @@ -12,7 +12,7 @@ Project_Summary Project Name : 68030_tk Project Path : C:\Users\Matze\Documents\GitHub\68030tk\Logic -Project Fitted on : Wed May 13 22:59:29 2015 +Project Fitted on : Thu Jul 09 18:49:07 2015 Device : M4A5-128/64 Package : 100TQFP @@ -37,11 +37,11 @@ Fitter 00:00:00 Design_Summary ~~~~~~~~~~~~~~ - Total Input Pins : 32 + Total Input Pins : 28 Total Output Pins : 18 Total Bidir I/O Pins : 11 Total Flip-Flops : 83 - Total Product Terms : 254 + Total Product Terms : 252 Total Reserved Pins : 0 Total Reserved Blocks : 0 @@ -53,14 +53,14 @@ Device_Resource_Summary Dedicated Pins Input-Only Pins 2 2 0 --> 100% Clock/Input Pins 4 4 0 --> 100% -I/O Pins 64 55 9 --> 85% -Logic Macrocells 128 102 26 --> 79% +I/O Pins 64 51 13 --> 79% +Logic Macrocells 128 101 27 --> 78% Input Registers 64 0 64 --> 0% Unusable Macrocells .. 0 .. -CSM Outputs/Total Block Inputs 264 233 31 --> 88% -Logical Product Terms 640 255 385 --> 39% -Product Term Clusters 128 59 69 --> 46% +CSM Outputs/Total Block Inputs 264 228 36 --> 86% +Logical Product Terms 640 253 387 --> 39% +Product Term Clusters 128 58 70 --> 45%  Blocks_Resource_Summary @@ -71,14 +71,14 @@ Blocks_Resource_Summary --------------------------------------------------------------------------------- Maximum 33 8 8 -- -- 16 80 16 - --------------------------------------------------------------------------------- -Block A 30 8 0 16 0 0 50 4 Lo -Block B 29 8 0 16 0 0 57 3 Lo -Block C 28 7 0 9 0 7 23 9 Lo -Block D 29 8 0 16 0 0 27 11 Lo -Block E 31 4 0 8 0 8 10 14 Lo -Block F 29 5 0 11 0 5 45 4 Lo -Block G 26 7 0 16 0 0 27 11 Lo -Block H 31 8 0 10 0 6 16 13 Lo +Block A 30 6 0 16 0 0 47 5 Lo +Block B 29 8 0 16 0 0 62 1 Lo +Block C 28 7 0 9 0 7 20 11 Lo +Block D 28 8 0 16 0 0 28 10 Lo +Block E 27 4 0 7 0 9 8 15 Lo +Block F 28 5 0 11 0 5 43 5 Lo +Block G 27 7 0 16 0 0 29 9 Lo +Block H 31 6 0 10 0 6 16 13 Lo --------------------------------------------------------------------------------- Four rightmost columns above reflect last status of the placement process. @@ -250,8 +250,8 @@ Pin No| Type |Pad |Pin | Signal name 81 | I_O | H4 | * |DSACK1 82 | I_O | H3 | * |AS_030 83 | I_O | H2 | * |BGACK_030 -84 | I_O | H1 | * |A_22_ -85 | I_O | H0 | * |A_23_ +84 | I_O | H1 | | +85 | I_O | H0 | | 86 | Inp | | * |RST 87 | Vcc | | | 88 | GND | | | @@ -259,8 +259,8 @@ Pin No| Type |Pad |Pin | Signal name 90 | Vcc | | | 91 | I_O | A0 | * |FPU_SENSE 92 | I_O | A1 | * |AVEC -93 | I_O | A2 | * |A_20_ -94 | I_O | A3 | * |A_21_ +93 | I_O | A2 | | +94 | I_O | A3 | | 95 | I_O | A4 | * |A_18_ 96 | I_O | A5 | * |A_16_ 97 | I_O | A6 | * |A_19_ @@ -287,15 +287,11 @@ Input_Signal_List Pin r e O Input Pin Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - 60 F . I/O --C----- Low Slow A1 + 60 F . I/O ------G- Low Slow A1 96 A . I/O --C-E--H Low Slow A_16_ 59 F . I/O --C-E--H Low Slow A_17_ 95 A . I/O --C-E--H Low Slow A_18_ 97 A . I/O --C-E--H Low Slow A_19_ - 93 A . I/O ----E--- Low Slow A_20_ - 94 A . I/O ----E--- Low Slow A_21_ - 84 H . I/O ----E--- Low Slow A_22_ - 85 H . I/O ----E--- Low Slow A_23_ 19 C . I/O ----E--- Low Slow A_24_ 18 C . I/O ----E--- Low Slow A_25_ 17 C . I/O ----E--- Low Slow A_26_ @@ -306,16 +302,16 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 4 B . I/O ----E--- Low Slow A_31_ 28 D . I/O ----E--H Low Slow BGACK_000 21 C . I/O ---D---- Low Slow BG_030 - 30 D . I/O --C----- Low Slow DTACK + 30 D . I/O ---D---- Low Slow DTACK 57 F . I/O --C-E--H Low Slow FC_0_ 58 F . I/O --C-E--H Low Slow FC_1_ 91 A . I/O ----E--H Low Slow FPU_SENSE 67 G . I/O -B------ Low Slow IPL_0_ - 56 F . I/O -B----G- Low Slow IPL_1_ - 68 G . I/O -B----G- Low Slow IPL_2_ - 11 . . Ck/I ---D---- - Slow CLK_000 + 56 F . I/O -BC----- Low Slow IPL_1_ + 68 G . I/O -B------ Low Slow IPL_2_ + 11 . . Ck/I ------G- - Slow CLK_000 14 . . Ck/I ------G- - Slow nEXP_SPACE - 36 . . Ded -B------ - Slow VPA + 36 . . Ded -----F-- - Slow VPA 61 . . Ck/I ABCDEFGH - Slow CLK_OSZI 64 . . Ck/I AB-----H - Slow CLK_030 86 . . Ded ABCD-FGH - Slow RST @@ -367,16 +363,16 @@ Bidir_Signal_List Pin r e O Bidir Pin Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - 69 G 3 DFF * * --C----- Low Slow A0 + 69 G 3 DFF * * -B---F-- Low Slow A0 42 E 1 COM A---E--H Low Slow AS_000 82 H 1 COM ----E--H Low Slow AS_030 - 41 E 1 COM -BC--F-H Low Slow BERR - 98 A 1 COM A--D---- Low Slow DS_030 + 41 E 1 COM --C--F-H Low Slow BERR + 98 A 1 COM ---D---- Low Slow DS_030 31 D 1 COM A-----G- Low Slow LDS_000 - 71 G 2 DFF * * -----F-H Low Slow RW + 71 G 2 DFF * * --C----H Low Slow RW 80 H 3 DFF * * A---E-G- Low Slow RW_000 - 70 G 1 COM --C----- Low Slow SIZE_0_ - 79 H 1 COM --C----- Low Slow SIZE_1_ + 70 G 1 COM -B------ Low Slow SIZE_0_ + 79 H 1 COM -B------ Low Slow SIZE_1_ 32 D 1 COM A-----G- Low Slow UDS_000 ---------------------------------------------------------------------- @@ -393,90 +389,89 @@ Buried_Signal_List Pin r e O Node #Mc Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - E5 E 2 COM ----E--- Low Slow CIIN_0 - D11 D 1 DFF * * -B------ Low Slow CLK_000_N_SYNC_0_ + D7 D 1 DFF * * A------- Low Slow CLK_000_N_SYNC_0_ H2 H 1 DFF * * -------H Low Slow CLK_000_N_SYNC_10_ - H6 H 1 DFF * * ----E--- Low Slow CLK_000_N_SYNC_11_ - B3 B 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_1_ - D7 D 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_2_ - D3 D 1 DFF * * A------- Low Slow CLK_000_N_SYNC_3_ - A14 A 1 DFF * * --C----- Low Slow CLK_000_N_SYNC_4_ - C13 C 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_5_ - D14 D 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_6_ - D10 D 1 DFF * * A------- Low Slow CLK_000_N_SYNC_7_ - A10 A 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_8_ - G6 G 1 DFF * * -------H Low Slow CLK_000_N_SYNC_9_ - D15 D 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_0_ - G7 G 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_1_ - G3 G 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_2_ - B11 B 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_3_ - B7 B 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_4_ - G14 G 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_5_ - G10 G 1 DFF * * A------- Low Slow CLK_000_P_SYNC_6_ - A7 A 1 DFF * * A------- Low Slow CLK_000_P_SYNC_7_ - A3 A 1 DFF * * A------- Low Slow CLK_000_P_SYNC_8_ - A11 A 1 DFF * * -----F-- Low Slow CLK_000_P_SYNC_9_ - A6 A 2 DFF * * A------- Low Slow CYCLE_DMA_0_ - A2 A 3 DFF * * A------- Low Slow CYCLE_DMA_1_ - B15 B 1 DFF * * -B------ Low Slow IPL_D0_0_ - G15 G 1 DFF * * -B------ Low Slow IPL_D0_1_ - G11 G 1 DFF * * -B------ Low Slow IPL_D0_2_ - F6 F 4 COM -----F-- Low Slow N_96_i + H6 H 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_11_ + A15 A 1 DFF * * A------- Low Slow CLK_000_N_SYNC_1_ + A11 A 1 DFF * * A------- Low Slow CLK_000_N_SYNC_2_ + A7 A 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_3_ + D3 D 1 DFF * * A------- Low Slow CLK_000_N_SYNC_4_ + A3 A 1 DFF * * ---D---- Low Slow CLK_000_N_SYNC_5_ + D14 D 1 DFF * * ----E--- Low Slow CLK_000_N_SYNC_6_ + E8 E 1 DFF * * A------- Low Slow CLK_000_N_SYNC_7_ + A14 A 1 DFF * * ------G- Low Slow CLK_000_N_SYNC_8_ + G3 G 1 DFF * * -------H Low Slow CLK_000_N_SYNC_9_ + D11 D 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_0_ + G11 G 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_1_ + B3 B 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_2_ + C9 C 1 DFF * * -B------ Low Slow CLK_000_P_SYNC_3_ + B4 B 1 DFF * * ----E--- Low Slow CLK_000_P_SYNC_4_ + E9 E 1 DFF * * ----E--- Low Slow CLK_000_P_SYNC_5_ + E5 E 1 DFF * * ------G- Low Slow CLK_000_P_SYNC_6_ + G7 G 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_7_ + C5 C 1 DFF * * --C----- Low Slow CLK_000_P_SYNC_8_ + C2 C 1 DFF * * ---D---- Low Slow CLK_000_P_SYNC_9_ + A10 A 2 DFF * * A------- Low Slow CYCLE_DMA_0_ + A6 A 3 DFF * * A------- Low Slow CYCLE_DMA_1_ + B7 B 1 DFF * * -B------ Low Slow IPL_D0_0_ + C13 C 1 DFF * * -B------ Low Slow IPL_D0_1_ + B13 B 1 DFF * * -B------ Low Slow IPL_D0_2_ + F6 F 4 COM -----F-- Low Slow N_165 G8 G 3 DFF * * ------G- Low - RN_A0 --> A0 H4 H 2 DFF * * A-CDE-GH Low - RN_BGACK_030 --> BGACK_030 D1 D 2 DFF * * ---D---- Low - RN_BG_000 --> BG_000 H9 H 4 DFF * * -------H Low - RN_DSACK1 --> DSACK1 G4 G 5 DFF * * ---D-FG- Low - RN_E --> E B8 B 10 DFF * * -B------ Low - RN_IPL_030_0_ --> IPL_030_0_ - B12 B 10 DFF * * -B------ Low - RN_IPL_030_1_ --> IPL_030_1_ - B4 B 10 DFF * * -B------ Low - RN_IPL_030_2_ --> IPL_030_2_ - B0 B 2 DFF * * AB-DE-GH Low - RN_RESET --> RESET + B6 B 10 DFF * * -B------ Low - RN_IPL_030_1_ --> IPL_030_1_ + B2 B 10 DFF * * -B------ Low - RN_IPL_030_2_ --> IPL_030_2_ + B14 B 2 DFF * * AB-DE-GH Low - RN_RESET --> RESET G0 G 2 DFF * * ------G- Low - RN_RW --> RW H0 H 3 DFF * * -------H Low - RN_RW_000 --> RW_000 D0 D 3 TFF * * ---D-F-- Low - RN_VMA --> VMA - B6 B 3 DFF * * AB------ Low Slow RST_DLY_0_ + B10 B 3 DFF * * AB------ Low Slow RST_DLY_0_ A1 A 4 DFF * * AB------ Low Slow RST_DLY_1_ - B9 B 5 DFF * * AB------ Low Slow RST_DLY_2_ - A12 A 6 DFF * * AB------ Low Slow RST_DLY_3_ - A5 A 2 TFF * * AB------ Low Slow RST_DLY_4_ - B13 B 4 TFF * * AB------ Low Slow RST_DLY_5_ - B2 B 3 TFF * * AB------ Low Slow RST_DLY_6_ - B10 B 2 DFF * * AB------ Low Slow RST_DLY_7_ - G13 G 3 DFF * * ------GH Low Slow SIZE_DMA_0_ - G9 G 3 DFF * * ------GH Low Slow SIZE_DMA_1_ - B5 B 2 DFF * * -B---F-H Low Slow SM_AMIGA_0_ - F8 F 3 DFF * * -B---F-H Low Slow SM_AMIGA_1_ + B5 B 5 DFF * * AB------ Low Slow RST_DLY_2_ + B0 B 6 DFF * * AB------ Low Slow RST_DLY_3_ + B12 B 2 TFF * * AB------ Low Slow RST_DLY_4_ + A12 A 4 TFF * * AB------ Low Slow RST_DLY_5_ + B11 B 3 TFF * * AB------ Low Slow RST_DLY_6_ + A5 A 2 DFF * * AB------ Low Slow RST_DLY_7_ + G6 G 3 DFF * * ------GH Low Slow SIZE_DMA_0_ + G2 G 3 DFF * * ------GH Low Slow SIZE_DMA_1_ + F9 F 2 DFF * * -----F-H Low Slow SM_AMIGA_0_ + F1 F 3 DFF * * -----F-H Low Slow SM_AMIGA_1_ F2 F 4 DFF * * -----F-- Low Slow SM_AMIGA_2_ - F13 F 5 TFF * * -----F-- Low Slow SM_AMIGA_3_ + F12 F 5 TFF * * --C--F-- Low Slow SM_AMIGA_3_ F10 F 3 DFF * * -----F-- Low Slow SM_AMIGA_4_ - F12 F 3 DFF * * --C--F-H Low Slow SM_AMIGA_5_ - F9 F 3 DFF * * --C--F-- Low Slow SM_AMIGA_6_ - F4 F 14 DFF * * --CD-F-H Low Slow SM_AMIGA_i_7_ - F1 F 2 DFF * * ---D-FG- Low Slow cpu_est_0_ - D9 D 5 DFF * * ---D-FG- Low Slow cpu_est_1_ - D13 D 4 DFF * * ---D-FG- Low Slow cpu_est_2_ - C1 C 2 DFF * * --CD---- Low Slow inst_AMIGA_BUS_ENABLE_DMA_HIGH - C9 C 2 DFF * * --C----- Low Slow inst_AMIGA_BUS_ENABLE_DMA_LOW + F4 F 3 DFF * * --C--F-H Low Slow SM_AMIGA_5_ + C4 C 3 DFF * * -BC--F-- Low Slow SM_AMIGA_6_ + F0 F 14 DFF * * --CD---H Low Slow SM_AMIGA_i_7_ + D6 D 2 DFF * * ---D-FG- Low Slow cpu_est_0_ + D13 D 5 DFF * * ---D-FG- Low Slow cpu_est_1_ + D2 D 4 DFF * * ---D-FG- Low Slow cpu_est_2_ + G10 G 2 DFF * * ---D--G- Low Slow inst_AMIGA_BUS_ENABLE_DMA_HIGH + G14 G 2 DFF * * --C---G- Low Slow inst_AMIGA_BUS_ENABLE_DMA_LOW A8 A 7 DFF * * A------H Low Slow inst_AS_000_DMA - C5 C 2 DFF * * --C-E--- Low Slow inst_AS_000_INT - C4 C 7 DFF * * --C--F-- Low Slow inst_AS_030_000_SYNC - H5 H 1 DFF * * --CDEF-H Low Slow inst_AS_030_D0 + C1 C 2 DFF * * --C-E--- Low Slow inst_AS_000_INT + C8 C 7 DFF * * --C--F-- Low Slow inst_AS_030_000_SYNC + H5 H 1 DFF * * --CDE--H Low Slow inst_AS_030_D0 H13 H 1 DFF * * --C---G- Low Slow inst_BGACK_030_INT_D - D6 D 1 DFF * * ---D-FG- Low Slow inst_CLK_000_D0 - G2 G 1 DFF * * ---D-F-- Low Slow inst_CLK_000_D1 - E8 E 1 DFF * * AB-D-F-- Low Slow inst_CLK_000_NE - D2 D 1 DFF * * ---D-FG- Low Slow inst_CLK_000_NE_D0 - F0 F 1 DFF * * AB-D-F-H Low Slow inst_CLK_000_PE - A13 A 8 DFF * * A------- Low Slow inst_CLK_030_H - E9 E 1 DFF * * ----E--- Low Slow inst_CLK_OUT_PRE_50 - E13 E 1 DFF * * -------H Low Slow inst_CLK_OUT_PRE_D - A9 A 9 DFF * * A------- Low Slow inst_DS_000_DMA - F5 F 3 DFF * * ---D-F-- Low Slow inst_DS_000_ENABLE - A15 A 1 DFF * * --C----- Low Slow inst_DS_030_D0 - C2 C 1 DFF * * -----F-- Low Slow inst_DTACK_D0 - C8 C 4 DFF * * --CD---- Low Slow inst_LDS_000_INT - C12 C 3 DFF * * --CD---- Low Slow inst_UDS_000_INT - B14 B 1 DFF * * ---D-F-- Low Slow inst_VPA_D + G13 G 1 DFF * * --CD-F-- Low Slow inst_CLK_000_D0 + F8 F 1 DFF * * --CD-F-- Low Slow inst_CLK_000_D1 + G9 G 1 DFF * * AB-D-F-- Low Slow inst_CLK_000_NE + B15 B 1 DFF * * ---D-FG- Low Slow inst_CLK_000_NE_D0 + D9 D 1 DFF * * A-CD-F-H Low Slow inst_CLK_000_PE + A2 A 8 DFF * * A------- Low Slow inst_CLK_030_H + A9 A 1 DFF * * A-----G- Low Slow inst_CLK_OUT_PRE_50 + G15 G 1 DFF * * -------H Low Slow inst_CLK_OUT_PRE_D + A13 A 9 DFF * * A------- Low Slow inst_DS_000_DMA + C12 C 3 DFF * * --CD---- Low Slow inst_DS_000_ENABLE + D10 D 1 DFF * * -B---F-- Low Slow inst_DS_030_D0 + D15 D 1 DFF * * -----F-- Low Slow inst_DTACK_D0 + B9 B 4 DFF * * -B-D---- Low Slow inst_LDS_000_INT + F5 F 3 DFF * * ---D-F-- Low Slow inst_UDS_000_INT + F13 F 1 DFF * * ---D-F-- Low Slow inst_VPA_D G5 G 1 DFF * * A-CDEFGH Low Slow inst_nEXP_SPACE_D0reg ---------------------------------------------------------------------- @@ -492,87 +487,83 @@ Signals_Fanout_List ~~~~~~~~~~~~~~~~~~~ Signal Source : Fanout List ----------------------------------------------------------------------------- - A_28_{ D}: CIIN{ E} CIIN_0{ E} - A_27_{ D}: CIIN{ E} CIIN_0{ E} - SIZE_1_{ I}:inst_LDS_000_INT{ C} - A_26_{ D}: CIIN{ E} CIIN_0{ E} - A_25_{ D}: CIIN{ E} CIIN_0{ E} - A_31_{ C}: CIIN{ E} CIIN_0{ E} - A_24_{ D}: CIIN{ E} CIIN_0{ E} - A_23_{ I}: CIIN{ E} CIIN_0{ E} - A_22_{ I}: CIIN{ E} CIIN_0{ E} - A_21_{ B}: CIIN{ E} CIIN_0{ E} + SIZE_0_{ H}:inst_LDS_000_INT{ B} + A_30_{ C}: CIIN{ E} + SIZE_1_{ I}:inst_LDS_000_INT{ B} + A_29_{ C}: CIIN{ E} + A_28_{ D}: CIIN{ E} + A_31_{ C}: CIIN{ E} + A_27_{ D}: CIIN{ E} + A_26_{ D}: CIIN{ E} + A_25_{ D}: CIIN{ E} + A_24_{ D}: CIIN{ E} IPL_2_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_2_{ G} - A_20_{ B}: CIIN{ E} CIIN_0{ E} - A_19_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + : IPL_D0_2_{ B} FC_1_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} - A_18_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} AS_030{ I}: AS_000{ E} BERR{ E} FPU_CS{ H} : inst_AS_030_D0{ H} - A_17_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} AS_000{ F}: AS_030{ H} DS_030{ A}AMIGA_BUS_DATA_DIR{ E} :inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_0_{ A} : CYCLE_DMA_1_{ A} inst_CLK_030_H{ A} - A_16_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} - DS_030{ B}: UDS_000{ D} LDS_000{ D} inst_DS_030_D0{ A} + A_19_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + A_18_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} + DS_030{ B}: UDS_000{ D} LDS_000{ D} inst_DS_030_D0{ D} + A_17_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} UDS_000{ E}: A0{ G}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} inst_CLK_030_H{ A} + A_16_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} LDS_000{ E}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} SIZE_DMA_0_{ G} : SIZE_DMA_1_{ G} inst_CLK_030_H{ A} - A1{ G}:inst_AMIGA_BUS_ENABLE_DMA_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ C} + A1{ G}:inst_AMIGA_BUS_ENABLE_DMA_LOW{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} nEXP_SPACE{. }:inst_nEXP_SPACE_D0reg{ G} BERR{ F}: DSACK1{ H}inst_AS_000_INT{ C} SM_AMIGA_5_{ F} - :inst_AS_030_000_SYNC{ C} SM_AMIGA_3_{ F} SM_AMIGA_0_{ B} - : SM_AMIGA_6_{ F} SM_AMIGA_1_{ F} SM_AMIGA_4_{ F} - : SM_AMIGA_2_{ F}inst_DS_000_ENABLE{ F} SM_AMIGA_i_7_{ F} + :inst_AS_030_000_SYNC{ C} SM_AMIGA_3_{ F} SM_AMIGA_0_{ F} + : SM_AMIGA_6_{ C} SM_AMIGA_1_{ F} SM_AMIGA_4_{ F} + : SM_AMIGA_2_{ F}inst_DS_000_ENABLE{ C} SM_AMIGA_i_7_{ F} BG_030{ D}: BG_000{ D} BGACK_000{ E}: BERR{ E} FPU_CS{ H} BGACK_030{ H} CLK_030{. }: CLK_EXP{ B} DSACK1{ H}inst_AS_000_DMA{ A} :inst_DS_000_DMA{ A} inst_CLK_030_H{ A} - CLK_000{. }:inst_CLK_000_D0{ D} + CLK_000{. }:inst_CLK_000_D0{ G} + FPU_SENSE{ B}: BERR{ E} FPU_CS{ H} IPL_1_{ G}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_1_{ G} + : IPL_D0_1_{ C} + DTACK{ E}: inst_DTACK_D0{ D} IPL_0_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} : IPL_D0_0_{ B} - FPU_SENSE{ B}: BERR{ E} FPU_CS{ H} FC_0_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ C} - DTACK{ E}: inst_DTACK_D0{ C} - VPA{. }: inst_VPA_D{ B} + VPA{. }: inst_VPA_D{ F} RST{. }: IPL_030_2_{ B} RW_000{ H} A0{ G} : BG_000{ D} BGACK_030{ H} IPL_030_1_{ B} : IPL_030_0_{ B} DSACK1{ H} VMA{ D} : RESET{ B} RW{ G}inst_AS_000_INT{ C} - : SM_AMIGA_5_{ F}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} inst_AS_030_D0{ H} - :inst_nEXP_SPACE_D0reg{ G} inst_DS_030_D0{ A}inst_AS_030_000_SYNC{ C} + : SM_AMIGA_5_{ F}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} inst_AS_030_D0{ H} + :inst_nEXP_SPACE_D0reg{ G} inst_DS_030_D0{ D}inst_AS_030_000_SYNC{ C} :inst_BGACK_030_INT_D{ H}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} : CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} SIZE_DMA_0_{ G} - : SIZE_DMA_1_{ G} inst_VPA_D{ B}inst_UDS_000_INT{ C} - :inst_LDS_000_INT{ C} inst_DTACK_D0{ C} IPL_D0_0_{ B} - : IPL_D0_1_{ G} IPL_D0_2_{ G} SM_AMIGA_3_{ F} - : SM_AMIGA_0_{ B}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ C} SM_AMIGA_6_{ F} + : SIZE_DMA_1_{ G} inst_VPA_D{ F}inst_UDS_000_INT{ F} + :inst_LDS_000_INT{ B} inst_DTACK_D0{ D} IPL_D0_0_{ B} + : IPL_D0_1_{ C} IPL_D0_2_{ B} SM_AMIGA_3_{ F} + : SM_AMIGA_0_{ F}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} SM_AMIGA_6_{ C} : RST_DLY_0_{ B} RST_DLY_1_{ A} RST_DLY_2_{ B} - : RST_DLY_3_{ A} RST_DLY_4_{ A} RST_DLY_5_{ B} - : RST_DLY_6_{ B} RST_DLY_7_{ B} inst_CLK_030_H{ A} + : RST_DLY_3_{ B} RST_DLY_4_{ B} RST_DLY_5_{ A} + : RST_DLY_6_{ B} RST_DLY_7_{ A} inst_CLK_030_H{ A} : SM_AMIGA_1_{ F} SM_AMIGA_4_{ F} SM_AMIGA_2_{ F} - :inst_DS_000_ENABLE{ F} SM_AMIGA_i_7_{ F} - SIZE_0_{ H}:inst_LDS_000_INT{ C} - A_30_{ C}: CIIN{ E} CIIN_0{ E} - A_29_{ C}: CIIN{ E} CIIN_0{ E} + :inst_DS_000_ENABLE{ C} SM_AMIGA_i_7_{ F} RN_IPL_030_2_{ C}: IPL_030_2_{ B} RW_000{ I}:AMIGA_BUS_DATA_DIR{ E} RW{ G}inst_DS_000_DMA{ A} RN_RW_000{ I}: RW_000{ H} - A0{ H}:inst_UDS_000_INT{ C}inst_LDS_000_INT{ C} + A0{ H}:inst_UDS_000_INT{ F}inst_LDS_000_INT{ B} RN_A0{ H}: A0{ G} RN_BG_000{ E}: BG_000{ D} -RN_BGACK_030{ I}: SIZE_1_{ H} AS_030{ H} AS_000{ E} - : DS_030{ A} UDS_000{ D} LDS_000{ D} - :AMIGA_BUS_DATA_DIR{ E}AMIGA_BUS_ENABLE_LOW{ C}AMIGA_BUS_ENABLE_HIGH{ D} - : SIZE_0_{ G} RW_000{ H} A0{ G} - : BGACK_030{ H} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} +RN_BGACK_030{ I}: SIZE_0_{ G} SIZE_1_{ H} AS_030{ H} + : AS_000{ E} DS_030{ A} UDS_000{ D} + : LDS_000{ D}AMIGA_BUS_DATA_DIR{ E}AMIGA_BUS_ENABLE_LOW{ C} + :AMIGA_BUS_ENABLE_HIGH{ D} RW_000{ H} A0{ G} + : BGACK_030{ H} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} :inst_AS_030_000_SYNC{ C}inst_BGACK_030_INT_D{ H}inst_AS_000_DMA{ A} :inst_DS_000_DMA{ A} CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} - : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ C} + : SIZE_DMA_0_{ G} SIZE_DMA_1_{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} : inst_CLK_030_H{ A} RN_IPL_030_1_{ C}: IPL_030_1_{ B} RN_IPL_030_0_{ C}: IPL_030_0_{ B} @@ -585,31 +576,31 @@ RN_IPL_030_0_{ C}: IPL_030_0_{ B} RN_RESET{ C}: AS_030{ H} AS_000{ E} DS_030{ A} : UDS_000{ D} LDS_000{ D} RW_000{ H} : A0{ G} RESET{ B} RW{ G} - RW{ H}: RW_000{ H}inst_DS_000_ENABLE{ F} + RW{ H}: RW_000{ H}inst_DS_000_ENABLE{ C} RN_RW{ H}: RW{ G} - cpu_est_0_{ G}: E{ G} VMA{ D} cpu_est_0_{ F} + N_165{ G}: SM_AMIGA_i_7_{ F} + cpu_est_0_{ E}: E{ G} VMA{ D} cpu_est_0_{ D} : cpu_est_1_{ D} cpu_est_2_{ D} SM_AMIGA_3_{ F} : SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} cpu_est_1_{ E}: E{ G} VMA{ D} cpu_est_1_{ D} : cpu_est_2_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} inst_AS_000_INT{ D}: AS_000{ E}inst_AS_000_INT{ C} -SM_AMIGA_5_{ G}: RW_000{ H}inst_AS_000_INT{ C} SM_AMIGA_5_{ F} - : N_96_i{ F} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ F} +SM_AMIGA_5_{ G}: RW_000{ H} N_165{ F}inst_AS_000_INT{ C} + : SM_AMIGA_5_{ F} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C} : SM_AMIGA_i_7_{ F} -inst_AMIGA_BUS_ENABLE_DMA_LOW{ D}:AMIGA_BUS_ENABLE_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} +inst_AMIGA_BUS_ENABLE_DMA_LOW{ H}:AMIGA_BUS_ENABLE_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} inst_AS_030_D0{ I}: CIIN{ E} BG_000{ D} DSACK1{ H} - :inst_AS_000_INT{ C}inst_AS_030_000_SYNC{ C}inst_DS_000_ENABLE{ F} - : CIIN_0{ E} -inst_nEXP_SPACE_D0reg{ H}: SIZE_1_{ H} AS_030{ H} DS_030{ A} - :AMIGA_BUS_DATA_DIR{ E} SIZE_0_{ G} A0{ G} - : BG_000{ D} DSACK1{ H}inst_AS_030_000_SYNC{ C} - : N_96_i{ F} SM_AMIGA_6_{ F} CIIN_0{ E} -inst_DS_030_D0{ B}:inst_UDS_000_INT{ C}inst_LDS_000_INT{ C} -inst_AS_030_000_SYNC{ D}:inst_AS_030_000_SYNC{ C} N_96_i{ F} SM_AMIGA_6_{ F} -inst_BGACK_030_INT_D{ I}: A0{ G} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ C} + :inst_AS_000_INT{ C}inst_AS_030_000_SYNC{ C}inst_DS_000_ENABLE{ C} +inst_nEXP_SPACE_D0reg{ H}: SIZE_0_{ G} SIZE_1_{ H} AS_030{ H} + : DS_030{ A}AMIGA_BUS_DATA_DIR{ E} CIIN{ E} + : A0{ G} BG_000{ D} DSACK1{ H} + : N_165{ F}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ C} +inst_DS_030_D0{ E}:inst_UDS_000_INT{ F}inst_LDS_000_INT{ B} +inst_AS_030_000_SYNC{ D}: N_165{ F}inst_AS_030_000_SYNC{ C} SM_AMIGA_6_{ C} +inst_BGACK_030_INT_D{ I}: A0{ G} RW{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} :inst_AS_030_000_SYNC{ C} SIZE_DMA_0_{ G} SIZE_DMA_1_{ G} - :inst_AMIGA_BUS_ENABLE_DMA_HIGH{ C} + :inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} inst_AS_000_DMA{ B}: AS_030{ H}inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} : inst_CLK_030_H{ A} inst_DS_000_DMA{ B}: DS_030{ A}inst_DS_000_DMA{ A} @@ -617,104 +608,102 @@ CYCLE_DMA_0_{ B}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_0_{ A} : CYCLE_DMA_1_{ A} inst_CLK_030_H{ A} CYCLE_DMA_1_{ B}:inst_AS_000_DMA{ A}inst_DS_000_DMA{ A} CYCLE_DMA_1_{ A} : inst_CLK_030_H{ A} -SIZE_DMA_0_{ H}: SIZE_1_{ H} SIZE_0_{ G} SIZE_DMA_0_{ G} -SIZE_DMA_1_{ H}: SIZE_1_{ H} SIZE_0_{ G} SIZE_DMA_1_{ G} - inst_VPA_D{ C}: VMA{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} +SIZE_DMA_0_{ H}: SIZE_0_{ G} SIZE_1_{ H} SIZE_DMA_0_{ G} +SIZE_DMA_1_{ H}: SIZE_0_{ G} SIZE_1_{ H} SIZE_DMA_1_{ G} + inst_VPA_D{ G}: VMA{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} -inst_UDS_000_INT{ D}: UDS_000{ D}inst_UDS_000_INT{ C} -inst_LDS_000_INT{ D}: LDS_000{ D}inst_LDS_000_INT{ C} -inst_CLK_OUT_PRE_D{ F}: DSACK1{ H} -inst_DTACK_D0{ D}: SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} -inst_CLK_OUT_PRE_50{ F}:inst_CLK_OUT_PRE_D{ E}inst_CLK_OUT_PRE_50{ E} -inst_CLK_000_D1{ H}: N_96_i{ F} SM_AMIGA_6_{ F}CLK_000_P_SYNC_0_{ D} +inst_UDS_000_INT{ G}: UDS_000{ D}inst_UDS_000_INT{ F} +inst_LDS_000_INT{ C}: LDS_000{ D}inst_LDS_000_INT{ B} +inst_CLK_OUT_PRE_D{ H}: DSACK1{ H} +inst_DTACK_D0{ E}: SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} SM_AMIGA_i_7_{ F} +inst_CLK_OUT_PRE_50{ B}:inst_CLK_OUT_PRE_D{ G}inst_CLK_OUT_PRE_50{ A} +inst_CLK_000_D1{ G}: N_165{ F} SM_AMIGA_6_{ C}CLK_000_P_SYNC_0_{ D} :CLK_000_N_SYNC_0_{ D} -inst_CLK_000_D0{ E}: BG_000{ D}inst_CLK_000_D1{ G} N_96_i{ F} - : SM_AMIGA_6_{ F}CLK_000_P_SYNC_0_{ D}CLK_000_N_SYNC_0_{ D} -inst_CLK_000_PE{ G}: RW_000{ H} BGACK_030{ H} VMA{ D} +inst_CLK_000_D0{ H}: BG_000{ D} N_165{ F}inst_CLK_000_D1{ F} + : SM_AMIGA_6_{ C}CLK_000_P_SYNC_0_{ D}CLK_000_N_SYNC_0_{ D} +inst_CLK_000_PE{ E}: RW_000{ H} BGACK_030{ H} VMA{ D} : SM_AMIGA_5_{ F} CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ A} - : SM_AMIGA_3_{ F} SM_AMIGA_0_{ B} SM_AMIGA_6_{ F} + : SM_AMIGA_3_{ F} SM_AMIGA_0_{ F} SM_AMIGA_6_{ C} : SM_AMIGA_1_{ F} SM_AMIGA_4_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} -CLK_000_P_SYNC_9_{ B}:inst_CLK_000_PE{ F} -inst_CLK_000_NE{ F}: VMA{ D} RESET{ B} SM_AMIGA_5_{ F} - :inst_CLK_000_NE_D0{ D} SM_AMIGA_0_{ B} RST_DLY_0_{ B} - : RST_DLY_1_{ A} RST_DLY_2_{ B} RST_DLY_3_{ A} - : RST_DLY_4_{ A} RST_DLY_5_{ B} RST_DLY_6_{ B} - : RST_DLY_7_{ B} SM_AMIGA_1_{ F} SM_AMIGA_4_{ F} +CLK_000_P_SYNC_9_{ D}:inst_CLK_000_PE{ D} +inst_CLK_000_NE{ H}: VMA{ D} RESET{ B} SM_AMIGA_5_{ F} + :inst_CLK_000_NE_D0{ B} SM_AMIGA_0_{ F} RST_DLY_0_{ B} + : RST_DLY_1_{ A} RST_DLY_2_{ B} RST_DLY_3_{ B} + : RST_DLY_4_{ B} RST_DLY_5_{ A} RST_DLY_6_{ B} + : RST_DLY_7_{ A} SM_AMIGA_1_{ F} SM_AMIGA_4_{ F} : SM_AMIGA_i_7_{ F} - N_96_i{ G}: SM_AMIGA_i_7_{ F} -CLK_000_N_SYNC_11_{ I}:inst_CLK_000_NE{ E} +CLK_000_N_SYNC_11_{ I}:inst_CLK_000_NE{ G} cpu_est_2_{ E}: E{ G} VMA{ D} cpu_est_1_{ D} : cpu_est_2_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} IPL_D0_0_{ C}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - IPL_D0_1_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - IPL_D0_2_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} -SM_AMIGA_3_{ G}: N_96_i{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - :inst_DS_000_ENABLE{ F} SM_AMIGA_i_7_{ F} -inst_CLK_000_NE_D0{ E}: E{ G} cpu_est_0_{ F} cpu_est_1_{ D} + IPL_D0_1_{ D}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + IPL_D0_2_{ C}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} +SM_AMIGA_3_{ G}: N_165{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} + :inst_DS_000_ENABLE{ C} SM_AMIGA_i_7_{ F} +inst_CLK_000_NE_D0{ C}: E{ G} cpu_est_0_{ D} cpu_est_1_{ D} : cpu_est_2_{ D} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} -SM_AMIGA_0_{ C}: RW_000{ H} N_96_i{ F} SM_AMIGA_0_{ B} +SM_AMIGA_0_{ G}: RW_000{ H} N_165{ F} SM_AMIGA_0_{ F} : SM_AMIGA_i_7_{ F} -inst_AMIGA_BUS_ENABLE_DMA_HIGH{ D}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ C} -SM_AMIGA_6_{ G}: SM_AMIGA_5_{ F}inst_UDS_000_INT{ C}inst_LDS_000_INT{ C} - : N_96_i{ F} SM_AMIGA_6_{ F} SM_AMIGA_i_7_{ F} +inst_AMIGA_BUS_ENABLE_DMA_HIGH{ H}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} +SM_AMIGA_6_{ D}: N_165{ F} SM_AMIGA_5_{ F}inst_UDS_000_INT{ F} + :inst_LDS_000_INT{ B} SM_AMIGA_6_{ C} SM_AMIGA_i_7_{ F} RST_DLY_0_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ A} RST_DLY_4_{ A} - : RST_DLY_5_{ B} RST_DLY_6_{ B} RST_DLY_7_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} + : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} RST_DLY_1_{ B}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ A} RST_DLY_4_{ A} - : RST_DLY_5_{ B} RST_DLY_6_{ B} RST_DLY_7_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} + : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} RST_DLY_2_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ A} RST_DLY_4_{ A} - : RST_DLY_5_{ B} RST_DLY_6_{ B} RST_DLY_7_{ B} - RST_DLY_3_{ B}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ A} RST_DLY_4_{ A} - : RST_DLY_5_{ B} RST_DLY_6_{ B} RST_DLY_7_{ B} - RST_DLY_4_{ B}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ A} RST_DLY_4_{ A} - : RST_DLY_5_{ B} RST_DLY_6_{ B} RST_DLY_7_{ B} - RST_DLY_5_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ A} RST_DLY_4_{ A} - : RST_DLY_5_{ B} RST_DLY_6_{ B} RST_DLY_7_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} + : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} + RST_DLY_3_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} + : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} + : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} + RST_DLY_4_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} + : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} + : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} + RST_DLY_5_{ B}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} + : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} + : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} RST_DLY_6_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ A} RST_DLY_4_{ A} - : RST_DLY_5_{ B} RST_DLY_6_{ B} RST_DLY_7_{ B} - RST_DLY_7_{ C}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} - : RST_DLY_2_{ B} RST_DLY_3_{ A} RST_DLY_4_{ A} - : RST_DLY_5_{ B} RST_DLY_6_{ B} RST_DLY_7_{ B} + : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} + : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} + RST_DLY_7_{ B}: RESET{ B} RST_DLY_0_{ B} RST_DLY_1_{ A} + : RST_DLY_2_{ B} RST_DLY_3_{ B} RST_DLY_4_{ B} + : RST_DLY_5_{ A} RST_DLY_6_{ B} RST_DLY_7_{ A} CLK_000_P_SYNC_0_{ E}:CLK_000_P_SYNC_1_{ G} -CLK_000_P_SYNC_1_{ H}:CLK_000_P_SYNC_2_{ G} -CLK_000_P_SYNC_2_{ H}:CLK_000_P_SYNC_3_{ B} -CLK_000_P_SYNC_3_{ C}:CLK_000_P_SYNC_4_{ B} -CLK_000_P_SYNC_4_{ C}:CLK_000_P_SYNC_5_{ G} -CLK_000_P_SYNC_5_{ H}:CLK_000_P_SYNC_6_{ G} -CLK_000_P_SYNC_6_{ H}:CLK_000_P_SYNC_7_{ A} -CLK_000_P_SYNC_7_{ B}:CLK_000_P_SYNC_8_{ A} -CLK_000_P_SYNC_8_{ B}:CLK_000_P_SYNC_9_{ A} -CLK_000_N_SYNC_0_{ E}:CLK_000_N_SYNC_1_{ B} -CLK_000_N_SYNC_1_{ C}:CLK_000_N_SYNC_2_{ D} -CLK_000_N_SYNC_2_{ E}:CLK_000_N_SYNC_3_{ D} -CLK_000_N_SYNC_3_{ E}:CLK_000_N_SYNC_4_{ A} -CLK_000_N_SYNC_4_{ B}:CLK_000_N_SYNC_5_{ C} -CLK_000_N_SYNC_5_{ D}:CLK_000_N_SYNC_6_{ D} -CLK_000_N_SYNC_6_{ E}:CLK_000_N_SYNC_7_{ D} -CLK_000_N_SYNC_7_{ E}:CLK_000_N_SYNC_8_{ A} +CLK_000_P_SYNC_1_{ H}:CLK_000_P_SYNC_2_{ B} +CLK_000_P_SYNC_2_{ C}:CLK_000_P_SYNC_3_{ C} +CLK_000_P_SYNC_3_{ D}:CLK_000_P_SYNC_4_{ B} +CLK_000_P_SYNC_4_{ C}:CLK_000_P_SYNC_5_{ E} +CLK_000_P_SYNC_5_{ F}:CLK_000_P_SYNC_6_{ E} +CLK_000_P_SYNC_6_{ F}:CLK_000_P_SYNC_7_{ G} +CLK_000_P_SYNC_7_{ H}:CLK_000_P_SYNC_8_{ C} +CLK_000_P_SYNC_8_{ D}:CLK_000_P_SYNC_9_{ C} +CLK_000_N_SYNC_0_{ E}:CLK_000_N_SYNC_1_{ A} +CLK_000_N_SYNC_1_{ B}:CLK_000_N_SYNC_2_{ A} +CLK_000_N_SYNC_2_{ B}:CLK_000_N_SYNC_3_{ A} +CLK_000_N_SYNC_3_{ B}:CLK_000_N_SYNC_4_{ D} +CLK_000_N_SYNC_4_{ E}:CLK_000_N_SYNC_5_{ A} +CLK_000_N_SYNC_5_{ B}:CLK_000_N_SYNC_6_{ D} +CLK_000_N_SYNC_6_{ E}:CLK_000_N_SYNC_7_{ E} +CLK_000_N_SYNC_7_{ F}:CLK_000_N_SYNC_8_{ A} CLK_000_N_SYNC_8_{ B}:CLK_000_N_SYNC_9_{ G} CLK_000_N_SYNC_9_{ H}: DSACK1{ H}CLK_000_N_SYNC_10_{ H} CLK_000_N_SYNC_10_{ I}: DSACK1{ H}CLK_000_N_SYNC_11_{ H} inst_CLK_030_H{ B}:inst_DS_000_DMA{ A} inst_CLK_030_H{ A} -SM_AMIGA_1_{ G}: DSACK1{ H} N_96_i{ F} SM_AMIGA_0_{ B} +SM_AMIGA_1_{ G}: DSACK1{ H} N_165{ F} SM_AMIGA_0_{ F} : SM_AMIGA_1_{ F} SM_AMIGA_i_7_{ F} -SM_AMIGA_4_{ G}: N_96_i{ F} SM_AMIGA_3_{ F} SM_AMIGA_4_{ F} +SM_AMIGA_4_{ G}: N_165{ F} SM_AMIGA_3_{ F} SM_AMIGA_4_{ F} : SM_AMIGA_i_7_{ F} -SM_AMIGA_2_{ G}: N_96_i{ F} SM_AMIGA_1_{ F} SM_AMIGA_2_{ F} +SM_AMIGA_2_{ G}: N_165{ F} SM_AMIGA_1_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_i_7_{ F} -inst_DS_000_ENABLE{ G}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ F} +inst_DS_000_ENABLE{ D}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ C} SM_AMIGA_i_7_{ G}:AMIGA_BUS_ENABLE_HIGH{ D} RW_000{ H}inst_AS_030_000_SYNC{ C} - : SM_AMIGA_6_{ F} - CIIN_0{ F}: CIIN{ E} + : SM_AMIGA_6_{ C} ----------------------------------------------------------------------------- {.} : Indicates block location of signal @@ -733,25 +722,23 @@ Equations : | | | | | DS_030 | | | | | AVEC | * | S | BS | BR | inst_AS_000_DMA -| * | S | BS | BR | RST_DLY_3_ +| * | S | BS | BR | RST_DLY_5_ | * | S | BS | BR | RST_DLY_1_ -| * | S | BS | BR | RST_DLY_4_ +| * | S | BS | BR | RST_DLY_7_ +| * | S | BS | BR | inst_CLK_OUT_PRE_50 | * | S | BS | BR | inst_DS_000_DMA | * | S | BS | BR | inst_CLK_030_H | * | S | BS | BR | CYCLE_DMA_1_ | * | S | BS | BR | CYCLE_DMA_0_ | * | S | BS | BR | CLK_000_N_SYNC_8_ -| * | S | BS | BR | CLK_000_N_SYNC_4_ -| * | S | BS | BR | CLK_000_P_SYNC_8_ -| * | S | BS | BR | CLK_000_P_SYNC_7_ -| * | S | BS | BR | CLK_000_P_SYNC_9_ -| * | S | BS | BR | inst_DS_030_D0 +| * | S | BS | BR | CLK_000_N_SYNC_5_ +| * | S | BS | BR | CLK_000_N_SYNC_3_ +| * | S | BS | BR | CLK_000_N_SYNC_2_ +| * | S | BS | BR | CLK_000_N_SYNC_1_ | | | | | A_19_ | | | | | A_16_ | | | | | A_18_ | | | | | FPU_SENSE -| | | | | A_21_ -| | | | | A_20_ Block B @@ -761,26 +748,26 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ -| * | S | BS | BR | IPL_030_2_ -| * | S | BS | BR | IPL_030_0_ -| * | S | BS | BR | IPL_030_1_ -| * | S | BS | BR | RESET +| * | S | BS | BR | RST_DLY_3_ | | | | | CLK_EXP -| * | S | BS | BR | RN_RESET -| * | S | BS | BR | SM_AMIGA_0_ -| * | S | BS | BR | RST_DLY_2_ -| * | S | BS | BR | RST_DLY_5_ -| * | S | BS | BR | RST_DLY_6_ -| * | S | BS | BR | RST_DLY_0_ -| * | S | BS | BR | RST_DLY_7_ -| * | S | BS | BR | inst_VPA_D -| * | S | BS | BR | RN_IPL_030_0_ -| * | S | BS | BR | RN_IPL_030_1_ -| * | S | BS | BR | RN_IPL_030_2_ -| * | S | BS | BR | CLK_000_N_SYNC_1_ +| * | S | BS | BR | IPL_030_2_ +| * | S | BS | BR | CLK_000_P_SYNC_2_ | * | S | BS | BR | CLK_000_P_SYNC_4_ -| * | S | BS | BR | CLK_000_P_SYNC_3_ +| * | S | BS | BR | RST_DLY_2_ +| * | S | BS | BR | IPL_030_1_ | * | S | BS | BR | IPL_D0_0_ +| * | S | BS | BR | IPL_030_0_ +| * | S | BS | BR | inst_LDS_000_INT +| * | S | BS | BR | RST_DLY_0_ +| * | S | BS | BR | RST_DLY_6_ +| * | S | BS | BR | RST_DLY_4_ +| * | S | BS | BR | RESET +| * | S | BS | BR | IPL_D0_2_ +| * | S | BS | BR | inst_CLK_000_NE_D0 +| * | S | BS | BR | RN_IPL_030_2_ +| * | S | BS | BR | RN_IPL_030_1_ +| * | S | BS | BR | RN_IPL_030_0_ +| * | S | BS | BR | RN_RESET | | | | | A_29_ | | | | | A_30_ | | | | | A_31_ @@ -794,14 +781,14 @@ Equations : | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ | | | | | AMIGA_BUS_ENABLE_LOW +| * | S | BS | BR | SM_AMIGA_6_ | * | S | BS | BR | inst_AS_030_000_SYNC -| * | S | BS | BR | inst_LDS_000_INT -| * | S | BS | BR | inst_UDS_000_INT -| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_HIGH +| * | S | BS | BR | inst_DS_000_ENABLE | * | S | BS | BR | inst_AS_000_INT -| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_LOW -| * | S | BS | BR | CLK_000_N_SYNC_5_ -| * | S | BS | BR | inst_DTACK_D0 +| * | S | BS | BR | CLK_000_P_SYNC_8_ +| * | S | BS | BR | CLK_000_P_SYNC_3_ +| * | S | BS | BR | IPL_D0_1_ +| * | S | BS | BR | CLK_000_P_SYNC_9_ | | | | | BG_030 | | | | | A_24_ | | | | | A_25_ @@ -823,18 +810,18 @@ Equations : | | | | | AMIGA_BUS_ENABLE_HIGH | * | S | BS | BR | BG_000 | | | | | AMIGA_ADDR_ENABLE +| * | S | BS | BR | inst_CLK_000_PE | * | S | BS | BR | cpu_est_1_ | * | S | BS | BR | cpu_est_2_ -| * | S | BS | BR | inst_CLK_000_NE_D0 -| * | S | BS | BR | inst_CLK_000_D0 +| * | S | BS | BR | cpu_est_0_ | * | S | BS | BR | RN_VMA +| * | S | BS | BR | inst_DS_030_D0 | * | S | BS | BR | RN_BG_000 -| * | S | BS | BR | CLK_000_N_SYNC_7_ | * | S | BS | BR | CLK_000_N_SYNC_6_ -| * | S | BS | BR | CLK_000_N_SYNC_3_ -| * | S | BS | BR | CLK_000_N_SYNC_2_ +| * | S | BS | BR | CLK_000_N_SYNC_4_ | * | S | BS | BR | CLK_000_N_SYNC_0_ | * | S | BS | BR | CLK_000_P_SYNC_0_ +| * | S | BS | BR | inst_DTACK_D0 | | | | | BGACK_000 | | | | | DTACK @@ -846,14 +833,13 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ -| | | | | BERR | | | | | AS_000 +| | | | | BERR | | | | | AMIGA_BUS_DATA_DIR | | | | | CIIN -| * | S | BS | BR | inst_CLK_000_NE -| | | | | CIIN_0 -| * | S | BS | BR | inst_CLK_OUT_PRE_50 -| * | S | BS | BR | inst_CLK_OUT_PRE_D +| * | S | BS | BR | CLK_000_N_SYNC_7_ +| * | S | BS | BR | CLK_000_P_SYNC_6_ +| * | S | BS | BR | CLK_000_P_SYNC_5_ Block F @@ -863,16 +849,16 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ -| * | S | BS | BR | inst_CLK_000_PE | * | S | BS | BR | SM_AMIGA_i_7_ -| * | S | BS | BR | SM_AMIGA_1_ | * | S | BS | BR | SM_AMIGA_5_ -| * | S | BS | BR | cpu_est_0_ -| * | S | BS | BR | inst_DS_000_ENABLE -| * | S | BS | BR | SM_AMIGA_6_ +| * | S | BS | BR | inst_CLK_000_D1 | * | S | BS | BR | SM_AMIGA_3_ +| * | S | BS | BR | SM_AMIGA_1_ +| * | S | BS | BR | inst_UDS_000_INT +| * | S | BS | BR | SM_AMIGA_0_ +| * | S | BS | BR | inst_VPA_D | * | S | BS | BR | SM_AMIGA_2_ -| | | | | N_96_i +| | | | | N_165 | * | S | BS | BR | SM_AMIGA_4_ | | | | | A_17_ | | | | | FC_1_ @@ -888,25 +874,25 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ -| * | S | BS | BR | RW | * | S | BS | BR | A0 +| * | S | BS | BR | RW | | | | | SIZE_0_ | * | S | BS | BR | E | | | | | CLK_DIV_OUT | * | S | BS | BR | inst_nEXP_SPACE_D0reg +| * | S | BS | BR | inst_CLK_000_NE | * | S | BS | BR | RN_E +| * | S | BS | BR | inst_CLK_000_D0 | * | S | BS | BR | SIZE_DMA_1_ | * | S | BS | BR | SIZE_DMA_0_ -| * | S | BS | BR | inst_CLK_000_D1 +| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_HIGH +| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_LOW | * | S | BS | BR | RN_A0 | * | S | BS | BR | RN_RW | * | S | BS | BR | CLK_000_N_SYNC_9_ -| * | S | BS | BR | CLK_000_P_SYNC_6_ -| * | S | BS | BR | CLK_000_P_SYNC_5_ -| * | S | BS | BR | CLK_000_P_SYNC_2_ +| * | S | BS | BR | CLK_000_P_SYNC_7_ | * | S | BS | BR | CLK_000_P_SYNC_1_ -| * | S | BS | BR | IPL_D0_2_ -| * | S | BS | BR | IPL_D0_1_ +| * | S | BS | BR | inst_CLK_OUT_PRE_D | | | | | IPL_2_ | | | | | IPL_0_ @@ -931,8 +917,6 @@ Equations : | * | S | BS | BR | RN_RW_000 | * | S | BS | BR | CLK_000_N_SYNC_10_ | * | S | BS | BR | CLK_000_N_SYNC_11_ -| | | | | A_23_ -| | | | | A_22_ (S) means the macrocell is configured in synchronous mode @@ -949,23 +933,23 @@ BLOCK_A_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx A0 CYCLE_DMA_1_ mcell A2 mx A17 ... ... -mx A1CLK_000_P_SYNC_7_ mcell A7 mx A18 RST_DLY_4_ mcell A5 -mx A2 RST_DLY_7_ mcell B10 mx A19 inst_DS_000_DMA mcell A9 -mx A3inst_nEXP_SPACE_D0reg mcell G5 mx A20CLK_000_N_SYNC_7_ mcell D10 -mx A4 CLK_030 pin 64 mx A21 RST_DLY_1_ mcell A1 -mx A5 DS_030 pin 98 mx A22CLK_000_P_SYNC_6_ mcell G10 +mx A0 RST pin 86 mx A17 RST_DLY_6_ mcell B11 +mx A1 RST_DLY_4_ mcell B12 mx A18 RST_DLY_7_ mcell A5 +mx A2 RST_DLY_0_ mcell B10 mx A19inst_CLK_OUT_PRE_50 mcell A9 +mx A3 inst_AS_000_DMA mcell A8 mx A20 UDS_000 pin 32 +mx A4 CLK_030 pin 64 mx A21CLK_000_N_SYNC_0_ mcell D7 +mx A5 ... ... mx A22CLK_000_N_SYNC_4_ mcell D3 mx A6 RW_000 pin 80 mx A23 RN_BGACK_030 mcell H4 -mx A7 ... ... mx A24 RST pin 86 -mx A8 inst_CLK_000_NE mcell E8 mx A25 inst_CLK_030_H mcell A13 -mx A9 RST_DLY_3_ mcell A12 mx A26 AS_000 pin 42 -mx A10 RST_DLY_6_ mcell B2 mx A27 LDS_000 pin 31 -mx A11 RST_DLY_0_ mcell B6 mx A28 RST_DLY_5_ mcell B13 -mx A12 UDS_000 pin 32 mx A29 RN_RESET mcell B0 -mx A13CLK_000_N_SYNC_3_ mcell D3 mx A30 inst_AS_000_DMA mcell A8 -mx A14 ... ... mx A31 inst_CLK_000_PE mcell F0 -mx A15 CYCLE_DMA_0_ mcell A6 mx A32CLK_000_P_SYNC_8_ mcell A3 -mx A16 RST_DLY_2_ mcell B9 +mx A7CLK_000_N_SYNC_2_ mcell A11 mx A24 LDS_000 pin 31 +mx A8CLK_000_N_SYNC_7_ mcell E8 mx A25 inst_CLK_030_H mcell A2 +mx A9 RST_DLY_1_ mcell A1 mx A26 RST_DLY_3_ mcell B0 +mx A10 CYCLE_DMA_1_ mcell A6 mx A27 inst_CLK_000_NE mcell G9 +mx A11 ... ... mx A28 inst_DS_000_DMA mcell A13 +mx A12 inst_CLK_000_PE mcell D9 mx A29 RN_RESET mcell B14 +mx A13CLK_000_N_SYNC_1_ mcell A15 mx A30 ... ... +mx A14 CYCLE_DMA_0_ mcell A10 mx A31 RST_DLY_2_ mcell B5 +mx A15 RST_DLY_5_ mcell A12 mx A32inst_nEXP_SPACE_D0reg mcell G5 +mx A16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -973,22 +957,22 @@ BLOCK_B_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx B0 RST pin 86 mx B17 RN_IPL_030_0_ mcell B8 -mx B1 BERR pin 41 mx B18 IPL_D0_1_ mcell G15 -mx B2 RST_DLY_7_ mcell B10 mx B19CLK_000_N_SYNC_0_ mcell D11 -mx B3 IPL_1_ pin 56 mx B20 CLK_030 pin 64 -mx B4 IPL_2_ pin 68 mx B21 RST_DLY_1_ mcell A1 -mx B5 inst_CLK_000_PE mcell F0 mx B22 ... ... -mx B6 RST_DLY_2_ mcell B9 mx B23 ... ... -mx B7CLK_000_P_SYNC_3_ mcell B11 mx B24CLK_000_P_SYNC_2_ mcell G3 -mx B8 inst_CLK_000_NE mcell E8 mx B25 RST_DLY_6_ mcell B2 -mx B9 IPL_D0_2_ mcell G11 mx B26 RN_RESET mcell B0 -mx B10 RST_DLY_5_ mcell B13 mx B27 IPL_D0_0_ mcell B15 -mx B11 RST_DLY_0_ mcell B6 mx B28 RST_DLY_4_ mcell A5 -mx B12 RN_IPL_030_1_ mcell B12 mx B29 ... ... -mx B13 VPA pin 36 mx B30 RN_IPL_030_2_ mcell B4 -mx B14 ... ... mx B31 SM_AMIGA_0_ mcell B5 -mx B15 RST_DLY_3_ mcell A12 mx B32 SM_AMIGA_1_ mcell F8 +mx B0 A0 pin 69 mx B17 SIZE_0_ pin 70 +mx B1 RST_DLY_4_ mcell B12 mx B18 RN_IPL_030_2_ mcell B2 +mx B2 inst_DS_030_D0 mcell D10 mx B19 ... ... +mx B3CLK_000_P_SYNC_3_ mcell C9 mx B20 SIZE_1_ pin 79 +mx B4 CLK_030 pin 64 mx B21 IPL_1_ pin 56 +mx B5 ... ... mx B22 IPL_2_ pin 68 +mx B6inst_LDS_000_INT mcell B9 mx B23 ... ... +mx B7 RST_DLY_6_ mcell B11 mx B24 RST pin 86 +mx B8 RN_IPL_030_0_ mcell B8 mx B25 ... ... +mx B9 RST_DLY_1_ mcell A1 mx B26 RST_DLY_3_ mcell B0 +mx B10 IPL_D0_2_ mcell B13 mx B27 inst_CLK_000_NE mcell G9 +mx B11 RN_IPL_030_1_ mcell B6 mx B28 RST_DLY_7_ mcell A5 +mx B12 RST_DLY_0_ mcell B10 mx B29 RN_RESET mcell B14 +mx B13CLK_000_P_SYNC_1_ mcell G11 mx B30 IPL_D0_1_ mcell C13 +mx B14 SM_AMIGA_6_ mcell C4 mx B31 RST_DLY_2_ mcell B5 +mx B15 RST_DLY_5_ mcell A12 mx B32 IPL_D0_0_ mcell B7 mx B16 IPL_0_ pin 67 ---------------------------------------------------------------------------- @@ -997,23 +981,23 @@ BLOCK_C_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx C0 A0 pin 69 mx C17 A_18_ pin 95 -mx C1 FC_1_ pin 58 mx C18 SM_AMIGA_6_ mcell F9 -mx C2 ... ... mx C19inst_BGACK_030_INT_D mcell H13 -mx C3CLK_000_N_SYNC_4_ mcell A14 mx C20 RN_BGACK_030 mcell H4 -mx C4 inst_AS_030_D0 mcell H5 mx C21 RST pin 86 -mx C5 ... ... mx C22inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell C1 -mx C6 A_16_ pin 96 mx C23inst_AMIGA_BUS_ENABLE_DMA_LOW mcell C9 -mx C7 inst_AS_000_INT mcell C5 mx C24 FC_0_ pin 57 -mx C8 A_17_ pin 59 mx C25 BERR pin 41 -mx C9 DTACK pin 30 mx C26 ... ... -mx C10 SM_AMIGA_i_7_ mcell F4 mx C27 SIZE_1_ pin 79 -mx C11 A1 pin 60 mx C28 ... ... -mx C12 A_19_ pin 97 mx C29inst_AS_030_000_SYNC mcell C4 -mx C13 inst_DS_030_D0 mcell A15 mx C30 ... ... -mx C14 SIZE_0_ pin 70 mx C31 SM_AMIGA_5_ mcell F12 -mx C15inst_UDS_000_INT mcell C12 mx C32inst_nEXP_SPACE_D0reg mcell G5 -mx C16inst_LDS_000_INT mcell C8 +mx C0 RST pin 86 mx C17 SM_AMIGA_3_ mcell F12 +mx C1 BERR pin 41 mx C18 ... ... +mx C2 inst_CLK_000_D1 mcell F8 mx C19inst_BGACK_030_INT_D mcell H13 +mx C3 IPL_1_ pin 56 mx C20 RN_BGACK_030 mcell H4 +mx C4inst_DS_000_ENABLE mcell C12 mx C21 ... ... +mx C5CLK_000_P_SYNC_2_ mcell B3 mx C22 inst_AS_000_INT mcell C1 +mx C6 A_16_ pin 96 mx C23 ... ... +mx C7 inst_CLK_000_PE mcell D9 mx C24 FC_0_ pin 57 +mx C8 A_17_ pin 59 mx C25 SM_AMIGA_i_7_ mcell F0 +mx C9 inst_CLK_000_D0 mcell G13 mx C26CLK_000_P_SYNC_7_ mcell G7 +mx C10inst_AMIGA_BUS_ENABLE_DMA_LOW mcell G14 mx C27 A_19_ pin 97 +mx C11 RW pin 71 mx C28 ... ... +mx C12 FC_1_ pin 58 mx C29 SM_AMIGA_6_ mcell C4 +mx C13 inst_AS_030_D0 mcell H5 mx C30 ... ... +mx C14 SM_AMIGA_5_ mcell F4 mx C31 A_18_ pin 95 +mx C15CLK_000_P_SYNC_8_ mcell C5 mx C32inst_nEXP_SPACE_D0reg mcell G5 +mx C16inst_AS_030_000_SYNC mcell C8 ---------------------------------------------------------------------------- @@ -1021,23 +1005,23 @@ BLOCK_D_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx D0 RN_BGACK_030 mcell H4 mx D17 RN_BG_000 mcell D1 -mx D1 cpu_est_2_ mcell D13 mx D18 RN_VMA mcell D0 -mx D2 RN_E mcell G4 mx D19 ... ... -mx D3inst_nEXP_SPACE_D0reg mcell G5 mx D20 inst_VPA_D mcell B14 -mx D4 inst_CLK_000_D0 mcell D6 mx D21 RST pin 86 -mx D5 DS_030 pin 98 mx D22inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell C1 -mx D6 ... ... mx D23 inst_CLK_000_D1 mcell G2 -mx D7inst_LDS_000_INT mcell C8 mx D24 CLK_000 pin 11 -mx D8CLK_000_N_SYNC_2_ mcell D7 mx D25 inst_CLK_000_PE mcell F0 -mx D9inst_DS_000_ENABLE mcell F5 mx D26 RN_RESET mcell B0 -mx D10CLK_000_N_SYNC_6_ mcell D14 mx D27 inst_AS_030_D0 mcell H5 -mx D11CLK_000_N_SYNC_5_ mcell C13 mx D28inst_CLK_000_NE_D0 mcell D2 -mx D12 cpu_est_1_ mcell D9 mx D29 SM_AMIGA_i_7_ mcell F4 -mx D13CLK_000_N_SYNC_1_ mcell B3 mx D30 cpu_est_0_ mcell F1 +mx D0 RST pin 86 mx D17 RN_BG_000 mcell D1 +mx D1CLK_000_N_SYNC_3_ mcell A7 mx D18 RN_VMA mcell D0 +mx D2CLK_000_P_SYNC_9_ mcell C2 mx D19 ... ... +mx D3 cpu_est_2_ mcell D2 mx D20 inst_CLK_000_D1 mcell F8 +mx D4inst_DS_000_ENABLE mcell C12 mx D21 cpu_est_1_ mcell D13 +mx D5 DS_030 pin 98 mx D22inst_nEXP_SPACE_D0reg mcell G5 +mx D6CLK_000_N_SYNC_5_ mcell A3 mx D23 RN_BGACK_030 mcell H4 +mx D7 inst_CLK_000_PE mcell D9 mx D24 ... ... +mx D8inst_CLK_000_NE_D0 mcell B15 mx D25 SM_AMIGA_i_7_ mcell F0 +mx D9 DTACK pin 30 mx D26 ... ... +mx D10 inst_CLK_000_NE mcell G9 mx D27inst_UDS_000_INT mcell F5 +mx D11 RN_E mcell G4 mx D28inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G10 +mx D12 inst_CLK_000_D0 mcell G13 mx D29 RN_RESET mcell B14 +mx D13 inst_AS_030_D0 mcell H5 mx D30 cpu_est_0_ mcell D6 mx D14 BG_030 pin 21 mx D31 ... ... -mx D15inst_UDS_000_INT mcell C12 mx D32 ... ... -mx D16 inst_CLK_000_NE mcell E8 +mx D15 inst_VPA_D mcell F13 mx D32 ... ... +mx D16inst_LDS_000_INT mcell B9 ---------------------------------------------------------------------------- @@ -1045,22 +1029,22 @@ BLOCK_E_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx E0 RN_BGACK_030 mcell H4 mx E17 A_26_ pin 17 -mx E1 FC_1_ pin 58 mx E18 A_23_ pin 85 -mx E2inst_CLK_OUT_PRE_50 mcell E9 mx E19 AS_030 pin 82 -mx E3 A_25_ pin 18 mx E20 A_24_ pin 19 -mx E4 A_29_ pin 6 mx E21 A_27_ pin 16 -mx E5 A_21_ pin 94 mx E22inst_nEXP_SPACE_D0reg mcell G5 -mx E6 RW_000 pin 80 mx E23 ... ... -mx E7 A_28_ pin 15 mx E24 FC_0_ pin 57 -mx E8 FPU_SENSE pin 91 mx E25 A_31_ pin 4 -mx E9 A_22_ pin 84 mx E26 RN_RESET mcell B0 -mx E10 ... ... mx E27 inst_AS_030_D0 mcell H5 -mx E11 A_16_ pin 96 mx E28 A_30_ pin 5 -mx E12 A_19_ pin 97 mx E29 A_20_ pin 93 -mx E13 A_17_ pin 59 mx E30CLK_000_N_SYNC_11_ mcell H6 -mx E14 CIIN_0 mcell E5 mx E31 A_18_ pin 95 -mx E15 inst_AS_000_INT mcell C5 mx E32 BGACK_000 pin 28 +mx E0CLK_000_P_SYNC_4_ mcell B4 mx E17 FC_0_ pin 57 +mx E1 A_31_ pin 4 mx E18 BGACK_000 pin 28 +mx E2CLK_000_P_SYNC_5_ mcell E9 mx E19 A_30_ pin 5 +mx E3inst_nEXP_SPACE_D0reg mcell G5 mx E20 FC_1_ pin 58 +mx E4 inst_AS_030_D0 mcell H5 mx E21 A_27_ pin 16 +mx E5 A_24_ pin 19 mx E22 inst_AS_000_INT mcell C1 +mx E6 A_16_ pin 96 mx E23 RN_BGACK_030 mcell H4 +mx E7 A_28_ pin 15 mx E24 ... ... +mx E8 A_17_ pin 59 mx E25 ... ... +mx E9 A_26_ pin 17 mx E26 ... ... +mx E10CLK_000_N_SYNC_6_ mcell D14 mx E27 A_19_ pin 97 +mx E11 FPU_SENSE pin 91 mx E28 RW_000 pin 80 +mx E12 A_25_ pin 18 mx E29 RN_RESET mcell B14 +mx E13 A_29_ pin 6 mx E30 ... ... +mx E14 ... ... mx E31 A_18_ pin 95 +mx E15 ... ... mx E32 AS_030 pin 82 mx E16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -1069,23 +1053,23 @@ BLOCK_F_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx F0 RST pin 86 mx F17 SM_AMIGA_5_ mcell F12 -mx F1 BERR pin 41 mx F18 SM_AMIGA_6_ mcell F9 +mx F0 RST pin 86 mx F17 SM_AMIGA_3_ mcell F12 +mx F1 BERR pin 41 mx F18 SM_AMIGA_0_ mcell F9 mx F2 RN_E mcell G4 mx F19 SM_AMIGA_4_ mcell F10 -mx F3inst_nEXP_SPACE_D0reg mcell G5 mx F20 inst_VPA_D mcell B14 -mx F4 inst_CLK_000_D0 mcell D6 mx F21 cpu_est_2_ mcell D13 -mx F5 inst_CLK_000_PE mcell F0 mx F22 inst_DTACK_D0 mcell C2 -mx F6 SM_AMIGA_3_ mcell F13 mx F23 inst_CLK_000_D1 mcell G2 -mx F7CLK_000_P_SYNC_9_ mcell A11 mx F24 ... ... -mx F8 inst_CLK_000_NE mcell E8 mx F25 RW pin 71 -mx F9 SM_AMIGA_2_ mcell F2 mx F26 RN_VMA mcell D0 -mx F10 SM_AMIGA_i_7_ mcell F4 mx F27 ... ... -mx F11 N_96_i mcell F6 mx F28 SM_AMIGA_0_ mcell B5 -mx F12 cpu_est_1_ mcell D9 mx F29inst_AS_030_000_SYNC mcell C4 -mx F13 inst_AS_030_D0 mcell H5 mx F30 ... ... -mx F14inst_DS_000_ENABLE mcell F5 mx F31 ... ... -mx F15 cpu_est_0_ mcell F1 mx F32 SM_AMIGA_1_ mcell F8 -mx F16inst_CLK_000_NE_D0 mcell D2 +mx F3 cpu_est_2_ mcell D2 mx F20 inst_DS_030_D0 mcell D10 +mx F4 cpu_est_0_ mcell D6 mx F21 cpu_est_1_ mcell D13 +mx F5 ... ... mx F22inst_nEXP_SPACE_D0reg mcell G5 +mx F6 inst_VPA_D mcell F13 mx F23 ... ... +mx F7inst_AS_030_000_SYNC mcell C8 mx F24 ... ... +mx F8inst_CLK_000_NE_D0 mcell B15 mx F25 inst_CLK_000_PE mcell D9 +mx F9inst_UDS_000_INT mcell F5 mx F26 RN_VMA mcell D0 +mx F10 SM_AMIGA_1_ mcell F1 mx F27 inst_CLK_000_NE mcell G9 +mx F11 N_165 mcell F6 mx F28 SM_AMIGA_2_ mcell F2 +mx F12 inst_CLK_000_D0 mcell G13 mx F29 SM_AMIGA_5_ mcell F4 +mx F13 VPA pin 36 mx F30 ... ... +mx F14 SM_AMIGA_6_ mcell C4 mx F31 inst_DTACK_D0 mcell D15 +mx F15 A0 pin 69 mx F32 inst_CLK_000_D1 mcell F8 +mx F16 ... ... ---------------------------------------------------------------------------- @@ -1093,23 +1077,23 @@ BLOCK_G_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx G0 RST pin 86 mx G17 RN_RW mcell G0 -mx G1 cpu_est_2_ mcell D13 mx G18 ... ... -mx G2 RN_E mcell G4 mx G19 ... ... -mx G3 IPL_1_ pin 56 mx G20 RN_BGACK_030 mcell H4 -mx G4 IPL_2_ pin 68 mx G21CLK_000_P_SYNC_0_ mcell D15 -mx G5 nEXP_SPACE pin 14 mx G22inst_nEXP_SPACE_D0reg mcell G5 -mx G6 RW_000 pin 80 mx G23 ... ... -mx G7inst_BGACK_030_INT_D mcell H13 mx G24CLK_000_P_SYNC_4_ mcell B7 -mx G8 UDS_000 pin 32 mx G25 cpu_est_1_ mcell D9 -mx G9 SIZE_DMA_0_ mcell G13 mx G26 RN_RESET mcell B0 -mx G10 SIZE_DMA_1_ mcell G9 mx G27 LDS_000 pin 31 -mx G11CLK_000_P_SYNC_5_ mcell G14 mx G28inst_CLK_000_NE_D0 mcell D2 -mx G12CLK_000_P_SYNC_1_ mcell G7 mx G29 ... ... +mx G0 RN_BGACK_030 mcell H4 mx G17 RN_RW mcell G0 +mx G1 cpu_est_1_ mcell D13 mx G18 ... ... +mx G2 RN_E mcell G4 mx G19inst_CLK_OUT_PRE_50 mcell A9 +mx G3CLK_000_P_SYNC_0_ mcell D11 mx G20CLK_000_N_SYNC_8_ mcell A14 +mx G4 cpu_est_0_ mcell D6 mx G21 RST pin 86 +mx G5 SIZE_DMA_0_ mcell G6 mx G22inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G10 +mx G6 RW_000 pin 80 mx G23 SIZE_DMA_1_ mcell G2 +mx G7CLK_000_N_SYNC_11_ mcell H6 mx G24 LDS_000 pin 31 +mx G8inst_CLK_000_NE_D0 mcell B15 mx G25 ... ... +mx G9 RN_RESET mcell B14 mx G26CLK_000_P_SYNC_6_ mcell E5 +mx G10inst_AMIGA_BUS_ENABLE_DMA_LOW mcell G14 mx G27 ... ... +mx G11 A1 pin 60 mx G28inst_BGACK_030_INT_D mcell H13 +mx G12 UDS_000 pin 32 mx G29 ... ... mx G13 RN_A0 mcell G8 mx G30 ... ... -mx G14CLK_000_N_SYNC_8_ mcell A10 mx G31 ... ... -mx G15 cpu_est_0_ mcell F1 mx G32 ... ... -mx G16 inst_CLK_000_D0 mcell D6 +mx G14 CLK_000 pin 11 mx G31 ... ... +mx G15 nEXP_SPACE pin 14 mx G32inst_nEXP_SPACE_D0reg mcell G5 +mx G16 cpu_est_2_ mcell D2 ---------------------------------------------------------------------------- @@ -1117,22 +1101,22 @@ BLOCK_H_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx H0 RN_BGACK_030 mcell H4 mx H17 A_18_ pin 95 +mx H0 RN_BGACK_030 mcell H4 mx H17 FC_0_ pin 57 mx H1 BERR pin 41 mx H18 BGACK_000 pin 28 -mx H2inst_CLK_OUT_PRE_D mcell E13 mx H19 AS_030 pin 82 +mx H2inst_CLK_OUT_PRE_D mcell G15 mx H19 AS_030 pin 82 mx H3 inst_AS_000_DMA mcell A8 mx H20 CLK_030 pin 64 mx H4 inst_AS_030_D0 mcell H5 mx H21 RST pin 86 -mx H5 inst_CLK_000_PE mcell F0 mx H22inst_nEXP_SPACE_D0reg mcell G5 -mx H6 A_19_ pin 97 mx H23CLK_000_N_SYNC_9_ mcell G6 -mx H7 ... ... mx H24 FC_0_ pin 57 +mx H5 SM_AMIGA_i_7_ mcell F0 mx H22inst_nEXP_SPACE_D0reg mcell G5 +mx H6 A_19_ pin 97 mx H23 SIZE_DMA_1_ mcell G2 +mx H7 inst_CLK_000_PE mcell D9 mx H24CLK_000_N_SYNC_9_ mcell G3 mx H8 FPU_SENSE pin 91 mx H25 RW pin 71 -mx H9 SIZE_DMA_0_ mcell G13 mx H26 RN_RESET mcell B0 -mx H10 SIZE_DMA_1_ mcell G9 mx H27 RN_DSACK1 mcell H9 -mx H11 A_16_ pin 96 mx H28 SM_AMIGA_0_ mcell B5 -mx H12 FC_1_ pin 58 mx H29 SM_AMIGA_i_7_ mcell F4 +mx H9 RN_RESET mcell B14 mx H26 ... ... +mx H10 SM_AMIGA_5_ mcell F4 mx H27 RN_DSACK1 mcell H9 +mx H11 A_16_ pin 96 mx H28 ... ... +mx H12 FC_1_ pin 58 mx H29 SIZE_DMA_0_ mcell G6 mx H13 A_17_ pin 59 mx H30 RN_RW_000 mcell H0 -mx H14CLK_000_N_SYNC_10_ mcell H2 mx H31 SM_AMIGA_5_ mcell F12 -mx H15 ... ... mx H32 SM_AMIGA_1_ mcell F8 +mx H14CLK_000_N_SYNC_10_ mcell H2 mx H31 A_18_ pin 95 +mx H15 SM_AMIGA_1_ mcell F1 mx H32 SM_AMIGA_0_ mcell F9 mx H16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -1148,6 +1132,8 @@ PostFit_Equations P-Terms Fan-in Fan-out Type Name (attributes) --------- ------ ------- ---- ----------------- + 1 2 1 Pin SIZE_0_ + 1 2 1 Pin SIZE_0_.OE 1 2 1 Pin SIZE_1_ 1 2 1 Pin SIZE_1_.OE 1 2 1 Pin AS_030- @@ -1171,10 +1157,8 @@ PostFit_Equations 2 4 1 Pin AMIGA_BUS_DATA_DIR 1 2 1 Pin AMIGA_BUS_ENABLE_LOW- 2 3 1 Pin AMIGA_BUS_ENABLE_HIGH - 1 13 1 Pin CIIN - 1 1 1 Pin CIIN.OE - 1 2 1 Pin SIZE_0_ - 1 2 1 Pin SIZE_0_.OE + 1 0 1 Pin CIIN + 1 10 1 Pin CIIN.OE 10 8 1 Pin IPL_030_2_.D- 1 1 1 Pin IPL_030_2_.C 1 2 1 Pin RW_000.OE @@ -1203,6 +1187,7 @@ PostFit_Equations 1 2 1 Pin RW.OE 2 5 1 Pin RW.D- 1 1 1 Pin RW.C + 4 11 1 Node N_165 2 2 1 Node cpu_est_0_.D 1 1 1 Node cpu_est_0_.C 5 5 1 Node cpu_est_1_.D- @@ -1257,7 +1242,6 @@ PostFit_Equations 1 1 1 Node CLK_000_P_SYNC_9_.C 1 1 1 Node inst_CLK_000_NE.D 1 1 1 Node inst_CLK_000_NE.C - 4 11 1 Node N_96_i- 1 1 1 Node CLK_000_N_SYNC_11_.D 1 1 1 Node CLK_000_N_SYNC_11_.C 4 5 1 Node cpu_est_2_.D @@ -1347,16 +1331,19 @@ PostFit_Equations 1 1 1 Node inst_DS_000_ENABLE.C 14 20 1 Node SM_AMIGA_i_7_.D 1 1 1 Node SM_AMIGA_i_7_.C - 2 14 1 Node CIIN_0 ========= - 348 P-Term Total: 348 - Total Pins: 61 - Total Nodes: 73 + 346 P-Term Total: 346 + Total Pins: 57 + Total Nodes: 72 Average P-Term/Output: 2 Equations: +SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); + +SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); + SIZE_1_ = (!SIZE_DMA_0_.Q & SIZE_DMA_1_.Q); SIZE_1_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); @@ -1405,13 +1392,9 @@ AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW_000.PIN AMIGA_BUS_ENABLE_HIGH = (!BGACK_030.Q & inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q # BGACK_030.Q & !SM_AMIGA_i_7_.Q); -CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); +CIIN = (1); -CIIN.OE = (CIIN_0); - -SIZE_0_ = (SIZE_DMA_0_.Q & !SIZE_DMA_1_.Q); - -SIZE_0_.OE = (!BGACK_030.Q & !inst_nEXP_SPACE_D0reg.Q); +CIIN.OE = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & !inst_AS_030_D0.Q & inst_nEXP_SPACE_D0reg.Q); !IPL_030_2_.D = (!IPL_2_ & RST & !IPL_030_2_.Q # RST & !IPL_D0_2_.Q & !IPL_030_2_.Q @@ -1513,6 +1496,11 @@ RW.OE = (!BGACK_030.Q & RESET.Q); RW.C = (CLK_OSZI); +N_165 = (!SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); + cpu_est_0_.D = (!cpu_est_0_.Q & inst_CLK_000_NE_D0.Q # cpu_est_0_.Q & !inst_CLK_000_NE_D0.Q); @@ -1662,11 +1650,6 @@ inst_CLK_000_NE.D = (CLK_000_N_SYNC_11_.Q); inst_CLK_000_NE.C = (CLK_OSZI); -!N_96_i = (!SM_AMIGA_5_.Q & !inst_nEXP_SPACE_D0reg.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_AS_030_000_SYNC.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & !inst_CLK_000_D1.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # !SM_AMIGA_5_.Q & inst_CLK_000_D0.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); - CLK_000_N_SYNC_11_.D = (CLK_000_N_SYNC_10_.Q); CLK_000_N_SYNC_11_.C = (CLK_OSZI); @@ -1889,26 +1872,23 @@ inst_DS_000_ENABLE.D = (RST & !SM_AMIGA_5_.Q & SM_AMIGA_3_.Q inst_DS_000_ENABLE.C = (CLK_OSZI); -SM_AMIGA_i_7_.D = (RST & !inst_CLK_000_PE.Q & N_96_i & BERR.PIN - # RST & N_96_i & !SM_AMIGA_0_.Q & BERR.PIN - # RST & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & N_96_i & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q - # RST & !SM_AMIGA_5_.Q & inst_CLK_000_PE.Q & N_96_i & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & N_96_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & inst_CLK_000_NE.Q & N_96_i & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & N_96_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & !SM_AMIGA_5_.Q & N_96_i & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE.Q & N_96_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & N_96_i & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & N_96_i & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q - # RST & E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & N_96_i & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q - # RST & E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & N_96_i & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q - # RST & E.Q & !VMA.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & N_96_i & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); +SM_AMIGA_i_7_.D = (RST & !N_165 & !inst_CLK_000_PE.Q & BERR.PIN + # RST & !N_165 & !SM_AMIGA_0_.Q & BERR.PIN + # RST & !N_165 & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q + # RST & !N_165 & !SM_AMIGA_5_.Q & inst_CLK_000_PE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q + # RST & !N_165 & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q + # RST & !N_165 & inst_CLK_000_NE.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # RST & !N_165 & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q + # RST & !N_165 & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # RST & !N_165 & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # RST & !N_165 & !SM_AMIGA_5_.Q & inst_VPA_D.Q & !inst_DTACK_D0.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q + # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & inst_CLK_000_PE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_1_.Q + # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & inst_CLK_000_NE.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q + # RST & E.Q & !VMA.Q & !N_165 & !cpu_est_0_.Q & !cpu_est_1_.Q & !SM_AMIGA_5_.Q & !inst_VPA_D.Q & cpu_est_2_.Q & inst_CLK_000_NE_D0.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_2_.Q); SM_AMIGA_i_7_.C = (CLK_OSZI); -CIIN_0 = (inst_nEXP_SPACE_D0reg.Q - # !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !inst_AS_030_D0.Q); - Reverse-Polarity Equations: diff --git a/Logic/68030_tk.tal b/Logic/68030_tk.tal index c5e0400..2d3f516 100644 --- a/Logic/68030_tk.tal +++ b/Logic/68030_tk.tal @@ -47,7 +47,7 @@ SIGNAL NAME min max min max min max min max FPU_CS .. .. .. .. 1 2 .. .. AMIGA_BUS_DATA_DIR .. .. .. .. 1 2 .. .. SM_AMIGA_5_ 1 1 .. .. .. .. 1 2 - inst_AS_030_D0 1 2 1 1 .. .. 1 1 + inst_AS_030_D0 1 2 .. .. .. .. 1 1 inst_nEXP_SPACE_D0reg 1 1 1 1 .. .. 1 2 inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 2 CYCLE_DMA_0_ 1 2 .. .. .. .. 1 1 @@ -66,7 +66,6 @@ inst_DS_000_ENABLE 1 1 1 1 .. .. 2 2 AS_030 .. .. .. .. 1 1 .. .. AS_000 .. .. .. .. 1 1 .. .. CLK_EXP .. .. .. .. 1 1 .. .. - CIIN .. .. .. .. 1 1 .. .. IPL_030_2_ 1 1 0 0 .. .. 1 1 RN_IPL_030_2_ 1 1 0 0 .. .. 1 1 RW_000 1 1 0 0 .. .. 1 1 @@ -135,5 +134,4 @@ CLK_000_N_SYNC_7_ .. .. .. .. .. .. 1 1 CLK_000_N_SYNC_8_ .. .. .. .. .. .. 1 1 CLK_000_N_SYNC_9_ .. .. .. .. .. .. 1 1 CLK_000_N_SYNC_10_ .. .. .. .. .. .. 1 1 - SM_AMIGA_i_7_ 1 1 1 1 .. .. 1 1 - CIIN_0 .. .. .. .. 1 1 .. .. \ No newline at end of file + SM_AMIGA_i_7_ 1 1 1 1 .. .. 1 1 \ No newline at end of file diff --git a/Logic/68030_tk.tt2 b/Logic/68030_tk.tt2 index c0cfd00..8054d59 100644 --- a/Logic/68030_tk.tt2 +++ b/Logic/68030_tk.tt2 @@ -1,633 +1,616 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Wed May 13 22:59:21 2015 +#$ DATE Thu Jul 09 18:48:59 2015 #$ MODULE 68030_tk -#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW -#$ NODES 72 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE N_96_i CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ +#$ PINS 57 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ A_25_ A_24_ IPL_2_ FC_1_ AS_030 AS_000 A_19_ A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE IPL_1_ DTACK IPL_0_ AVEC FC_0_ VPA RST AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW +#$ NODES 72 N_165 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ .type fr -.i 127 +.i 123 .o 198 -.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q N_96_i CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN -.ob CLK_DIV_OUT CLK_EXP FPU_CS AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_1_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C inst_CLK_030_H.C RESET.C inst_DS_000_ENABLE.C inst_UDS_000_INT.C RW.C RW_000.C inst_LDS_000_INT.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C A0.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_DS_030_D0.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C BGACK_030.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_BGACK_030_INT_D.C inst_CLK_000_PE.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 BERR SIZE_0_ N_96_i AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE BGACK_030.D E.D VMA.T RESET.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_DS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D cpu_est_2_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D SM_AMIGA_3_.T inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_6_.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D RST_DLY_3_.D RST_DLY_4_.T RST_DLY_5_.T RST_DLY_6_.T RST_DLY_7_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_4_.D SM_AMIGA_2_.D inst_DS_000_ENABLE.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 621 -------------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1----------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0---------------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------0------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1-------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~11111111~~11111~1~~~~~~~~111~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 --------------------------1----------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1---0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------10--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-------------00000001111----------------0------------------------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--1------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1----------------------0010--1------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-----------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0-1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------------------10--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0--------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------1----1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------1-----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------01--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------10--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1---------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1----------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------10------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1-0-------------10------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1----------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -----------------------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1---------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1---1---------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------00-01----------------------1----1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------01-10--------------0---------1--1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1---------------------------11-----------------------------------111---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1---------------------------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1---------------------------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1---------------------------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0---------------------------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0---------------------------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0---------------------------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ----------------------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0-----------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------1--1---------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0---------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--0---------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0-------------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11---------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00---------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------1---0------------11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-------------------10-00--------------0------------1---11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ----------------------------------1------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1---------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------1----------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1----------------------------------------------1-11-----0-0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1--------------------------------------1---0---1-11------10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------10-00--------------0-------1-11-1----10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------1---------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------1------------------------------------------------------ ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------1-------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1--------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0-------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------01-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0--------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0---------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0--1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------0-1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-----------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------1111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11111-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------11111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------1111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11111--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111111-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11111110-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---------------------------------1-------------0----------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------0-----------------1----------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------------------------------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------1---------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0---------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ---------------------------------1-----------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------1------------1-----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1--------0-----------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------1--1-----0-0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0------------1---0---1--1------10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------10-00-0------------0-------1--1-1----10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------------------------------------------------1---------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1----------------------------------------------1--------0--------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1---0------------11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------10-00--------------0------------1---11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------1---------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1----------------------------------------------1----------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------------------------------------11-----0-0--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1--------------------------------------1---0-----11------10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------10-00--------------0---------11-1----10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0-----------------------1-----0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0------------1---0------1------10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------10-00-0------------0----------1-1----10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------------------------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-----------------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1----------------------------1-0-------------10---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------1------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------------------0---------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ------------------------------------------------------------0----------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------1----------------------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ -------------------------------------------------------------------1----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------0-------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1-------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0------------------------------------------------------------------1--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1------------------------------------------------------------------0--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------0-----------------------------------1-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------1-----------------------------------0-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --------------------------------------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0------------------------------------------------------------------1---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1------------------------------------------------------------------0---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-----------------------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------0-----------------------------------1------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------1-----------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------0-------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------1-------------------------------------0-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------------------------------------------------------1----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------------------------------------------------------------------------------------------------------1---------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---1--------------0010--1------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------0-----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------1-----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------1----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------0---------0--------------------------------------------------------------------------01-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------------------------------0-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---------------------------------------1----------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1--------------------------------------1-----------------------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1----------------------------------------------------------0-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0------------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0------------------------------1-----------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1----------------------0--------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------0--1-------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1----------------------------------------------0----------1-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------1-------0-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1----------------------------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------0-------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0--------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1----------------------------------------------0-----------------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1----------------------------------------------0------------------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1---------------------------------------------------------------------------------------------1-1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1---------------------------0--------------------------------------------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ------------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------0----------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------0-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------------------------------------------------------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1------------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0---------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------------------------------------------------------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------01----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~00~~~~~~~~~~~~~~~~~~~~0~~00000~~~0~~~~~~~~~~~~~~~~~~~~~~~000000~~~~ ---------------1---------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0--------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000000~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------11----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------0--0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------0-------------------------------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1-----------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1---------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1--------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1-------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1-----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1---------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0--------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0-------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-----------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------10------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-------0----1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ---------------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-----------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------1---------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------1----------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------0-------1---------------------------11----------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ------------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------------------------------1-----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------11----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------0-------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0-----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------0----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1---------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0--------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0-------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--00---------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0---1---------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------01---------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------1---------------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1---------------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1---------------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0-----------1---------------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0-----------1---------------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0-----------1---------------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0-----------1---------------00-----------------------------------000---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 --------------0-------------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1---------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------------------------------1--------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------------------------------0--------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1---------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11---------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--11---------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--1----------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0---------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1----------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------0--------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------0------------------------------------------------------ ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------1--------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0-------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-----------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------0--------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------0-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0---------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0----------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------00-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-----------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0---------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------00------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1---0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11--0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0--------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111--0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1111-0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1111--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------------11-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------------1111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0----------------------------------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------0----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1111---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------0---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------0-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------00-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1------------------1------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1--------------------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------0-----1---------------------------------------------------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------1---------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------------------------------0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ---------------------------------------------------------------0---------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------------------------------------0--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------1-----------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------1---------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------------1--------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------1---1----------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------------------------1----------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------------------------------------------------0------------0-------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------------------------------------0---------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------------------------0--------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ----------------------------------------0--0--------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----1------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0------------------0----------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------1---------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-----------------------------0----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------1------------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1--------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------0------------------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ --------------1-------------------------0-------------------------------0-------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ------0-------1--------------------------------------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------1----------------0----------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1----------------------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0-------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------1-------------------------------------0---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0-----------1------------------------------------------------------1--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1------------------------------------------------------0--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------0-----------------------------------1-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------1-----------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1-----------------------------------------------------0----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1------------------------------------------------------1---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1------------------------------------------------------0---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-----------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------0-----------------------------------1------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------1-----------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------0-------------------------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------1-------------------------------------0-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1------------------------------------------------------0----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 ---------------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---0--------------0010--1------------------------------------------------------------------------------------0---------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0-----------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------------------------0----------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0---------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1---------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------11-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------------------------------------------------------------------------0-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1---------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------0--------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1-----1------------------0---------------01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------001-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0---------------10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------010-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------001--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------010--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------1-----1------------------0---------------01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------001-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0---------------10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------010-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------001--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------010--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0------------------------------1-----------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0------------------------------1------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0------------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0------------------------------1-------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------0--0-----------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0--0--------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0-------------------------------0---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------1--------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------0------------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------1-----------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1---------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------1--------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------1---1---------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------0------------0------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------0-------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------1----------------------0--------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------0------------------0----------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------1-----------------0----------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------1---------------0----------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------1--------------0----------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------------------------1---1------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------------------------0------------0---1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------0-----------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------------------------------10------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------1-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0-------------1--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------------------------------------0--------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0-----------------------------------------------1----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0------------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------------------------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ----------------------------------------1-1------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------1----------------------------------------------------------------------0---------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------1-------------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q N_165 cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN +.ob CLK_DIV_OUT CLK_EXP FPU_CS AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C inst_LDS_000_INT.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C A0.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_DS_030_D0.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C RESET.C inst_DS_000_ENABLE.C BGACK_030.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C RW.C RW_000.C inst_BGACK_030_INT_D.C inst_CLK_000_PE.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 BERR SIZE_0_ N_165 AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE BGACK_030.D E.D VMA.T RESET.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_DS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D cpu_est_2_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D SM_AMIGA_3_.T inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_6_.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D RST_DLY_3_.D RST_DLY_4_.T RST_DLY_5_.T RST_DLY_6_.T RST_DLY_7_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_4_.D SM_AMIGA_2_.D inst_DS_000_ENABLE.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D +.p 604 +--------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +------0-------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1---------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~11111111~~11111~1~~~~~~~~111~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 +---------------------1----------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------1---------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0--------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1---------------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1---0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------01---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1----0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------10-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1--------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0-------------0000000-----------------01----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0----------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0--1-------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1------------------0010--1-------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +-----------------------------------------10-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------11---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------00---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------1----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------1-----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------01-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------10-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1---------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------01------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------10------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------1--------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1----------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1----1--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------00--01----------------------1---1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------01--10--------------0---------1-1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1-----------------------11-----------------------------------111---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 +-1-----------------------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 +-1-----------------------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 +-1-----------------------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-0-----------------------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +-0-----------------------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0-----------------------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------0---------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------0----------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-----------------------------1---1--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1---0--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---10-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------00-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0-------------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------11--------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------00--------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------1---0-----------11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1---------------10--00--------------0-----------1---11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-----------------------------1------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------1---------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1------------------0------------------------1-1-----0-0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------0----------------1---0---1-1------10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1---------------10-000--------------0-------1-1-1----10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +----------------------------1---------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0---------------------------------------1------------------------------------------------------ ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------0--------------------1------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------1-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0-----------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------01-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0-------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------0-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0--------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------0--1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------0-1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------1111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11111-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------11111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------1111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11111--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111111-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11111110-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1------------------------------1-------------0---------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------------0----------------1----------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1----------------------------------------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1---------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +----------------------------1-----------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------------------------1-------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------1---------------------------------------------1-------0-----------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1----------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---0--------------------1-------0-0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------0---0------------1---0---1--------10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1---------------10-000-0------------0-------1---1----10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +---------------------------------------------------------------------1---------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1-------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1-------------------------------------------1-------0--------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------1---0-----------11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------10--00--------------0-----------1---11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------1---------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1-------------------------------------------1---------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1------------------0--------------------------1-----0-0--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------0----------------1---0-----1------10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1---------------10-000--------------0---------1-1----10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------0---0----------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +------------------------------------0--0-------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0----1-----------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0------------------0---------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-------------------1--------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---0------------1---0------------10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1---------------10-000-0------------0-----------1----10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +----------------------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1------------------------------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0----------------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1-------------------------1-0-------------10--------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------1------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0---------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +---------------------------------------0--------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +--------------------------------------------------------0---------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +--------------------------1----------------------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------------------------------------------------1----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------0-------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------1-------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-0--------------------------------------------------------------1--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-1--------------------------------------------------------------0--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------1------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +--------------------------0-----------------------------------1-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +--------------------------1-----------------------------------0-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------------------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0--------------------------------------------------------------1---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1--------------------------------------------------------------0---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-------------------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------------0-----------------------------------1------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------------1-----------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------0-------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------1-------------------------------------0-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +----------------------------------------------------------------1----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +----------------------------------------------------------------------------------------------------------------1---------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---1----------0010--1------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------01------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------0-----------1-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------10----------1-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------1-----------0-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0-----------------1----------0-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0-------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------------------------0----------0-------------------------------------------------------------------------01-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------------------------------------------------------------------------------0-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0------------------------------------1---------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----------------------------------1----------------------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1---------------------------------------------------------0-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------0-----1--------------0--------------0-01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1--------------0--------------0-10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1--------------0----------------01-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1--------------0----------------10-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +----------------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1--------------0--------------0-01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1--------------0--------------0-10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1--------------0----------------01-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1--------------0----------------10-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +----------------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------------------------1-----------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0------------------------0---------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1----------------------1----------------------0-------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------0---------1-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0----------------------------------0-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1----------------------1---------------------------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------0------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0-------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1-------------------------------------------0----------------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1-------------------------------------------0-----------------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1-----------------------------------------------------------------------------------------1-1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1------------------------0-------------------------------------------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------------0---------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------------0-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1----------------------1-------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------------------------------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1-------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0------------------------------------------------------------------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0---------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0-----------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0--------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0-1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------01------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~00~~~~~~~~~~~~~~~~~~~~0~~00000~~~0~~~~~~~~~~~~~~~~~~~~~~~000000~~~~ +--------------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1--------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1---------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000000~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------1--0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------11---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---01---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------0---0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1---10---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---10---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0--------0------------------------------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1--------0----1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1-------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +---------------------------------------------11---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------------00---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-----------------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1-------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1---------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1----------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0-------1------------------------11----------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +--------------------------------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-0-------------10------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------------------1-----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------11----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------0--------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0-----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1----------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0---------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0-------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---00--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0----1--------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------01--------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1-----------1-----------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1-----------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1-----------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ +-0-----------1-----------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-0-----------1-----------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 +-0-----------1-----------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 +-0-----------1-----------00-----------------------------------000---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 +-----------------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-1--------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------------1-------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------------------------0-------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---10-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------11--------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---11--------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1---1---------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------0-------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1---------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------------------0-------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0---------------------------------------0------------------------------------------------------ ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1-------------1-------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0---------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1----------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------0-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------0------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------1-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0---------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0-----------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0----------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------00-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0-----------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0-------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------0-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0--------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------0--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------0-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------00------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0-------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1---0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11--0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0--------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111--0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1111-0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0---------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1111--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------------11-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------1111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0----------------------------------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------0------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------0-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1111---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------0--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------0-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------00-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1-------------1---------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------1--------------1------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------1----------------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------------------------------------1----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------------------------------------------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1-----------------------------------------------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------1--------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0---------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-------------------------------------------------------------------0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-----------------------------------------------------------0--------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-----------------------------------------------------------------0--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0-------------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------1------------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------1---------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------1--------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------------------1---1---------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------------------------------1---------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-------------------------------------------------0-----------0-------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------------------------------------0---------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------------------------------0--------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------------------------------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +------------------------------------0----------------------------0----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------1------------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1-------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------0------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------0--------------------0-----------------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------1----------------------0------------------------------0-------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-----0-------1----------------------------------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-------------1------------0----------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1------------------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1-----------0-------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1-----------1-------------------------------------0---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-0-----------1--------------------------------------------------1--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1--------------------------------------------------0--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1-----------0------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1------------0-----------------------------------1-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1------------1-----------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1-------------------------------------------------0----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1--------------------------------------------------1---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1--------------------------------------------------0---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1-------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1------------0-----------------------------------1------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1------------1-----------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1-----------0-------------------------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1-----------1-------------------------------------0-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1--------------------------------------------------0----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +----------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---0----------0010--1------------------------------------------------------------------------------------0---------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0----------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------------------------------------0---------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0--------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------11-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0-------------------------------------------------------------------------------------0-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0-------------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------1-----------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0-------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------1-----1--------------0----------------01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0--------------0-01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1--------------0---------------001------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0----------------10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0--------------0-10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1--------------0---------------010------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0--------------0-01-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0--------------0-10-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------001-------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------010-------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------01------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------10------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------1-----1--------------0----------------01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0--------------0-01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1--------------0---------------001------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0----------------10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0--------------0-10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1--------------0---------------010------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0--------------0-01-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0--------------0-10-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------001-------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------010-------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------01------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------10------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0-----------------------------1-----------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0-----------------------------1------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0-----------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0-----------------------------1-------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------0--0----------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------0--0-------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------0------------------------------0---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------1--------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------0-------------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------1------------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------1---------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------1--------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------1---1--------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------0-----------0------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------0-------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0----------1--------------1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------1------1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------1-----1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------0----1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------1---1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------01---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------1----------------------0-------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------0-------------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------1------------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------1---------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +----------------------------------1--------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-------------------------------------------------1---1-----------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-------------------------------------------------0-----------0---1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------0----------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-----------------------------------------------------------------10------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-------------------------------------------------------------------1-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------------------------0------------1--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-----------------------------------------------------------0-------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------------------------0----------------------------------------------1----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------------------------0-----------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------------------------------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1-------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +------------------------------------1-1-----------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------1---------------------------------------------------------------------0---------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------1------------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ .end diff --git a/Logic/68030_tk.tt3 b/Logic/68030_tk.tt3 index 665f2bf..ed07de7 100644 --- a/Logic/68030_tk.tt3 +++ b/Logic/68030_tk.tt3 @@ -1,633 +1,616 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Wed May 13 22:59:21 2015 +#$ DATE Thu Jul 09 18:48:59 2015 #$ MODULE 68030_tk -#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW -#$ NODES 72 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE N_96_i CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ +#$ PINS 57 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ A_25_ A_24_ IPL_2_ FC_1_ AS_030 AS_000 A_19_ A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS FPU_SENSE IPL_1_ DTACK IPL_0_ AVEC FC_0_ VPA RST AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW +#$ NODES 72 N_165 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ .type fr -.i 127 +.i 123 .o 198 -.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q N_96_i CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN -.ob CLK_DIV_OUT CLK_EXP FPU_CS AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_1_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C inst_CLK_030_H.C RESET.C inst_DS_000_ENABLE.C inst_UDS_000_INT.C RW.C RW_000.C inst_LDS_000_INT.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C A0.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_DS_030_D0.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C BGACK_030.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_BGACK_030_INT_D.C inst_CLK_000_PE.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 BERR SIZE_0_ N_96_i AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE BGACK_030.D E.D VMA.T RESET.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_DS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D cpu_est_2_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D SM_AMIGA_3_.T inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_6_.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D RST_DLY_3_.D RST_DLY_4_.T RST_DLY_5_.T RST_DLY_6_.T RST_DLY_7_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_4_.D SM_AMIGA_2_.D inst_DS_000_ENABLE.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 621 -------------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1----------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0---------------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------0------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1-------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~11111111~~11111~1~~~~~~~~111~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 --------------------------1----------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1---0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------10--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-------------00000001111----------------0------------------------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0--1------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1----------------------0010--1------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-----------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0-1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------------------10--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0--------------------------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------1----1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------1-----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------01--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------10--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1---------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1----------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------10------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1-0-------------10------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1----------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -----------------------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1---------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1---1---------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------00-01----------------------1----1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------01-10--------------0---------1--1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1---------------------------11-----------------------------------111---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1---------------------------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1---------------------------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1---------------------------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0---------------------------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0---------------------------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0---------------------------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ----------------------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0-----------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----------------------------------1--1---------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0---------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--0---------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0-------------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11---------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00---------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------1---0------------11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1-------------------10-00--------------0------------1---11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ----------------------------------1------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1---------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------1----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------1----------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1----------------------------------------------1-11-----0-0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1--------------------------------------1---0---1-11------10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------10-00--------------0-------1-11-1----10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------1---------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------1------------------------------------------------------ ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------1-------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1--------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0-------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------01-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0--------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0---------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0--1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------0-1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-----------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------1111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11111-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------11111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------1111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1------------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11111--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111111-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11111110-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---------------------------------1-------------0----------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------0-----------------1----------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------------------------------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------1---------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0---------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ---------------------------------1-----------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------1------------1-----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------1--------0-----------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------1--1-----0-0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0------------1---0---1--1------10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------10-00-0------------0-------1--1-1----10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------------------------------------------------1---------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1----------------------------------------------1--------0--------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1---0------------11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------10-00--------------0------------1---11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------1---------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1----------------------------------------------1----------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1------------------------------------------------11-----0-0--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1--------------------------------------1---0-----11------10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------10-00--------------0---------11-1----10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0-----------------------1-----0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------0------------1---0------1------10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------10-00-0------------0----------1-1----10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1------------------------------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-----------------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1----------------------------1-0-------------10---------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------1------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------------------0---------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ------------------------------------------------------------0----------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -------------------------------1----------------------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ -------------------------------------------------------------------1----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------0-------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1-------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0------------------------------------------------------------------1--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1------------------------------------------------------------------0--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------1------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------0-----------------------------------1-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------1-----------------------------------0-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --------------------------------------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0------------------------------------------------------------------1---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1------------------------------------------------------------------0---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-----------------------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------0-----------------------------------1------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------1-----------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------0-------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ------------------------------1-------------------------------------0-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------------------------------------------------------1----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------------------------------------------------------------------------------------------------------1---------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---1--------------0010--1------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------0-----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10----------1--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------1-----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------1----------0--------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ ---------------------------------0---------0--------------------------------------------------------------------------01-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------------------------------0-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---------------------------------------1----------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1--------------------------------------1-----------------------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1----------------------------------------------------------0-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------1------------------0---------------10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0------------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0------------------------------1-----------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1----------------------0--------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------0--1-------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1----------------------------------------------0----------1-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------1-------0-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------1-------------------------1----------------------------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------0-------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0--------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1----------------------------------------------0-----------------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------1----------------------------------------------0------------------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------1---------------------------------------------------------------------------------------------1-1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------1---------------------------0--------------------------------------------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ------------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------------------------0----------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------------0-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------------------------------------------------------------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1------------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0---------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------------------------------------------------------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0-1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------01----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~00~~~~~~~~~~~~~~~~~~~~0~~00000~~~0~~~~~~~~~~~~~~~~~~~~~~~000000~~~~ ---------------1---------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0--------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0------------------------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0------------------------------------------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ---------------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------1------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0--------------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000000~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------11----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--01----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------0--0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------0-------------------------------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------------------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1-----------------------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1---------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1--------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1-------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1-----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1----------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1---------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------0--------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0-------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------0------------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-----------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------10------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-------0----1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -------------------------------------------------11----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------------------------------------------00----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ---------------------------------------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1-----------------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1-----------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------1---------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------1----------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ ------0-------1---------------------------11----------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ------------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------------------------------------------1-----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------11----------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------0-------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------0-----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------0----------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1---------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0--------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0-------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--00---------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0---1---------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------01---------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------1---------------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1---------------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1---------------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0-----------1---------------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0-----------1---------------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0-----------1---------------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0-----------1---------------00-----------------------------------000---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 --------------0-------------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-1---------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------------------------------------------------------1--------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------------------------------0--------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------1---------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--10--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11---------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--11---------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------1--1----------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0---------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------0----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1----------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------------------------------------0--------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0---------------------------------------0------------------------------------------------------ ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------1--------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0-------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-----------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------0--------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------0-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0---------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1-----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0----------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------00-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-----------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0--------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0---------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------00------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-----------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1---0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11--0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0------------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0--------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111--0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1111-0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------0-------------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0---------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1111--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------------------11-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------------------------------1111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0----------------------------------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------0-------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------11-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------111----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------0----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------1------------1111---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------0---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------0-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------00-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------1------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1------------------1------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1--------------------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------0-----1---------------------------------------------------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------------------1---------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------------------------------------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0----------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ------------------------------------------------------------------------0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ---------------------------------------------------------------0---------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------------------------------------0--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0------------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------1-----------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------1---------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------------1--------------0--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------1---1----------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------------------------1----------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ -----------------------------------------------------0------------0-------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------------------------------------0---------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------------------------0--------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------------------------------------------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ----------------------------------------0--0--------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0----1------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0------------------0----------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------1---------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-----------------------------0----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------1------------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------1--------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------0--------------------0------------------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ --------------1-------------------------0-------------------------------0-------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ------0-------1--------------------------------------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------1----------------0----------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1----------------------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0-------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------1-------------------------------------0---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0-----------1------------------------------------------------------1--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1-----------1------------------------------------------------------0--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --------------1---------------0------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------0-----------------------------------1-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1----------------1-----------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --------------1-----------------------------------------------------0----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1------------------------------------------------------1---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1-----------1------------------------------------------------------0---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0-----------1-----------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------0-----------------------------------1------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1----------------1-----------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------0-------------------------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1---------------1-------------------------------------0-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------1------------------------------------------------------0----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 ---------------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1---0--------------0010--1------------------------------------------------------------------------------------0---------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0-----------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------------------------0----------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0---------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------------------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1---------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------------------11-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------------------------------------------------------------------------0-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------1---------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------0--------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------1-----1------------------0---------------01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-01-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------001-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0---------------10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-10-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------010-------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-01--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-10--------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------001--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------010--------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10-------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------1-----1------------------0---------------01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-01-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------001-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0---------------10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0-------------0-10-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----1------------------0--------------010-------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-01--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----1------------------0-------------0-10--------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------001--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0--------------010--------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------01-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------10-------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0------------------------------1-----------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0------------------------------1------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0------------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------------0------------------------------1-------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------------------0--0-----------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0--0--------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1---------------------------0-------------------------------0---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------------------------------------1--------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------0------------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------1-----------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1----------------------1---------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------------1--------------0-------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------1---1---------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------0------------0------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------0-------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------1----------------------0--------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------0------------------0----------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------1-----------------0----------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------1---------------0----------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ --------------------------------------1--------------0----------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------------------------1---1------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----------------------------------------------------0------------0---1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------------------0-----------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------------------------------------10------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------1-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0-------------1--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ------------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------------------------------------0--------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0-----------------------------------------------1----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------------------------0------------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ----------------------------------------------------------------------------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1-------------------------1--------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ----------------------------------------1-1------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------1----------------------------------------------------------------------0---------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----------------------------------------1-------------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +.ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q N_165 cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_6_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q RW_000.Q RW.Q A0.Q inst_CLK_030_H.Q SM_AMIGA_1_.Q SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN +.ob CLK_DIV_OUT CLK_EXP FPU_CS AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_D0_2_.C SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C E.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C RST_DLY_3_.C RST_DLY_4_.C RST_DLY_5_.C RST_DLY_6_.C RST_DLY_7_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_DMA_0_.C SIZE_DMA_1_.C CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.C inst_LDS_000_INT.C inst_AS_030_000_SYNC.C inst_AS_000_INT.C DSACK1.C A0.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_DS_030_D0.C inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C RESET.C inst_DS_000_ENABLE.C BGACK_030.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C VMA.C inst_UDS_000_INT.C RW.C RW_000.C inst_BGACK_030_INT_D.C inst_CLK_000_PE.C inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.C inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 BERR SIZE_0_ N_165 AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE BGACK_030.D E.D VMA.T RESET.D cpu_est_0_.D cpu_est_1_.D inst_AS_000_INT.D SM_AMIGA_5_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_nEXP_SPACE_D0reg.D inst_DS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D SIZE_DMA_0_.D SIZE_DMA_1_.D inst_VPA_D.D inst_UDS_000_INT.D inst_LDS_000_INT.D inst_CLK_OUT_PRE_D.D inst_DTACK_D0.D inst_CLK_OUT_PRE_50.D inst_CLK_000_D1.D inst_CLK_000_D0.D inst_CLK_000_PE.D CLK_000_P_SYNC_9_.D inst_CLK_000_NE.D CLK_000_N_SYNC_11_.D cpu_est_2_.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D SM_AMIGA_3_.T inst_CLK_000_NE_D0.D SM_AMIGA_0_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D DSACK1.D SM_AMIGA_6_.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D RST_DLY_3_.D RST_DLY_4_.T RST_DLY_5_.T RST_DLY_6_.T RST_DLY_7_.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D RW_000.D RW.D A0.D inst_CLK_030_H.D SM_AMIGA_1_.D SM_AMIGA_4_.D SM_AMIGA_2_.D inst_DS_000_ENABLE.D SM_AMIGA_i_7_.D BG_000.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D +.p 604 +--------------------------------------------------------------------------------------------------------------------------- ~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0------------------------------------------------------------------------------------------------------------------------ ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1--------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +------0-------------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1------------------------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~11111111111111111111111111111111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1---------------------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1--------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~11111111~~11111~1~~~~~~~~111~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~1111 +---------------------1----------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------1---------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0--------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-------------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0----------------------------------------------------------------------------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1---------------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0--1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1---0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------01---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1----0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------10-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------1------------------------------------------------------------------------------------- ~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1--------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0-------------0000000-----------------01----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0----------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0--1-------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1------------------0010--1-------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +-----------------------------------------10-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----------------------------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------11---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------00---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------1----1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------1-----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------01-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------10-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1---------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------01------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------10------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------1--------------------------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1----------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1----1--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------00--01----------------------1---1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------01--10--------------0---------1-1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1-----------------------11-----------------------------------111---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 +-1-----------------------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 +-1-----------------------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 +-1-----------------------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-0-----------------------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +-0-----------------------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0-----------------------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------0---------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------0----------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-----------------------------1---1--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1---0--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---10-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------00-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0-------------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------11--------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------00--------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------1---0-----------11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1---------------10--00--------------0-----------1---11-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-----------------------------1------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------1---------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1------------------0------------------------1-1-----0-0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------0----------------1---0---1-1------10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1---------------10-000--------------0-------1-1-1----10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +----------------------------1---------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0---------------------------------------1------------------------------------------------------ ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------0--------------------1------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------1-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0-----------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------01-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0-------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------0-1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------01------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0--------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------0--1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------0-1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------01------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-------------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------111110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------1111111--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11111-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------1111110--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------11111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------1111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------1-----------11111111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11111--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111111-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11111110-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1------------------------------1-------------0---------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------------0----------------1----------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1----------------------------------------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1---------------------------00------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +----------------------------1-----------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------------------------1-------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------1---------------------------------------------1-------0-----------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1----------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---0--------------------1-------0-0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------0---0------------1---0---1--------10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1---------------10-000-0------------0-------1---1----10-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +---------------------------------------------------------------------1---------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1-------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1-------------------------------------------1-------0--------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------1---0-----------11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------10--00--------------0-----------1---11-------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------1---------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1-------------------------------------------1---------------------------------------------0-1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1------------------0--------------------------1-----0-0--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------0----------------1---0-----1------10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1---------------10-000--------------0---------1-1----10--0---------------------------------00----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1------------------0---0----------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +------------------------------------0--0-------------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0----1-----------------------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0------------------0---------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-------------------1--------0-0--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0---0------------1---0------------10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1---------------10-000-0------------0-----------1----10--0--------------------------------000----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +----------------------------------------------------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1------------------------------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0----------------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1-------------------------1-0-------------10--------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------1------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0---------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +---------------------------------------0--------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +--------------------------------------------------------0---------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +--------------------------1----------------------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------------------------------------------------1----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------0-------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------1-------------------------------------0---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-0--------------------------------------------------------------1--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-1--------------------------------------------------------------0--------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------1------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +--------------------------0-----------------------------------1-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +--------------------------1-----------------------------------0-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------------------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0--------------------------------------------------------------1---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1--------------------------------------------------------------0---------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-------------------------------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------------0-----------------------------------1------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------------1-----------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------0-------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------1-------------------------------------0-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +----------------------------------------------------------------1----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +----------------------------------------------------------------------------------------------------------------1---------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---1----------0010--1------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------01------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------0-----------1-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------10----------1-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------1-----------0-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0-----------------1----------0-------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0-------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----------------------------0----------0-------------------------------------------------------------------------01-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------------------------------------------------------------------------------0-------- ~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0------------------------------------1---------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----------------------------------1----------------------------------------------------------1-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1---------------------------------------------------------0-----------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------0-----1--------------0--------------0-01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1--------------0--------------0-10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1--------------0----------------01-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1--------------0----------------10-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +----------------------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1--------------0--------------0-01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------0-----1--------------0--------------0-10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1--------------0----------------01-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +-------------1--------------0----------------10-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +----------------------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------------------------1-----------------------------------------------100-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0------------------------0---------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1----------------------1----------------------0-------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------0---------1-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------0----------------------------------0-----------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------1----------------------1---------------------------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------0------------1--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0-------------------------------------------1-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1-------------------------------------------0----------------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------1-------------------------------------------0-----------------------------------------------1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------1-----------------------------------------------------------------------------------------1-1---------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +-------------1------------------------0-------------------------------------------------------------------1--------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +-------------------------------------------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1--------------------------------------------0---------------------------------------------0----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------00----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------------0-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +-------------1----------------------1-------------------------------------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------------------------------------------------------------------------------------------------- 0~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1-------------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0------------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-------------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0------------------------------------------------------------------------------------------------------------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0------------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0----------------------------------------------------------------------------------------------------------------- ~~~~~~~~~00000000000000000000000000000000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0---------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0-----------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0--------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0------1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0-1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------01------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~0~~~~~~~~00~~~~~~~~~~~~~~~~~~~~0~~00000~~~0~~~~~~~~~~~~~~~~~~~~~~~000000~~~~ +--------------1------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1--------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------1----------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------0--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------0------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------------------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1---------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------1--------------0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0----------------1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000000~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------1--0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------11---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---01---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------0---0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1---10---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---10---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0--------0------------------------------------------------------------------------------------- ~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1--------0----1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1-------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +---------------------------------------------11---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------------00---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-----------------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1------------------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1-------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1------------------1------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1---------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------1----------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-----0-------1------------------------11----------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +--------------------------------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-0-------------10------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------------------1-----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------11----------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------0--------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0--------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0-----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1----------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0---------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0-------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---00--------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0----1--------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------01--------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1-----------1-----------10-----------------------------------011---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1-----------01-----------------------------------101---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1-----------00-----------------------------------001---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ +-0-----------1-----------11-----------------------------------110---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-0-----------1-----------10-----------------------------------010---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 +-0-----------1-----------01-----------------------------------100---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 +-0-----------1-----------00-----------------------------------000---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 +-----------------------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-1--------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------------1-------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------------------------0-------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------1--------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---10-------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------11--------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---11--------------------------1----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1---1---------------------------0----1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0--------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------0-------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0----0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1---------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------------------0-------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0---------------------------------------0------------------------------------------------------ ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1-------------1-------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0---------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1----------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------0-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------0------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------1-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1----------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0---------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0-----------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0----------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------10-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------00-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0-----------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0-------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------0-0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------110------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------00------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0--------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------0--0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------0-0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1110------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------00------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0-------------------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0-------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1---0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11--0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0--------------------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0--------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1----0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11---0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111--0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1111-0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------0---------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0---------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1-----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11----0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111---0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1111--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------------------11-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------1111-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0----------------------------------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------0------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------0------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------11-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------0-----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------111----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0----0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------1-----------1111---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0---0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------0--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------0-0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------00-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------1-------------1---------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------1--------------1------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------1----------------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------------------------------------1----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------------------------------------------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1-----------------------------------------------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------1--------------------------------------------1-----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------------------------------------------------------------------1----1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0---------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-------------------------------------------------------------------0-----------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-------------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-----------------------------------------------------------0--------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-----------------------------------------------------------------0--------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0-------------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------1------------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------1---------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------1--------------0-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------------------1---1---------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------------------------------1---------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-------------------------------------------------0-----------0-------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------------------------------------------0---------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------------------------------------0--------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------------------------------------------------------------------------0-0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +------------------------------------0----------------------------0----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------1------------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1-------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------0------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------0--------------------0-----------------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-------------1----------------------0------------------------------0-------------------------------0-------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-----0-------1----------------------------------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-------------1------------0----------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1------------------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1-----------0-------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1-----------1-------------------------------------0---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-0-----------1--------------------------------------------------1--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1-----------1--------------------------------------------------0--------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------1-----------0------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1------------0-----------------------------------1-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1------------1-----------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------1-------------------------------------------------0----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1--------------------------------------------------1---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1-----------1--------------------------------------------------0---------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0-----------1-------------------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1------------0-----------------------------------1------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1------------1-----------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1-----------0-------------------------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1-----------1-------------------------------------0-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------1--------------------------------------------------0----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +----------------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1---0----------0010--1------------------------------------------------------------------------------------0---------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0----------------------------------------------------------------------------0---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------------------------------------0---------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0--------------------------------------------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1-------------------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------------------------------------------------------------------------1-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------------------------------11-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0-------------------------------------------------------------------------------------0-------- ~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0-------------------------------------------------------------------------------------0-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------1-----------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0-------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0------------------------------------------------------1--------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------------------------------------------------------------------------------0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------1-----1--------------0----------------01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0--------------0-01------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1--------------0---------------001------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0----------------10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0--------------0-10------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1--------------0---------------010------------------------------------------------------------------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0--------------0-01-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0--------------0-10-------------------------------------------------------1----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------001-------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------010-------------------------------------------------------0----------0--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------01------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------10------------------------------------------------------------------01-0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------------------------------11----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------1-----1--------------0----------------01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0--------------0-01------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1--------------0---------------001------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0----------------10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0--------------0-10------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0-----1--------------0---------------010------------------------------------------------------------------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0--------------0-01-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1-----1--------------0--------------0-10-------------------------------------------------------1----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------001-------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------010-------------------------------------------------------0----------0---0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------01------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0----------------10------------------------------------------------------------------01--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------0---------------------------------------------------------------------------------------00----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0-----------------------------1-----------------------------------------------0---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0-----------------------------1------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0-----------------------------1-------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------0-----------------------------1-------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------0--0----------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------0--0-------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1------------------------0------------------------------0---------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------------------------------------------1--------------------------------------1----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------------0-------------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------1------------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------1---------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------1--------------0------------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------1---1--------------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-----------------------------------0-----------0------------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------------------------------------0-------------------------------------0----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0----------1--------------1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------1------1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------1-----1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1---------0----1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------1---1---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------01---------01--1----------------------------------------------------------------0-------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0------------------------------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------1----------------------0-------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------0-------------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------1------------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------1---------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +----------------------------------1--------------0---------------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-------------------------------------------------1---1-----------1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-------------------------------------------------0-----------0---1-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------------------------------0----------------------------0-------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-----------------------------------------------------------------10------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-------------------------------------------------------------------1-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------------------------0------------1--------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-------------------------------------------------------------------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +-----------------------------------------------------------0-------------------------------------------1-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------------------------0----------------------------------------------1----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------------------------0-----------------------------------------------1---------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +-----------------------------------------------------------------------------------------------------------1-------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1----------------------1-------------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +------------------------------------1-1-----------------------------------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------1---------------------------------------------------------------------0---------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------------------------------1------------------------------------------------------------------------------------00 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ .end diff --git a/Logic/68030_tk.tt4 b/Logic/68030_tk.tt4 index 5a3e5d2..cb1a686 100644 --- a/Logic/68030_tk.tt4 +++ b/Logic/68030_tk.tt4 @@ -1,20 +1,19 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Wed May 13 22:59:21 2015 +#$ DATE Thu Jul 09 18:48:59 2015 #$ MODULE BUS68030 -#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ - A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 +#$ PINS 57 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ A_25_ A_24_ + IPL_2_ FC_1_ AS_030 AS_000 A_19_ A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP - IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ - A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA - RESET RW -#$ NODES 73 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ + FPU_CS FPU_SENSE IPL_1_ DTACK IPL_0_ AVEC FC_0_ VPA RST AMIGA_ADDR_ENABLE + AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_ + RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW +#$ NODES 72 N_165 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE - CLK_000_P_SYNC_9_ inst_CLK_000_NE N_96_i CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ + CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ @@ -23,22 +22,21 @@ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H - SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ CIIN_0 + SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ .type f -.i 128 -.o 200 +.i 123 +.o 199 .ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI - FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ - A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q - RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q - inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q - inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q - inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q - inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q - inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q - inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q N_96_i - CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q - SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q + FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_19_ A_18_ + A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q N_165 cpu_est_0_.Q + cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q + inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q + inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q + CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q + inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q + inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q + inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q + IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q @@ -50,292 +48,291 @@ SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN - RW.PIN CIIN_0 -.ob SIZE_1_ SIZE_1_.OE AS_030% AS_030.OE AS_000% AS_000.OE DS_030% DS_030.OE - UDS_000% UDS_000.OE LDS_000% LDS_000.OE BERR BERR.OE CLK_DIV_OUT CLK_DIV_OUT.OE - CLK_EXP FPU_CS% AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW% - AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE SIZE_0_ SIZE_0_.OE IPL_030_2_.D% IPL_030_2_.C - RW_000.D% RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D% BG_000.C BGACK_030.D% - BGACK_030.C IPL_030_1_.D% IPL_030_1_.C IPL_030_0_.D% IPL_030_0_.C DSACK1.D% - DSACK1.C DSACK1.OE E.D E.C VMA.T VMA.C RESET.D RESET.C RW.D% RW.C RW.OE - cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D% cpu_est_1_.C inst_AS_000_INT.D% - inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D% - inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D% inst_AS_030_D0.C - inst_nEXP_SPACE_D0reg.D% inst_nEXP_SPACE_D0reg.C inst_DS_030_D0.D% - inst_DS_030_D0.C inst_AS_030_000_SYNC.D% inst_AS_030_000_SYNC.C - inst_BGACK_030_INT_D.D% inst_BGACK_030_INT_D.C inst_AS_000_DMA.D - inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C CYCLE_DMA_0_.D - CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D% SIZE_DMA_0_.C - SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D% inst_VPA_D.C inst_UDS_000_INT.D% - inst_UDS_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D - inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D% inst_DTACK_D0.C inst_CLK_OUT_PRE_50.D - inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D0.D - inst_CLK_000_D0.C inst_CLK_000_PE.D inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D - CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D inst_CLK_000_NE.C N_96_i% - CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C cpu_est_2_.D cpu_est_2_.C IPL_D0_0_.D% - IPL_D0_0_.C IPL_D0_1_.D% IPL_D0_1_.C IPL_D0_2_.D% IPL_D0_2_.C SM_AMIGA_3_.T - SM_AMIGA_3_.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C SM_AMIGA_0_.D - SM_AMIGA_0_.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D% inst_AMIGA_BUS_ENABLE_DMA_HIGH.C - SM_AMIGA_6_.D SM_AMIGA_6_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C - RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.T.X1 - RST_DLY_4_.T.X2 RST_DLY_4_.C RST_DLY_5_.T RST_DLY_5_.C RST_DLY_6_.T RST_DLY_6_.C - RST_DLY_7_.D RST_DLY_7_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C - CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C - CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C - CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C - CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C - CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C - CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C - CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C - CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C - CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C - CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C inst_CLK_030_H.D inst_CLK_030_H.C - SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_2_.D - SM_AMIGA_2_.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C SM_AMIGA_i_7_.D - SM_AMIGA_i_7_.C CIIN_0 -.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 243 ---------------------------------------------------01---------------------------------------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0------------------------------------------------------------------------------------- 01000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------0----------------------------------------------------------------------0---------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0--1------0------------------------------------------------------------------------------------- 00010001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------0-----------------------------------------------------------------------------0----------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1--1-------------------------------------------------------------------------------------------- 00000100010100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------0---------------------------------------------------------------------0---------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------0--------------------------------------------------------1--------0-------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------0-------------------------------------------------------1--------0-------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---1--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1------------------------------------------------------------------------------------------------------------------------ 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---0--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0--------------------------------------------------------------------------01--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-------------------------------------------------------------------------------------0--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0-------0--------------------------------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------1------------------------------------------------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------------------------------------------------------------------------0---------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-------------00000001111----------------0-------------------------------------------------------------------------------------- 00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 --------------------------------------------------------------------------------------------------------------------------------1 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------10---------------------------------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------11-----------------------------------110----------------------------------------------------------- 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------10-----------------------------------010----------------------------------------------------------- 00000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------01-----------------------------------100----------------------------------------------------------- 00000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------00-----------------------------------000----------------------------------------------------------- 00000000000000000000000000010000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------------------------------------------------------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0-----------------------------------1------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1-----------------------------------0------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0-------------------------------------1-----------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1-------------------------------------0-----------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------0----------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1---------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000001010010010101010100101010100101010101010101010101010101010101010101010101010101010010101010101010101010101010100101010101010101010101010101010101010101010101010101010101010 --------------1-------------------------0--------------------0------------------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0-------------------------------0-------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1--------------------------------------------------------------------------------------0- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000100000000000000000000000000000000000000000010100000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------1-----------------------------------------------------------1---------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------------------------------------------------------1------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1---------------------------11----------------1-------------------------------------------------------------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1--------------------------------------------------------------------------------------------------0--------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------0------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------------------0------------------------------------------------------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------01-----------------------------------101----------------------------------------------------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------00-----------------------------------001----------------------------------------------------------- 00000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0------------------------------------------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0-----------------------------------1-----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1-----------------------------------0-----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------0----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------1---------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1------------------------------------------------------0---------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------10-----------------------------------011----------------------------------------------------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0----------------------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------0----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0-------------------------------------1---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1-------------------------------------0---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------1--------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1------------------------------------------------------0--------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----1---------------------------------------------------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------1---------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------------------------------1----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0-------------------------------0---------------------------------------------------1-- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ----------------------------------1--0------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1---0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------11---------------------------0----1--------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------00---------------------------0----1--------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1------------------------------------0--------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0--------------------0--------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------00-01----------------------1----1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------01-10--------------0---------1--1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------11111111--------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------1----------------------------------------------------------0----------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0-------------------------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0--1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0---------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------1---------------------------------0--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--10------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--00---------------------------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--11---------------------------1----1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--1----------------------------0----1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------0--------------------------------0--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------0--0-----------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0--------------------1-------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1----------------------0--------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1----------------------------------1--------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-------0----1---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------------------------------------------------0----------- 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0--0--------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0--------------------------------------1--------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------11------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------00------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------------------------11------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0---------------------------------------1----------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1--------------------------------------1-----------------------------------------------------------1-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1----------------------------------------------------------0-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------0-----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------1-----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------01-------------------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------10----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0----------------1----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------0---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-----------------0----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------1-----1---------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------01------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------1---------0-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------0--------------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------0------------------------------1-------------------------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1----------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------1-------------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------0------------------------------1-----------------------------------------------100--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0-1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------0---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1----------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0--0--------------------------0-0--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0----1------------------------0-0--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0------------------0----------0-0--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0-------------------1---------0-0--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1---------------------------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--1---------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------00--------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------1----0--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0-------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0-------------------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------1--------0--------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------1---0------------11-------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------10-00--------------0------------1---11-------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------1-------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1--------0-----------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------0----------1-----------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 ----0---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------1--------------------------0------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------1-0-------------10---------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------1------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------0-------------1--------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------11111111--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0-------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------01--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------10--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------1111111--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0--------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------0-1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------01-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------110-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------111111--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0---------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------0--1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------0-1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------01------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------1110------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------11111--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------0-----------------------------------------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------1111------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------111110----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1------------1111110---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1------------111111-0--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 --------------0-------------------------------------------------------------------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------1111110---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1------------11111110--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------1111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------01-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 -----------------------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 -------------------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -----------------------------------------------------------10-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 ---------------------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 ----------------------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 -----------------------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 ------------------------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 -------------------------------------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 --------------------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 ---------------------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 ----------------------------------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 -----------------------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 ------------------------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 --------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------01--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------10--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------01--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------10--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1----------------------------------------------1----------------------------------------------0-1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------------------------------------0--------------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1---------------------------------------------------------------------------------------------1-1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1-------------------------1----------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1-------------------------1--------------------------------------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1----------------------------------------------0-----------------------------------------------1----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1--------------------------------------1---0------------11--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------10-00--------------0------------1---11--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------------------------------------------1---------------------------------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1----------------------------------------------0------------------------------------------------1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------------0-----------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1---------------------------0--------------------------------------------------------------------1--------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1-------------------------1--------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1----------------------------------------------1-11-----0-0-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------1---0---1-11------10-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------10-00--------------0-------1-11-1----10-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0--------------------1--1-----0-0-----------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0------------1---0---1--1------10-----------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------10-00-0------------0-------1--1-1----10-----------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1------------------------------------------------11-----0-0--0---------------------------------00------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------1---0-----11------10--0---------------------------------00------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------10-00--------------0---------11-1----10--0---------------------------------00------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0-----------------------1-----0-0--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0------------1---0------1------10--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------10-00-0------------0----------1-1----10--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1----------------------------------------------0--1-------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------------------------------1-------0-----------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 + RW.PIN +.ob SIZE_0_ SIZE_0_.OE SIZE_1_ SIZE_1_.OE AS_030% AS_030.OE AS_000% AS_000.OE + DS_030% DS_030.OE UDS_000% UDS_000.OE LDS_000% LDS_000.OE BERR BERR.OE + CLK_DIV_OUT CLK_DIV_OUT.OE CLK_EXP FPU_CS% AVEC AMIGA_ADDR_ENABLE + AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW% AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE + IPL_030_2_.D% IPL_030_2_.C RW_000.D% RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D% + BG_000.C BGACK_030.D% BGACK_030.C IPL_030_1_.D% IPL_030_1_.C IPL_030_0_.D% + IPL_030_0_.C DSACK1.D% DSACK1.C DSACK1.OE E.D E.C VMA.T VMA.C RESET.D RESET.C + RW.D% RW.C RW.OE N_165 cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D% cpu_est_1_.C + inst_AS_000_INT.D% inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C + inst_AMIGA_BUS_ENABLE_DMA_LOW.D% inst_AMIGA_BUS_ENABLE_DMA_LOW.C + inst_AS_030_D0.D% inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D% + inst_nEXP_SPACE_D0reg.C inst_DS_030_D0.D% inst_DS_030_D0.C + inst_AS_030_000_SYNC.D% inst_AS_030_000_SYNC.C inst_BGACK_030_INT_D.D% + inst_BGACK_030_INT_D.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D + inst_DS_000_DMA.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C + SIZE_DMA_0_.D% SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D% + inst_VPA_D.C inst_UDS_000_INT.D% inst_UDS_000_INT.C inst_LDS_000_INT.D + inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D% + inst_DTACK_D0.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D + inst_CLK_000_D1.C inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D + inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D + inst_CLK_000_NE.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C cpu_est_2_.D + cpu_est_2_.C IPL_D0_0_.D% IPL_D0_0_.C IPL_D0_1_.D% IPL_D0_1_.C IPL_D0_2_.D% + IPL_D0_2_.C SM_AMIGA_3_.T SM_AMIGA_3_.C inst_CLK_000_NE_D0.D + inst_CLK_000_NE_D0.C SM_AMIGA_0_.D SM_AMIGA_0_.C + inst_AMIGA_BUS_ENABLE_DMA_HIGH.D% inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_6_.D + SM_AMIGA_6_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D + RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.T.X1 RST_DLY_4_.T.X2 + RST_DLY_4_.C RST_DLY_5_.T RST_DLY_5_.C RST_DLY_6_.T RST_DLY_6_.C RST_DLY_7_.D + RST_DLY_7_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D + CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D + CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D + CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D + CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_N_SYNC_0_.D + CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D + CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D + CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D + CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D + CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D + CLK_000_N_SYNC_10_.C inst_CLK_030_H.D inst_CLK_030_H.C SM_AMIGA_1_.D + SM_AMIGA_1_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_2_.D SM_AMIGA_2_.C + inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C +.phase 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 242 +-----------------------------------------------10-------------------------------------------------------------------------- 1000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0----------0----------------------------------------------------------------------------------- 0101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------01-------------------------------------------------------------------------- 0010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------0---------------------------------------------------------------------0--------- 0000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0--1-------0----------------------------------------------------------------------------------- 0000010001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------0----------------------------------------------------------------------------0---------- 0000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1--1------------------------------------------------------------------------------------------- 0000000100010100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------0--------------------------------------------------------------------0--------- 0000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------0-------------------------------------------------------1--------0------- 0000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------0------------------------------------------------------1--------0------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------------------------------------------------- 0000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1---1----------0010--1------------------------------------------------------------------------------------0---------- 0000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1------------------------------------------------------------------------------------------------------------------- 0000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1---0----------0010--1------------------------------------------------------------------------------------0---------- 0000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0----------0-------------------------------------------------------------------------01-------- 0000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1-------------------------------------------------------------------------------------0-------- 0000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0--------0------------------------------------------------------------------------------------- 0000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0---------------------------------------1------------------------------------------------------ 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1------------------------------------------------------------------------------0--------------- 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0-------------0000000-----------------01----------------------------------------------------------------------------------- 0000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------11-----------------------------------110---------------------------------------------------------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------10-----------------------------------010---------------------------------------------------------- 0000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------01-----------------------------------100---------------------------------------------------------- 0000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------00-----------------------------------000---------------------------------------------------------- 0000000000000000000000000001000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-------------------------------------------------------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------0-----------------------------------1------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------1-----------------------------------0------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------0-------------------------------------1-----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------1-------------------------------------0-----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------0----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------1----------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000101001001010101010010101010001010101010101010101010101010101010101010101010101010101010101010101010101010101010010101010101010101010101010101010101010101010101010101010101 +-------------1----------------------0--------------------0-----------------------------------------0-------1--------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------0------------------------------0-------------------------------0-------1--------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------1-------------------------------------------------------------------------------------0 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000010000000000000000000000000000000000000000000101000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1-------------1----------------------------------------------------------1--------------------- 0000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0---------------------------------------------------------------------------------------1------ 0000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1------------------------11----------------1------------------------------------------------------------------ 0000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1----------------------------------------------------------------------------------------------0-------------- 0000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------0------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0----------------------------0----------------------------------------------------------------- 0000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------01-----------------------------------101---------------------------------------------------------- 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------00-----------------------------------001---------------------------------------------------------- 0000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------0------------------------------------------------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------0-----------------------------------1-----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------1-----------------------------------0-----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------0----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1--------------------------------------------------1---------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1--------------------------------------------------0---------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------10-----------------------------------011---------------------------------------------------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------0----------------------------------------------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------0----------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------0-------------------------------------1---------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------1-------------------------------------0---------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1--------------------------------------------------1--------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1--------------------------------------------------0--------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0-----1-----------------------------------------------------------------------------------1-----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------1--------------------------------------------1-----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------------------------------1----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------0------------------------------0---------------------------------------------------1- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1----------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1---0----------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1----0---------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------11--------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------00--------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1------------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0----------------0-------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------00--01----------------------1---1------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------01--10--------------0---------1-1------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------1------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------11111111-------------------------------------------- 0000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 +-------------1--------------1-------------1---------------------------------------------------------0---------------------- 0000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0-------------------------------------------------------------------------------------0-------- 0000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0--1------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0--0-------------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0----1-----------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0------------------0---------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0-------------------1--------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------0--------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1--------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1---10---------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------0---00--------------------------1------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------0---11--------------------------1----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1---1---------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------0-------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------1-------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------0--0----------------------------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------0--------------------1------------1---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------1----------------------0-------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------1---------------------------------1--------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1---------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1--------0----1-------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------------------------------------------0---------- 0000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0--------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------------------------------------------------------0------- 0000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------0--0-------------------------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--0----------1--------------1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------1------1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------1-----1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------0----1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------1---1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------01---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0-----------------------------------1------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------11---------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------00---------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------------------------------------1--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------------------------------------------11----- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0------------------------------------1---------------------------------------------------------------------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1-----------------------------------1----------------------------------------------------------1-----------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------1---------------------------------------------------------0-----------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0----------------0-----------1-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0----------------1-----------0-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0----------------01------------------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0----------------10----------1-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0-----------------1----------0-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1-------------0-------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1------------------0--------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0---------------------------------------------------------------------------------------00----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1-------------1-----1-------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0---------------------------------------------------------------------------------------00----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------01------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1---------0------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------0-------------------0---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0-----------------------------1-------------------------------------------------0-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1----------1----------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------1------------------0---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0-----------------------------1-----------------------------------------------100-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------1-------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------0-1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------0-------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------1------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1------------------------------------------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------1---------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------------1----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------1-------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------------------------1------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------1--------------------------1------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1---1--------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------00-------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------1----0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------0------------------------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------0------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0---------------------------------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------1-------0--------------------------------------1------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------1---0-----------11-------------------------------------0------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------10--00--------------0-----------1---11-------------------------------------0------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------1-------------------------------------------------------0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1--------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-------0-----------------------------------1------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------0---------1-----------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +---0---------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1-------------1-------------------------0------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------1-0-------------10--------------------------------------------------0--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------1------------------------------------0--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------0------------1--------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------0-----------1--------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------0--------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------11111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------0------------1-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------01-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------10-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------1111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------0-------------1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------0-1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------01------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------110------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------------111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------0--------------1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------0--1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------0-1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------------01------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------1110------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------11111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------0-------------------------------------------------------------1----------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------1111------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------0--------------------------------------------------------------1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------111110---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1-----------1111110--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1-----------111111-0-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-------------0---------------------------------------------------------------1--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------1111110--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1-----------11111110-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------1111111--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------------1-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 +-------------------------------------------------------01------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------1------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------1------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------1----------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------1---------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------1--------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 +------------------------------------------------------------------------------------1-------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------1------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 +--------------------------------------------------------------------------------------1------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 +-------------------------------------------------------10------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 +----------------------------------------------------------------------------------------1---------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 +-----------------------------------------------------------------------------------------1--------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 +------------------------------------------------------------------------------------------1-------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 +-------------------------------------------------------------------------------------------1------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 +--------------------------------------------------------------------------------------------1------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 +---------------------------------------------------------------------------------------------1----------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------------------------------------------------------------------------------------------1---------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +-----------------------------------------------------------------------------------------------1--------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +------------------------------------------------------------------------------------------------1-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +-------------------------------------------------------------------------------------------------1------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 +-------0-----1--------------0--------------0-01------------------------------------------------------------------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------0-----1--------------0--------------0-10------------------------------------------------------------------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------------1--------------0----------------01-------------------------------------------------------1----------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------------1--------------0----------------10-------------------------------------------------------1----------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------0-----1--------------0--------------0-01------------------------------------------------------------------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------0-----1--------------0--------------0-10------------------------------------------------------------------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------------1--------------0----------------01-------------------------------------------------------1----------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------------1--------------0----------------10-------------------------------------------------------1----------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------------1-------------------------------------------1---------------------------------------------0-1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +-------------1---------------------------------------------0-------------------------------------------1-----------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +-------------1-----------------------------------------------------------------------------------------1-1---------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +-------------1----------------------1----------------------1--------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------1----------------------1-------------------------------------------------------------------1------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------1-------------------------------------------0----------------------------------------------1----------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------1-----------------------------------1---0-----------11-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +-------------1---------------10--00--------------0-----------1---11-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +-------------1---------------------------------------------------1---------------------------------------1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +-------------1-------------------------------------------0-----------------------------------------------1---------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +-------------1----------------------0----------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +-------------1------------------------0-------------------------------------------------------------------1--------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +-------------1----------------------1-------------------------------------------------------------------------------------1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +-------------1------------------0------------------------1-1-----0-0------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0----------------1---0---1-1------10------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1---------------10-000--------------0-------1-1-1----10------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0---0--------------------1-------0-0-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0---0------------1---0---1--------10-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1---------------10-000-0------------0-------1---1----10-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0--------------------------1-----0-0--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0----------------1---0-----1------10--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1---------------10-000--------------0---------1-1----10--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0---0----------------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0---0------------1---0------------10--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1---------------10-000-0------------0-----------1----10--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0------------------------0---------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0----------------------------------0-----------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 .end diff --git a/Logic/68030_tk.tte b/Logic/68030_tk.tte index 9d7e34c..b819466 100644 --- a/Logic/68030_tk.tte +++ b/Logic/68030_tk.tte @@ -1,20 +1,19 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Wed May 13 22:59:21 2015 +#$ DATE Thu Jul 09 18:48:59 2015 #$ MODULE BUS68030 -#$ PINS 61 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ - A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ DS_030 UDS_000 LDS_000 A1 +#$ PINS 57 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ A_25_ A_24_ + IPL_2_ FC_1_ AS_030 AS_000 A_19_ A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A1 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP - IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DTACK AVEC VPA RST AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ - A_29_ IPL_030_2_ RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA - RESET RW -#$ NODES 73 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ + FPU_CS FPU_SENSE IPL_1_ DTACK IPL_0_ AVEC FC_0_ VPA RST AMIGA_ADDR_ENABLE + AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_2_ + RW_000 A0 BG_000 BGACK_030 IPL_030_1_ IPL_030_0_ DSACK1 E VMA RESET RW +#$ NODES 72 N_165 cpu_est_0_ cpu_est_1_ inst_AS_000_INT SM_AMIGA_5_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_nEXP_SPACE_D0reg inst_DS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ SIZE_DMA_0_ SIZE_DMA_1_ inst_VPA_D inst_UDS_000_INT inst_LDS_000_INT inst_CLK_OUT_PRE_D inst_DTACK_D0 inst_CLK_OUT_PRE_50 inst_CLK_000_D1 inst_CLK_000_D0 inst_CLK_000_PE - CLK_000_P_SYNC_9_ inst_CLK_000_NE N_96_i CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ + CLK_000_P_SYNC_9_ inst_CLK_000_NE CLK_000_N_SYNC_11_ cpu_est_2_ IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ SM_AMIGA_3_ inst_CLK_000_NE_D0 SM_AMIGA_0_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_6_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ RST_DLY_3_ RST_DLY_4_ RST_DLY_5_ RST_DLY_6_ RST_DLY_7_ CLK_000_P_SYNC_0_ @@ -23,22 +22,21 @@ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_6_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ inst_CLK_030_H - SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ CIIN_0 + SM_AMIGA_1_ SM_AMIGA_4_ SM_AMIGA_2_ inst_DS_000_ENABLE SM_AMIGA_i_7_ .type f -.i 128 -.o 200 +.i 123 +.o 199 .ilb A_31_ IPL_2_ FC_1_ A1 nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI - FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ - A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q - RESET.Q cpu_est_0_.Q cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q - inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q - inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q - inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q - inst_VPA_D.Q inst_UDS_000_INT.Q inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q - inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q inst_CLK_000_D1.Q inst_CLK_000_D0.Q - inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q inst_CLK_000_NE.Q N_96_i - CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q - SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q + FPU_SENSE DTACK VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_19_ A_18_ + A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q E.Q VMA.Q RESET.Q N_165 cpu_est_0_.Q + cpu_est_1_.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q + inst_AS_030_D0.Q inst_nEXP_SPACE_D0reg.Q inst_DS_030_D0.Q inst_AS_030_000_SYNC.Q + inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q + CYCLE_DMA_1_.Q SIZE_DMA_0_.Q SIZE_DMA_1_.Q inst_VPA_D.Q inst_UDS_000_INT.Q + inst_LDS_000_INT.Q inst_CLK_OUT_PRE_D.Q inst_DTACK_D0.Q inst_CLK_OUT_PRE_50.Q + inst_CLK_000_D1.Q inst_CLK_000_D0.Q inst_CLK_000_PE.Q CLK_000_P_SYNC_9_.Q + inst_CLK_000_NE.Q CLK_000_N_SYNC_11_.Q cpu_est_2_.Q IPL_D0_0_.Q IPL_D0_1_.Q + IPL_D0_2_.Q SM_AMIGA_3_.Q inst_CLK_000_NE_D0.Q SM_AMIGA_0_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q DSACK1.Q SM_AMIGA_6_.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q RST_DLY_3_.Q RST_DLY_4_.Q RST_DLY_5_.Q RST_DLY_6_.Q RST_DLY_7_.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q @@ -50,292 +48,291 @@ SM_AMIGA_4_.Q SM_AMIGA_2_.Q inst_DS_000_ENABLE.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN - RW.PIN CIIN_0 -.ob SIZE_1_ SIZE_1_.OE AS_030- AS_030.OE AS_000- AS_000.OE DS_030- DS_030.OE - UDS_000- UDS_000.OE LDS_000- LDS_000.OE BERR BERR.OE CLK_DIV_OUT CLK_DIV_OUT.OE - CLK_EXP FPU_CS- AVEC AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW- - AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE SIZE_0_ SIZE_0_.OE IPL_030_2_.D- IPL_030_2_.C - RW_000.D- RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D- BG_000.C BGACK_030.D- - BGACK_030.C IPL_030_1_.D- IPL_030_1_.C IPL_030_0_.D- IPL_030_0_.C DSACK1.D- - DSACK1.C DSACK1.OE E.D E.C VMA.T VMA.C RESET.D RESET.C RW.D- RW.C RW.OE - cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D- cpu_est_1_.C inst_AS_000_INT.D- - inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D- - inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D- inst_AS_030_D0.C - inst_nEXP_SPACE_D0reg.D- inst_nEXP_SPACE_D0reg.C inst_DS_030_D0.D- - inst_DS_030_D0.C inst_AS_030_000_SYNC.D- inst_AS_030_000_SYNC.C - inst_BGACK_030_INT_D.D- inst_BGACK_030_INT_D.C inst_AS_000_DMA.D - inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C CYCLE_DMA_0_.D - CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D- SIZE_DMA_0_.C - SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D- inst_VPA_D.C inst_UDS_000_INT.D- - inst_UDS_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D - inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D- inst_DTACK_D0.C inst_CLK_OUT_PRE_50.D - inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D0.D - inst_CLK_000_D0.C inst_CLK_000_PE.D inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D - CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D inst_CLK_000_NE.C N_96_i- - CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C cpu_est_2_.D cpu_est_2_.C IPL_D0_0_.D- - IPL_D0_0_.C IPL_D0_1_.D- IPL_D0_1_.C IPL_D0_2_.D- IPL_D0_2_.C SM_AMIGA_3_.T - SM_AMIGA_3_.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C SM_AMIGA_0_.D - SM_AMIGA_0_.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- inst_AMIGA_BUS_ENABLE_DMA_HIGH.C - SM_AMIGA_6_.D SM_AMIGA_6_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C - RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.T.X1 - RST_DLY_4_.T.X2 RST_DLY_4_.C RST_DLY_5_.T RST_DLY_5_.C RST_DLY_6_.T RST_DLY_6_.C - RST_DLY_7_.D RST_DLY_7_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C - CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C - CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C - CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C - CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C - CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C - CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C - CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C - CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C - CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C - CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C inst_CLK_030_H.D inst_CLK_030_H.C - SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_2_.D - SM_AMIGA_2_.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C SM_AMIGA_i_7_.D - SM_AMIGA_i_7_.C CIIN_0 -.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 243 ---------------------------------------------------01---------------------------------------------------------------------------- 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0------------------------------------------------------------------------------------- 01000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------0----------------------------------------------------------------------0---------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0--1------0------------------------------------------------------------------------------------- 00010001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------0-----------------------------------------------------------------------------0----------- 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1--1-------------------------------------------------------------------------------------------- 00000100010100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------0---------------------------------------------------------------------0---------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------0--------------------------------------------------------1--------0-------- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------0-------------------------------------------------------1--------0-------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---1--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1------------------------------------------------------------------------------------------------------------------------ 00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1---0--------------0010--1------------------------------------------------------------------------------------0----------- 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------0--------------------------------------------------------------------------01--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-------------------------------------------------------------------------------------0--------- 00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0-------0--------------------------------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------1------------------------------------------------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------------------------------------------------------------------------0---------------- 00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-------------00000001111----------------0-------------------------------------------------------------------------------------- 00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 --------------------------------------------------------------------------------------------------------------------------------1 00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------10---------------------------------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------11-----------------------------------110----------------------------------------------------------- 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------10-----------------------------------010----------------------------------------------------------- 00000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------01-----------------------------------100----------------------------------------------------------- 00000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1---------------00-----------------------------------000----------------------------------------------------------- 00000000000000000000000000010000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1-----------------------------------------------------------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0-----------------------------------1------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1-----------------------------------0------------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0-------------------------------------1-----------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1-------------------------------------0-----------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------0----------------------------------------------0------------ 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1---------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000001010010010101010100101010100101010101010101010101010101010101010101010101010101010010101010101010101010101010100101010101010101010101010101010101010101010101010101010101010 --------------1-------------------------0--------------------0------------------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0-------------------------------0-------------------------------0-------1---------------- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1--------------------------------------------------------------------------------------0- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000100000000000000000000000000000000000000000010100000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------1-----------------------------------------------------------1---------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------------------------------------------------------1------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1---------------------------11----------------1-------------------------------------------------------------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0-------1--------------------------------------------------------------------------------------------------0--------------- 00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------0------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------------------0------------------------------------------------------------------- 00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------01-----------------------------------101----------------------------------------------------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------00-----------------------------------001----------------------------------------------------------- 00000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0------------------------------------------------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0-----------------------------------1-----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------1-----------------------------------0-----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------------------0----------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------1---------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1------------------------------------------------------0---------------------------------------------0------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1---------------10-----------------------------------011----------------------------------------------------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0----------------------------------------------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------0----------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0-------------------------------------1---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------1-------------------------------------0---------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------1--------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------1------------------------------------------------------0--------------------------------------------0-------------- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----1---------------------------------------------------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------------------1---------------------------------------------1-----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------------------------------1----1-------------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0-------------------------------0---------------------------------------------------1-- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ----------------------------------1--0------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1---0------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------11---------------------------0----1--------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------00---------------------------0----1--------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1------------------------------------0--------------------------------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0--------------------0--------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------00-01----------------------1----1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------01-10--------------0---------1--1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------11111111--------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------1----------------------------------------------------------0----------------------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0-------------------------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0--1-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------0---------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------1---------------------------------0--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--10------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--00---------------------------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--11---------------------------1----1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--1----------------------------0----1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------0--------------------------------0--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1---------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------0--0-----------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------0--------------------1-------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1----------------------0--------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------1----------------------------------1--------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-------0----1---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------------------------------------------------0----------- 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------------------------------------------------0-------- 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------0--0--------------------------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---0----------1------------------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------1------1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------1-----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------0----1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------1---1--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------01--------01--1-----------------------------------------------------------------0-------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0--------------------------------------1--------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------11------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------00------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------------------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------------------------11------ 00000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0---------------------------------------1----------------------------------------------------------------------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1--------------------------------------1-----------------------------------------------------------1-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1----------------------------------------------------------0-----------0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------0-----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------1-----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------01-------------------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------10----------1--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0----------------1----------0--------------------------------------------------------0---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------0---------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1-----------------0----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1------------1-----1---------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0---------------------------------------------------------------------------------------00------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------01------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------1---------0-------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------0--------------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1-----------------------------0------------------------------1-------------------------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1----------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------1-------------------0----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------0------------------------------1-----------------------------------------------100--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0-1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------0---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1----------------------------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------1------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------------1------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0--0--------------------------0-0--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0----1------------------------0-0--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0------------------0----------0-0--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0-------------------1---------0-0--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1---------------------------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------1--1---------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------00--------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------1----0--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------0------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1---------------0-------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0-----------1------------------------------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------0-------------------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------1--------0--------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------1---0------------11-------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------10-00--------------0------------1---11-------------------------------------0------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------1-------------------------------------------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1--------0-----------------------------------1-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------0----------1-----------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 ----0---------1------------------0----------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------1------------1--------------------------0------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------1-0-------------10---------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------------------1------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------0-------------1--------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------11111111--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0-------------1--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------01--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------10--------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------1111111--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0--------------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------0-1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------01-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------110-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------111111--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------0---------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------1-------------------------------------------------------------0--1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------0-1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------1---------------------------------------------------------------01------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------1110------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------1----------------------------------------------------------------11111--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 --------------0-----------------------------------------------------------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------1111------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 --------------0------------------------------------------------------------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------111110----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1------------1111110---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1------------111111-0--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 --------------0-------------------------------------------------------------------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------1111110---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------1------------11111110--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 --------------1------------------------------------------------1------------1111111---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 --------------1--------------------------------------------------------------------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------01-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------------1------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------1----------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 -----------------------------------------------------------------------------------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 -------------------------------------------------------------------------------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -----------------------------------------------------------10-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 ---------------------------------------------------------------------------------------------1----------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 ----------------------------------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 -----------------------------------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 ------------------------------------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 -------------------------------------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 --------------------------------------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 ---------------------------------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 ----------------------------------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 -----------------------------------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 ------------------------------------------------------------------------------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 --------0-----1------------------0-------------0-01-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-10-------------------------------------------------------------------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------01--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------10--------------------------------------------------------1----------0--0------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-01-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------0-----1------------------0-------------0-10-------------------------------------------------------------------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------01--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1------------------0---------------10--------------------------------------------------------1----------0---0------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 --------------1----------------------------------------------1----------------------------------------------0-1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1------------------------------------------------0--------------------------------------------1-----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1---------------------------------------------------------------------------------------------1-1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------1-------------------------1----------------------1----------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1-------------------------1--------------------------------------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1----------------------------------------------0-----------------------------------------------1----------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 --------------1--------------------------------------1---0------------11--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------10-00--------------0------------1---11--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------------------------------------------1---------------------------------------1------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1----------------------------------------------0------------------------------------------------1---------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 --------------1-------------------------0-----------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1---------------------------0--------------------------------------------------------------------1--------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1-------------------------1--------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------1----------------------------------------------1-11-----0-0-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------1---0---1-11------10-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------10-00--------------0-------1-11-1----10-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0--------------------1--1-----0-0-----------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0------------1---0---1--1------10-----------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------10-00-0------------0-------1--1-1----10-----------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1------------------------------------------------11-----0-0--0---------------------------------00------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1--------------------------------------1---0-----11------10--0---------------------------------00------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------10-00--------------0---------11-1----10--0---------------------------------00------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0-----------------------1-----0-0--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------0------------1---0------1------10--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------10-00-0------------0----------1-1----10--0--------------------------------000------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1----------------------------------------------0--1-------------------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 --------------1-------------------------------------------------1-------0-----------------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 + RW.PIN +.ob SIZE_0_ SIZE_0_.OE SIZE_1_ SIZE_1_.OE AS_030- AS_030.OE AS_000- AS_000.OE + DS_030- DS_030.OE UDS_000- UDS_000.OE LDS_000- LDS_000.OE BERR BERR.OE + CLK_DIV_OUT CLK_DIV_OUT.OE CLK_EXP FPU_CS- AVEC AMIGA_ADDR_ENABLE + AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW- AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE + IPL_030_2_.D- IPL_030_2_.C RW_000.D- RW_000.C RW_000.OE A0.D A0.C A0.OE BG_000.D- + BG_000.C BGACK_030.D- BGACK_030.C IPL_030_1_.D- IPL_030_1_.C IPL_030_0_.D- + IPL_030_0_.C DSACK1.D- DSACK1.C DSACK1.OE E.D E.C VMA.T VMA.C RESET.D RESET.C + RW.D- RW.C RW.OE N_165 cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D- cpu_est_1_.C + inst_AS_000_INT.D- inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C + inst_AMIGA_BUS_ENABLE_DMA_LOW.D- inst_AMIGA_BUS_ENABLE_DMA_LOW.C + inst_AS_030_D0.D- inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D- + inst_nEXP_SPACE_D0reg.C inst_DS_030_D0.D- inst_DS_030_D0.C + inst_AS_030_000_SYNC.D- inst_AS_030_000_SYNC.C inst_BGACK_030_INT_D.D- + inst_BGACK_030_INT_D.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D + inst_DS_000_DMA.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C + SIZE_DMA_0_.D- SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C inst_VPA_D.D- + inst_VPA_D.C inst_UDS_000_INT.D- inst_UDS_000_INT.C inst_LDS_000_INT.D + inst_LDS_000_INT.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_DTACK_D0.D- + inst_DTACK_D0.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D + inst_CLK_000_D1.C inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_PE.D + inst_CLK_000_PE.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C inst_CLK_000_NE.D + inst_CLK_000_NE.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C cpu_est_2_.D + cpu_est_2_.C IPL_D0_0_.D- IPL_D0_0_.C IPL_D0_1_.D- IPL_D0_1_.C IPL_D0_2_.D- + IPL_D0_2_.C SM_AMIGA_3_.T SM_AMIGA_3_.C inst_CLK_000_NE_D0.D + inst_CLK_000_NE_D0.C SM_AMIGA_0_.D SM_AMIGA_0_.C + inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_6_.D + SM_AMIGA_6_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D + RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.T.X1 RST_DLY_4_.T.X2 + RST_DLY_4_.C RST_DLY_5_.T RST_DLY_5_.C RST_DLY_6_.T RST_DLY_6_.C RST_DLY_7_.D + RST_DLY_7_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D + CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D + CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D + CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D + CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_N_SYNC_0_.D + CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D + CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D + CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D + CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D + CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D + CLK_000_N_SYNC_10_.C inst_CLK_030_H.D inst_CLK_030_H.C SM_AMIGA_1_.D + SM_AMIGA_1_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_2_.D SM_AMIGA_2_.C + inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C +.phase 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 242 +-----------------------------------------------10-------------------------------------------------------------------------- 1000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0----------0----------------------------------------------------------------------------------- 0101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------01-------------------------------------------------------------------------- 0010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------0---------------------------------------------------------------------0--------- 0000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0--1-------0----------------------------------------------------------------------------------- 0000010001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------0----------------------------------------------------------------------------0---------- 0000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1--1------------------------------------------------------------------------------------------- 0000000100010100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------0--------------------------------------------------------------------0--------- 0000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------0-------------------------------------------------------1--------0------- 0000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------0------------------------------------------------------1--------0------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------------------------------------------------- 0000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1---1----------0010--1------------------------------------------------------------------------------------0---------- 0000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1------------------------------------------------------------------------------------------------------------------- 0000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1---0----------0010--1------------------------------------------------------------------------------------0---------- 0000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0----------0-------------------------------------------------------------------------01-------- 0000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1-------------------------------------------------------------------------------------0-------- 0000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0--------0------------------------------------------------------------------------------------- 0000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0---------------------------------------1------------------------------------------------------ 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1------------------------------------------------------------------------------0--------------- 0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0-------------0000000-----------------01----------------------------------------------------------------------------------- 0000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------11-----------------------------------110---------------------------------------------------------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------10-----------------------------------010---------------------------------------------------------- 0000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------01-----------------------------------100---------------------------------------------------------- 0000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-----------00-----------------------------------000---------------------------------------------------------- 0000000000000000000000000001000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1-------------------------------------------------------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------0-----------------------------------1------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------1-----------------------------------0------------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------0-------------------------------------1-----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------1-------------------------------------0-----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------0----------------------------------------------0----------- 0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------1----------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000101001001010101010010101010001010101010101010101010101010101010101010101010101010101010101010101010101010101010010101010101010101010101010101010101010101010101010101010101 +-------------1----------------------0--------------------0-----------------------------------------0-------1--------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------0------------------------------0-------------------------------0-------1--------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------1-------------------------------------------------------------------------------------0 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000010000000000000000000000000000000000000000000101000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1-------------1----------------------------------------------------------1--------------------- 0000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0---------------------------------------------------------------------------------------1------ 0000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1------------------------11----------------1------------------------------------------------------------------ 0000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0-------1----------------------------------------------------------------------------------------------0-------------- 0000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------0------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0----------------------------0----------------------------------------------------------------- 0000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------01-----------------------------------101---------------------------------------------------------- 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------00-----------------------------------001---------------------------------------------------------- 0000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------0------------------------------------------------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------0-----------------------------------1-----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------1-----------------------------------0-----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------------0----------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1--------------------------------------------------1---------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1--------------------------------------------------0---------------------------------------------0------------ 0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1-----------10-----------------------------------011---------------------------------------------------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------0----------------------------------------------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------0----------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------0-------------------------------------1---------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------1-------------------------------------0---------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1--------------------------------------------------1--------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-----------1--------------------------------------------------0--------------------------------------------0------------- 0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0-----1-----------------------------------------------------------------------------------1-----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------1--------------------------------------------1-----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------------------------------1----1------------------- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------0------------------------------0---------------------------------------------------1- 0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1----------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1---0----------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1----0---------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------11--------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------00--------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1------------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0----------------0-------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------00--01----------------------1---1------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------01--10--------------0---------1-1------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------1------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------11111111-------------------------------------------- 0000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000 +-------------1--------------1-------------1---------------------------------------------------------0---------------------- 0000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0-------------------------------------------------------------------------------------0-------- 0000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0--1------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0--0-------------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0----1-----------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0------------------0---------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------0-------------------1--------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------0--------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------1--------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1---10---------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------0---00--------------------------1------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------0---11--------------------------1----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1---1---------------------------0----1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------0-------------------------------0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------1-------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------0--0----------------------------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------0--------------------1------------1---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------1----------------------0-------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------1---------------------------------1--------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1---------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1--------0----1-------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------------------------------------------------0---------- 0000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0--------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------------------------------------------------------0------- 0000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------0--0-------------------------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--0----------1--------------1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------1------1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------1-----1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------0----1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------1---1---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------01---------01--1----------------------------------------------------------------0-------------1- 0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0-----------------------------------1------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------11---------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------00---------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------------------------------------1--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------------------------------------------11----- 0000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0------------------------------------1---------------------------------------------------------------------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1-----------------------------------1----------------------------------------------------------1-----------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------1---------------------------------------------------------0-----------0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0----------------0-----------1-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0----------------1-----------0-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0----------------01------------------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0----------------10----------1-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0-----------------1----------0-------------------------------------------------------0--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1-------------0-------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1------------------0--------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------0---------------------------------------------------------------------------------------00----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1-------------1-----1-------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0---------------------------------------------------------------------------------------00----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------01------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------1---------0------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------0-------------------0---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------0-----------------------------1-------------------------------------------------0-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1----------1----------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------1------------------0---------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------0-----------------------------1-----------------------------------------------100-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------1-------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------0-1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------0-------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------1------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1------------------------------------------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------1---------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------------1----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------1-------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------------------------1------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------1--------------------------1------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1---1--------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------00-------------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------1----0-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1------------0------------------------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------0------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0-----------1------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------0---------------------------------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------1-------0--------------------------------------1------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------1---0-----------11-------------------------------------0------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------10--00--------------0-----------1---11-------------------------------------0------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------1-------------------------------------------------------0- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1--------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-------0-----------------------------------1------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------0---------1-----------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +---0---------1--------------0---------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------1-------------1-------------------------0------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------1-0-------------10--------------------------------------------------0--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1--------------------------------------------------------1------------------------------------0--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1-------------------------------------------0------------1--------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------0-----------1--------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------0--------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------11111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------0------------1-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------01-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------10-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------1111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------0-------------1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------0-1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------01------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------110------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------------111111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------0--------------1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------------------0--1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------0-1------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1-----------------------------------------------------------01------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------1110------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------1------------------------------------------------------------11111-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +-------------0-------------------------------------------------------------1----------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------1111------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +-------------0--------------------------------------------------------------1---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------111110---------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1-----------1111110--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1-----------111111-0-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 +-------------0---------------------------------------------------------------1--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------1111110--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------1-----------11111110-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +-------------1---------------------------------------------1-----------1111111--------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 +-------------1----------------------------------------------------------------1-------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000 +-------------------------------------------------------01------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------1------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------1------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------1----------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------1---------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------1--------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000 +------------------------------------------------------------------------------------1-------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------1------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 +--------------------------------------------------------------------------------------1------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000 +-------------------------------------------------------10------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000 +----------------------------------------------------------------------------------------1---------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 +-----------------------------------------------------------------------------------------1--------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000 +------------------------------------------------------------------------------------------1-------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 +-------------------------------------------------------------------------------------------1------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 +--------------------------------------------------------------------------------------------1------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000 +---------------------------------------------------------------------------------------------1----------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----------------------------------------------------------------------------------------------1---------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +-----------------------------------------------------------------------------------------------1--------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +------------------------------------------------------------------------------------------------1-------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +-------------------------------------------------------------------------------------------------1------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 +-------0-----1--------------0--------------0-01------------------------------------------------------------------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------0-----1--------------0--------------0-10------------------------------------------------------------------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------------1--------------0----------------01-------------------------------------------------------1----------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------------1--------------0----------------10-------------------------------------------------------1----------0--0------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------0-----1--------------0--------------0-01------------------------------------------------------------------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------0-----1--------------0--------------0-10------------------------------------------------------------------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------------1--------------0----------------01-------------------------------------------------------1----------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------------1--------------0----------------10-------------------------------------------------------1----------0---0----- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +-------------1-------------------------------------------1---------------------------------------------0-1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +-------------1---------------------------------------------0-------------------------------------------1-----------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +-------------1-----------------------------------------------------------------------------------------1-1---------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +-------------1----------------------1----------------------1--------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------1----------------------1-------------------------------------------------------------------1------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------1-------------------------------------------0----------------------------------------------1----------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------1-----------------------------------1---0-----------11-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +-------------1---------------10--00--------------0-----------1---11-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +-------------1---------------------------------------------------1---------------------------------------1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +-------------1-------------------------------------------0-----------------------------------------------1---------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +-------------1----------------------0----------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +-------------1------------------------0-------------------------------------------------------------------1--------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +-------------1----------------------1-------------------------------------------------------------------------------------1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +-------------1------------------0------------------------1-1-----0-0------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0----------------1---0---1-1------10------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1---------------10-000--------------0-------1-1-1----10------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0---0--------------------1-------0-0-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0---0------------1---0---1--------10-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1---------------10-000-0------------0-------1---1----10-----------------------------------0------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0--------------------------1-----0-0--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0----------------1---0-----1------10--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1---------------10-000--------------0---------1-1----10--0---------------------------------00----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0---0----------------------------0-0--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0---0------------1---0------------10--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1---------------10-000-0------------0-----------1----10--0--------------------------------000----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0------------------------0---------------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +-------------1------------------0----------------------------------0-----------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 .end diff --git a/Logic/68030_tk.vcl b/Logic/68030_tk.vcl index b318b17..f41a4cc 100644 --- a/Logic/68030_tk.vcl +++ b/Logic/68030_tk.vcl @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 5/13/15; -TIME = 22:59:29; +DATE = 7/9/15; +TIME = 18:49:07; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -136,15 +136,15 @@ layer = OFF; [LOCATION ASSIGNMENT] Layer = OFF -BERR = OUTPUT,41,4,-; RW_000 = BIDIR,80,7,-; AS_000 = OUTPUT,42,4,-; +BERR = OUTPUT,41,4,-; +A0 = BIDIR,69,6,-; RW = BIDIR,71,6,-; -DS_030 = OUTPUT,98,0,-; AS_030 = OUTPUT,82,7,-; UDS_000 = OUTPUT,32,3,-; LDS_000 = OUTPUT,31,3,-; -A0 = BIDIR,69,6,-; +DS_030 = OUTPUT,98,0,-; SIZE_1_ = OUTPUT,79,7,-; SIZE_0_ = OUTPUT,70,6,-; IPL_030_2_ = OUTPUT,9,1,-; @@ -168,86 +168,85 @@ CLK_EXP = OUTPUT,10,1,-; inst_nEXP_SPACE_D0reg = NODE,*,6,-; RN_RESET = NODE,-1,1,-; RN_BGACK_030 = NODE,-1,7,-; -inst_CLK_000_PE = NODE,*,5,-; +inst_CLK_000_PE = NODE,*,3,-; +inst_CLK_000_NE = NODE,*,6,-; inst_AS_030_D0 = NODE,*,7,-; SM_AMIGA_i_7_ = NODE,*,5,-; -inst_CLK_000_NE = NODE,*,4,-; RN_E = NODE,-1,6,-; cpu_est_1_ = NODE,*,3,-; cpu_est_2_ = NODE,*,3,-; -SM_AMIGA_1_ = NODE,*,5,-; +SM_AMIGA_6_ = NODE,*,2,-; SM_AMIGA_5_ = NODE,*,5,-; -SM_AMIGA_0_ = NODE,*,1,-; -cpu_est_0_ = NODE,*,5,-; -inst_CLK_000_NE_D0 = NODE,*,3,-; -inst_CLK_000_D0 = NODE,*,3,-; +cpu_est_0_ = NODE,*,3,-; +inst_CLK_000_NE_D0 = NODE,*,1,-; +inst_CLK_000_D0 = NODE,*,6,-; +inst_CLK_000_D1 = NODE,*,5,-; inst_AS_000_DMA = NODE,*,0,-; inst_AS_030_000_SYNC = NODE,*,2,-; -RST_DLY_3_ = NODE,*,0,-; +RST_DLY_3_ = NODE,*,1,-; RST_DLY_2_ = NODE,*,1,-; -RST_DLY_5_ = NODE,*,1,-; +SM_AMIGA_3_ = NODE,*,5,-; +RST_DLY_5_ = NODE,*,0,-; RST_DLY_1_ = NODE,*,0,-; -inst_LDS_000_INT = NODE,*,2,-; +inst_LDS_000_INT = NODE,*,1,-; RN_VMA = NODE,-1,3,-; -inst_DS_000_ENABLE = NODE,*,5,-; +inst_DS_000_ENABLE = NODE,*,2,-; +SM_AMIGA_1_ = NODE,*,5,-; RST_DLY_6_ = NODE,*,1,-; RST_DLY_0_ = NODE,*,1,-; -SM_AMIGA_6_ = NODE,*,5,-; -inst_UDS_000_INT = NODE,*,2,-; +inst_UDS_000_INT = NODE,*,5,-; SIZE_DMA_1_ = NODE,*,6,-; SIZE_DMA_0_ = NODE,*,6,-; -RST_DLY_7_ = NODE,*,1,-; -RST_DLY_4_ = NODE,*,0,-; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,*,2,-; +RST_DLY_7_ = NODE,*,0,-; +RST_DLY_4_ = NODE,*,1,-; +inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,*,6,-; +SM_AMIGA_0_ = NODE,*,5,-; +inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,*,6,-; inst_AS_000_INT = NODE,*,2,-; -inst_CLK_000_D1 = NODE,*,6,-; -inst_VPA_D = NODE,*,1,-; +inst_CLK_OUT_PRE_50 = NODE,*,0,-; +inst_VPA_D = NODE,*,5,-; inst_BGACK_030_INT_D = NODE,*,7,-; +inst_DS_030_D0 = NODE,*,3,-; RN_IPL_030_0_ = NODE,-1,1,-; RN_IPL_030_1_ = NODE,-1,1,-; RN_IPL_030_2_ = NODE,-1,1,-; inst_DS_000_DMA = NODE,*,0,-; inst_CLK_030_H = NODE,*,0,-; -SM_AMIGA_3_ = NODE,*,5,-; RN_DSACK1 = NODE,-1,7,-; SM_AMIGA_2_ = NODE,*,5,-; -N_96_i = NODE,*,5,-; +N_165 = NODE,*,5,-; RN_A0 = NODE,-1,6,-; RN_RW_000 = NODE,-1,7,-; SM_AMIGA_4_ = NODE,*,5,-; CYCLE_DMA_1_ = NODE,*,0,-; RN_RW = NODE,-1,6,-; RN_BG_000 = NODE,-1,3,-; -CIIN_0 = NODE,*,4,-; CYCLE_DMA_0_ = NODE,*,0,-; -inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,*,2,-; CLK_000_N_SYNC_10_ = NODE,*,7,-; CLK_000_N_SYNC_9_ = NODE,*,6,-; CLK_000_N_SYNC_8_ = NODE,*,0,-; -CLK_000_N_SYNC_7_ = NODE,*,3,-; +CLK_000_N_SYNC_7_ = NODE,*,4,-; CLK_000_N_SYNC_6_ = NODE,*,3,-; -CLK_000_N_SYNC_5_ = NODE,*,2,-; -CLK_000_N_SYNC_4_ = NODE,*,0,-; -CLK_000_N_SYNC_3_ = NODE,*,3,-; -CLK_000_N_SYNC_2_ = NODE,*,3,-; -CLK_000_N_SYNC_1_ = NODE,*,1,-; +CLK_000_N_SYNC_5_ = NODE,*,0,-; +CLK_000_N_SYNC_4_ = NODE,*,3,-; +CLK_000_N_SYNC_3_ = NODE,*,0,-; +CLK_000_N_SYNC_2_ = NODE,*,0,-; +CLK_000_N_SYNC_1_ = NODE,*,0,-; CLK_000_N_SYNC_0_ = NODE,*,3,-; -CLK_000_P_SYNC_8_ = NODE,*,0,-; -CLK_000_P_SYNC_7_ = NODE,*,0,-; -CLK_000_P_SYNC_6_ = NODE,*,6,-; -CLK_000_P_SYNC_5_ = NODE,*,6,-; +CLK_000_P_SYNC_8_ = NODE,*,2,-; +CLK_000_P_SYNC_7_ = NODE,*,6,-; +CLK_000_P_SYNC_6_ = NODE,*,4,-; +CLK_000_P_SYNC_5_ = NODE,*,4,-; CLK_000_P_SYNC_4_ = NODE,*,1,-; -CLK_000_P_SYNC_3_ = NODE,*,1,-; -CLK_000_P_SYNC_2_ = NODE,*,6,-; +CLK_000_P_SYNC_3_ = NODE,*,2,-; +CLK_000_P_SYNC_2_ = NODE,*,1,-; CLK_000_P_SYNC_1_ = NODE,*,6,-; CLK_000_P_SYNC_0_ = NODE,*,3,-; -IPL_D0_2_ = NODE,*,6,-; -IPL_D0_1_ = NODE,*,6,-; +IPL_D0_2_ = NODE,*,1,-; +IPL_D0_1_ = NODE,*,2,-; IPL_D0_0_ = NODE,*,1,-; CLK_000_N_SYNC_11_ = NODE,*,7,-; -CLK_000_P_SYNC_9_ = NODE,*,0,-; -inst_CLK_OUT_PRE_50 = NODE,*,4,-; -inst_DTACK_D0 = NODE,*,2,-; -inst_CLK_OUT_PRE_D = NODE,*,4,-; -inst_DS_030_D0 = NODE,*,0,-; +CLK_000_P_SYNC_9_ = NODE,*,2,-; +inst_DTACK_D0 = NODE,*,3,-; +inst_CLK_OUT_PRE_D = NODE,*,6,-; CLK_OSZI = INPUT,61,-,-; diff --git a/Logic/68030_tk.vco b/Logic/68030_tk.vco index 057043e..210dd6a 100644 --- a/Logic/68030_tk.vco +++ b/Logic/68030_tk.vco @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 5/13/15; -TIME = 22:59:29; +DATE = 7/9/15; +TIME = 18:49:07; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -136,27 +136,26 @@ layer = OFF; [LOCATION ASSIGNMENT] Layer = OFF; -A_28_ = INPUT,15, C,-; -A_27_ = INPUT,16, C,-; +SIZE_0_ = BIDIR,70, G,-; +A_30_ = INPUT,5, B,-; SIZE_1_ = BIDIR,79, H,-; +A_29_ = INPUT,6, B,-; +A_28_ = INPUT,15, C,-; +A_31_ = INPUT,4, B,-; +A_27_ = INPUT,16, C,-; A_26_ = INPUT,17, C,-; A_25_ = INPUT,18, C,-; -A_31_ = INPUT,4, B,-; A_24_ = INPUT,19, C,-; -A_23_ = INPUT,85, H,-; -A_22_ = INPUT,84, H,-; -A_21_ = INPUT,94, A,-; IPL_2_ = INPUT,68, G,-; -A_20_ = INPUT,93, A,-; -A_19_ = INPUT,97, A,-; FC_1_ = INPUT,58, F,-; -A_18_ = INPUT,95, A,-; AS_030 = BIDIR,82, H,-; -A_17_ = INPUT,59, F,-; AS_000 = BIDIR,42, E,-; -A_16_ = INPUT,96, A,-; +A_19_ = INPUT,97, A,-; +A_18_ = INPUT,95, A,-; DS_030 = BIDIR,98, A,-; +A_17_ = INPUT,59, F,-; UDS_000 = BIDIR,32, D,-; +A_16_ = INPUT,96, A,-; LDS_000 = BIDIR,31, D,-; A1 = INPUT,60, F,-; nEXP_SPACE = INPUT,14,-,-; @@ -168,13 +167,13 @@ CLK_000 = INPUT,11,-,-; CLK_OSZI = INPUT,61,-,-; CLK_DIV_OUT = OUTPUT,65, G,-; CLK_EXP = OUTPUT,10, B,-; -IPL_1_ = INPUT,56, F,-; FPU_CS = OUTPUT,78, H,-; -IPL_0_ = INPUT,67, G,-; FPU_SENSE = INPUT,91, A,-; -FC_0_ = INPUT,57, F,-; +IPL_1_ = INPUT,56, F,-; DTACK = INPUT,30, D,-; +IPL_0_ = INPUT,67, G,-; AVEC = OUTPUT,92, A,-; +FC_0_ = INPUT,57, F,-; VPA = INPUT,36,-,-; RST = INPUT,86,-,-; AMIGA_ADDR_ENABLE = OUTPUT,33, D,-; @@ -182,9 +181,6 @@ AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-; AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-; AMIGA_BUS_ENABLE_HIGH = OUTPUT,34, D,-; CIIN = OUTPUT,47, E,-; -SIZE_0_ = BIDIR,70, G,-; -A_30_ = INPUT,5, B,-; -A_29_ = INPUT,6, B,-; IPL_030_2_ = OUTPUT,9, B,-; RW_000 = BIDIR,80, H,-; A0 = BIDIR,69, G,-; @@ -197,76 +193,75 @@ E = OUTPUT,66, G,-; VMA = OUTPUT,35, D,-; RESET = OUTPUT,3, B,-; RW = BIDIR,71, G,-; -cpu_est_0_ = NODE,1, F,-; -cpu_est_1_ = NODE,9, D,-; -inst_AS_000_INT = NODE,5, C,-; -SM_AMIGA_5_ = NODE,12, F,-; -inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,9, C,-; +N_165 = NODE,6, F,-; +cpu_est_0_ = NODE,6, D,-; +cpu_est_1_ = NODE,13, D,-; +inst_AS_000_INT = NODE,1, C,-; +SM_AMIGA_5_ = NODE,4, F,-; +inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,14, G,-; inst_AS_030_D0 = NODE,5, H,-; inst_nEXP_SPACE_D0reg = NODE,5, G,-; -inst_DS_030_D0 = NODE,15, A,-; -inst_AS_030_000_SYNC = NODE,4, C,-; +inst_DS_030_D0 = NODE,10, D,-; +inst_AS_030_000_SYNC = NODE,8, C,-; inst_BGACK_030_INT_D = NODE,13, H,-; inst_AS_000_DMA = NODE,8, A,-; -inst_DS_000_DMA = NODE,9, A,-; -CYCLE_DMA_0_ = NODE,6, A,-; -CYCLE_DMA_1_ = NODE,2, A,-; -SIZE_DMA_0_ = NODE,13, G,-; -SIZE_DMA_1_ = NODE,9, G,-; -inst_VPA_D = NODE,14, B,-; -inst_UDS_000_INT = NODE,12, C,-; -inst_LDS_000_INT = NODE,8, C,-; -inst_CLK_OUT_PRE_D = NODE,13, E,-; -inst_DTACK_D0 = NODE,2, C,-; -inst_CLK_OUT_PRE_50 = NODE,9, E,-; -inst_CLK_000_D1 = NODE,2, G,-; -inst_CLK_000_D0 = NODE,6, D,-; -inst_CLK_000_PE = NODE,0, F,-; -CLK_000_P_SYNC_9_ = NODE,11, A,-; -inst_CLK_000_NE = NODE,8, E,-; -N_96_i = NODE,6, F,-; +inst_DS_000_DMA = NODE,13, A,-; +CYCLE_DMA_0_ = NODE,10, A,-; +CYCLE_DMA_1_ = NODE,6, A,-; +SIZE_DMA_0_ = NODE,6, G,-; +SIZE_DMA_1_ = NODE,2, G,-; +inst_VPA_D = NODE,13, F,-; +inst_UDS_000_INT = NODE,5, F,-; +inst_LDS_000_INT = NODE,9, B,-; +inst_CLK_OUT_PRE_D = NODE,15, G,-; +inst_DTACK_D0 = NODE,15, D,-; +inst_CLK_OUT_PRE_50 = NODE,9, A,-; +inst_CLK_000_D1 = NODE,8, F,-; +inst_CLK_000_D0 = NODE,13, G,-; +inst_CLK_000_PE = NODE,9, D,-; +CLK_000_P_SYNC_9_ = NODE,2, C,-; +inst_CLK_000_NE = NODE,9, G,-; CLK_000_N_SYNC_11_ = NODE,6, H,-; -cpu_est_2_ = NODE,13, D,-; -IPL_D0_0_ = NODE,15, B,-; -IPL_D0_1_ = NODE,15, G,-; -IPL_D0_2_ = NODE,11, G,-; -SM_AMIGA_3_ = NODE,13, F,-; -inst_CLK_000_NE_D0 = NODE,2, D,-; -SM_AMIGA_0_ = NODE,5, B,-; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,1, C,-; -SM_AMIGA_6_ = NODE,9, F,-; -RST_DLY_0_ = NODE,6, B,-; +cpu_est_2_ = NODE,2, D,-; +IPL_D0_0_ = NODE,7, B,-; +IPL_D0_1_ = NODE,13, C,-; +IPL_D0_2_ = NODE,13, B,-; +SM_AMIGA_3_ = NODE,12, F,-; +inst_CLK_000_NE_D0 = NODE,15, B,-; +SM_AMIGA_0_ = NODE,9, F,-; +inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,10, G,-; +SM_AMIGA_6_ = NODE,4, C,-; +RST_DLY_0_ = NODE,10, B,-; RST_DLY_1_ = NODE,1, A,-; -RST_DLY_2_ = NODE,9, B,-; -RST_DLY_3_ = NODE,12, A,-; -RST_DLY_4_ = NODE,5, A,-; -RST_DLY_5_ = NODE,13, B,-; -RST_DLY_6_ = NODE,2, B,-; -RST_DLY_7_ = NODE,10, B,-; -CLK_000_P_SYNC_0_ = NODE,15, D,-; -CLK_000_P_SYNC_1_ = NODE,7, G,-; -CLK_000_P_SYNC_2_ = NODE,3, G,-; -CLK_000_P_SYNC_3_ = NODE,11, B,-; -CLK_000_P_SYNC_4_ = NODE,7, B,-; -CLK_000_P_SYNC_5_ = NODE,14, G,-; -CLK_000_P_SYNC_6_ = NODE,10, G,-; -CLK_000_P_SYNC_7_ = NODE,7, A,-; -CLK_000_P_SYNC_8_ = NODE,3, A,-; -CLK_000_N_SYNC_0_ = NODE,11, D,-; -CLK_000_N_SYNC_1_ = NODE,3, B,-; -CLK_000_N_SYNC_2_ = NODE,7, D,-; -CLK_000_N_SYNC_3_ = NODE,3, D,-; -CLK_000_N_SYNC_4_ = NODE,14, A,-; -CLK_000_N_SYNC_5_ = NODE,13, C,-; +RST_DLY_2_ = NODE,5, B,-; +RST_DLY_3_ = NODE,0, B,-; +RST_DLY_4_ = NODE,12, B,-; +RST_DLY_5_ = NODE,12, A,-; +RST_DLY_6_ = NODE,11, B,-; +RST_DLY_7_ = NODE,5, A,-; +CLK_000_P_SYNC_0_ = NODE,11, D,-; +CLK_000_P_SYNC_1_ = NODE,11, G,-; +CLK_000_P_SYNC_2_ = NODE,3, B,-; +CLK_000_P_SYNC_3_ = NODE,9, C,-; +CLK_000_P_SYNC_4_ = NODE,4, B,-; +CLK_000_P_SYNC_5_ = NODE,9, E,-; +CLK_000_P_SYNC_6_ = NODE,5, E,-; +CLK_000_P_SYNC_7_ = NODE,7, G,-; +CLK_000_P_SYNC_8_ = NODE,5, C,-; +CLK_000_N_SYNC_0_ = NODE,7, D,-; +CLK_000_N_SYNC_1_ = NODE,15, A,-; +CLK_000_N_SYNC_2_ = NODE,11, A,-; +CLK_000_N_SYNC_3_ = NODE,7, A,-; +CLK_000_N_SYNC_4_ = NODE,3, D,-; +CLK_000_N_SYNC_5_ = NODE,3, A,-; CLK_000_N_SYNC_6_ = NODE,14, D,-; -CLK_000_N_SYNC_7_ = NODE,10, D,-; -CLK_000_N_SYNC_8_ = NODE,10, A,-; -CLK_000_N_SYNC_9_ = NODE,6, G,-; +CLK_000_N_SYNC_7_ = NODE,8, E,-; +CLK_000_N_SYNC_8_ = NODE,14, A,-; +CLK_000_N_SYNC_9_ = NODE,3, G,-; CLK_000_N_SYNC_10_ = NODE,2, H,-; -inst_CLK_030_H = NODE,13, A,-; -SM_AMIGA_1_ = NODE,8, F,-; +inst_CLK_030_H = NODE,2, A,-; +SM_AMIGA_1_ = NODE,1, F,-; SM_AMIGA_4_ = NODE,10, F,-; SM_AMIGA_2_ = NODE,2, F,-; -inst_DS_000_ENABLE = NODE,5, F,-; -SM_AMIGA_i_7_ = NODE,4, F,-; -CIIN_0 = NODE,5, E,-; +inst_DS_000_ENABLE = NODE,12, C,-; +SM_AMIGA_i_7_ = NODE,0, F,-; diff --git a/Logic/68030_tk.xrf b/Logic/68030_tk.xrf index c8d6f14..c97992f 100644 --- a/Logic/68030_tk.xrf +++ b/Logic/68030_tk.xrf @@ -2,7 +2,7 @@ Signal Name Cross Reference File ispLEVER Classic 1.8.00.04.29.14 -Design '68030_tk' created Wed May 13 22:59:21 2015 +Design '68030_tk' created Thu Jul 09 18:48:59 2015 LEGEND: '>' Functional Block Port Separator diff --git a/Logic/BUS68030.bl0 b/Logic/BUS68030.bl0 index fcc325f..4fd2688 100644 --- a/Logic/BUS68030.bl0 +++ b/Logic/BUS68030.bl0 @@ -1,291 +1,291 @@ -#$ DATE Wed May 13 22:59:21 2015 +#$ DATE Thu Jul 09 18:48:59 2015 #$ TOOL EDIF2BLIF version IspLever 1.0 #$ MODULE bus68030 -#$ PINS 75 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 A_15_ DS_030 A_14_ UDS_000 A_13_ LDS_000 A_12_ A0 A_11_ A1 A_10_ nEXP_SPACE A_9_ BERR A_8_ BG_030 A_7_ BG_000 A_6_ BGACK_030 A_5_ BGACK_000 A_4_ CLK_030 A_3_ CLK_000 A_2_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ -#$ NODES 695 N_310 un1_rst_dly_i_m_i_5__n sm_amiga_srsts_i_0_m2_3__un0_n N_220 sm_amiga_srsts_i_0_m2_1__un3_n pos_clk_cpu_est_11_1__n un1_rst_dly_i_m_i_6__n sm_amiga_srsts_i_0_m2_1__un1_n N_14 sm_amiga_srsts_i_0_m2_1__un0_n \ -# N_18 un1_rst_dly_i_m_i_7__n un1_amiga_bus_enable_dma_high_0_m2_0__un3_n N_22 un1_amiga_bus_enable_dma_high_0_m2_0__un1_n inst_BGACK_030_INTreg RESET_OUT_0_sqmuxa_1 un1_rst_dly_i_m_i_8__n un1_amiga_bus_enable_dma_high_0_m2_0__un0_n vcc_n_n \ -# N_205 un1_sm_amiga_7_i_m2_un3_n cpu_est_3_reg N_213 un1_rst_dly_i_m_i_2__n un1_sm_amiga_7_i_m2_un1_n inst_VMA_INTreg pos_clk_RST_DLY_5_iv_0_x2_0_ un1_sm_amiga_7_i_m2_un0_n inst_RESET_OUTreg \ -# N_105 N_98_i size_dma_0_0__un3_n gnd_n_n N_98 size_dma_0_0__un1_n un1_amiga_bus_enable_low RESET_OUT_0_sqmuxa N_105_i size_dma_0_0__un0_n \ -# un6_as_030 un1_rst_dly_i_m_2__n size_dma_0_1__un3_n un3_size G_137 N_22_i size_dma_0_1__un1_n un4_size un1_rst_dly_i_m_8__n N_33_0 \ -# size_dma_0_1__un0_n un5_ciin G_149 N_18_i ipl_030_0_0__un3_n un4_as_000 RESET_OUT_0_sqmuxa_5 N_37_0 ipl_030_0_0__un1_n un21_fpu_cs \ -# RESET_OUT_0_sqmuxa_7 N_14_i ipl_030_0_0__un0_n un22_berr G_147 N_41_0 ipl_030_0_1__un3_n un6_ds_030 G_145 N_10_i \ -# ipl_030_0_1__un1_n un6_uds_000 N_211 N_44_0 ipl_030_0_1__un0_n un6_lds_000 G_143 pos_clk_cpu_est_11_0_1__n ipl_030_0_2__un3_n cpu_est_0_ \ -# N_209 N_312_i ipl_030_0_2__un1_n cpu_est_1_ G_141 N_90_i ipl_030_0_2__un0_n inst_AS_000_INT G_139 N_88_i \ -# amiga_bus_enable_dma_high_0_un3_n SM_AMIGA_5_ un1_rst_dly_i_m_7__n N_299_i amiga_bus_enable_dma_high_0_un1_n inst_AMIGA_BUS_ENABLE_DMA_LOW un1_rst_dly_i_m_6__n N_275_0 amiga_bus_enable_dma_high_0_un0_n inst_AS_030_D0 \ -# un1_rst_dly_i_m_5__n N_274_0 bg_000_0_un3_n inst_nEXP_SPACE_D0reg un1_rst_dly_i_m_4__n N_273_i bg_000_0_un1_n inst_DS_030_D0 un1_rst_dly_i_m_3__n N_272_i \ -# bg_000_0_un0_n inst_AS_030_000_SYNC N_71_i N_270_i ds_000_dma_0_un3_n inst_BGACK_030_INT_D un1_amiga_bus_enable_low_i N_268_i ds_000_dma_0_un1_n inst_AS_000_DMA \ -# un21_fpu_cs_i N_310_i ds_000_dma_0_un0_n inst_DS_000_DMA RESET_OUT_i N_311_i as_000_dma_0_un3_n CYCLE_DMA_0_ BGACK_030_INT_i N_267_0 \ -# as_000_dma_0_un1_n CYCLE_DMA_1_ RESET_OUT_0_sqmuxa_i N_309_i as_000_dma_0_un0_n SIZE_DMA_0_ un1_rst_dly_i_3__n N_308_i a0_dma_0_un3_n SIZE_DMA_1_ \ -# un1_rst_dly_i_4__n pos_clk_un7_clk_000_pe_0_n a0_dma_0_un1_n inst_VPA_D un1_rst_dly_i_5__n N_264_0 a0_dma_0_un0_n inst_UDS_000_INT un1_rst_dly_i_6__n N_304_i \ -# dsack1_int_0_un3_n inst_LDS_000_INT un1_rst_dly_i_7__n N_303_i dsack1_int_0_un1_n inst_CLK_OUT_PRE_D un1_rst_dly_i_8__n N_186_i dsack1_int_0_un0_n inst_DTACK_D0 \ -# un1_rst_dly_i_2__n VPA_c_i as_000_int_0_un3_n inst_CLK_OUT_PRE_50 N_87_i_i N_56_0 as_000_int_0_un1_n inst_CLK_000_D1 cpu_est_i_3__n DTACK_c_i \ -# as_000_int_0_un0_n inst_CLK_000_D0 cpu_est_i_0__n N_57_0 ds_000_enable_0_un3_n inst_CLK_000_PE VPA_D_i N_97_i ds_000_enable_0_un1_n CLK_000_P_SYNC_9_ \ -# VMA_INT_i ds_000_enable_0_un0_n inst_CLK_000_NE cpu_est_i_1__n N_96_i as_030_000_sync_0_un3_n CLK_000_N_SYNC_11_ CLK_000_PE_i N_95_i as_030_000_sync_0_un1_n \ -# cpu_est_2_ BERR_i N_94_i as_030_000_sync_0_un0_n IPL_D0_0_ sm_amiga_i_4__n N_313_i lds_000_int_0_un3_n IPL_D0_1_ cpu_est_i_2__n \ -# N_136_i lds_000_int_0_un1_n IPL_D0_2_ sm_amiga_i_5__n N_81_0 lds_000_int_0_un0_n SM_AMIGA_3_ DTACK_D0_i N_116_i rw_000_dma_0_un3_n \ -# inst_CLK_000_NE_D0 sm_amiga_i_0__n N_77_i rw_000_dma_0_un1_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_73_i rw_000_dma_0_un0_n SM_AMIGA_0_ CLK_000_NE_i \ -# N_101_i uds_000_int_0_un3_n inst_AMIGA_BUS_ENABLE_DMA_HIGH sm_amiga_i_6__n uds_000_int_0_un1_n inst_DSACK1_INTreg sm_amiga_i_1__n clk_000_n_sync_i_10__n uds_000_int_0_un0_n CLK_OUT_PRE_D_i \ -# pos_clk_un9_clk_000_n_sync_i_n amiga_bus_enable_dma_low_0_un3_n pos_clk_ipl_n pos_clk_un11_clk_000_n_sync_i_n pos_clk_un14_clk_000_n_sync_0_n amiga_bus_enable_dma_low_0_un1_n pos_clk_un3_ds_030_d0_n LDS_000_i pos_clk_un22_bgack_030_int_i_n amiga_bus_enable_dma_low_0_un0_n \ -# SM_AMIGA_6_ UDS_000_i N_86_i a_15__n AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa nEXP_SPACE_D0_i N_93_i RST_DLY_0_ sm_amiga_i_2__n a_14__n \ -# RST_DLY_1_ AS_030_i N_99_i RST_DLY_2_ A1_i pos_clk_size_dma_6_0_1__n a_13__n RST_DLY_3_ CLK_000_D1_i N_100_i \ -# RST_DLY_4_ RW_000_i pos_clk_size_dma_6_0_0__n a_12__n RST_DLY_5_ CLK_030_H_i N_245_0 RST_DLY_6_ AS_000_DMA_i N_108_i \ -# a_11__n RST_DLY_7_ AS_000_i N_109_i pos_clk_un8_bg_030_n sm_amiga_i_i_7__n N_246_0 a_10__n CLK_000_P_SYNC_0_ RW_i \ -# un5_ciin_i CLK_000_P_SYNC_1_ AMIGA_BUS_ENABLE_DMA_HIGH_i N_247_0 a_9__n CLK_000_P_SYNC_2_ FPU_SENSE_i N_248_0 CLK_000_P_SYNC_3_ AS_030_D0_i \ -# CLK_000_D0_i a_8__n CLK_000_P_SYNC_4_ a_i_24__n N_249_i CLK_000_P_SYNC_5_ size_dma_i_0__n AS_030_000_SYNC_i a_7__n CLK_000_P_SYNC_6_ \ -# size_dma_i_1__n N_251_0 CLK_000_P_SYNC_7_ a_i_16__n pos_clk_un3_as_030_d0_i_n a_6__n CLK_000_P_SYNC_8_ a_i_18__n pos_clk_un5_bgack_030_int_d_i_n CLK_000_N_SYNC_0_ \ -# a_i_19__n N_75_i a_5__n CLK_000_N_SYNC_1_ a_i_31__n N_76_i CLK_000_N_SYNC_2_ a_i_29__n N_78_0 a_4__n \ -# CLK_000_N_SYNC_3_ a_i_30__n N_80_0 CLK_000_N_SYNC_4_ a_i_27__n CLK_EXP_c_i a_3__n CLK_000_N_SYNC_5_ a_i_28__n N_258_0 \ -# CLK_000_N_SYNC_6_ a_i_25__n N_283_i a_2__n CLK_000_N_SYNC_7_ a_i_26__n N_284_i CLK_000_N_SYNC_8_ UDS_000_INT_i CLK_000_N_SYNC_9_ \ -# LDS_000_INT_i N_290_i CLK_000_N_SYNC_10_ DS_030_i N_291_i pos_clk_un5_bgack_030_int_d_n N_224_i inst_RW_000_INT N_225_i N_279_i \ -# inst_RW_000_DMA N_226_i N_293_i inst_A0_DMA inst_CLK_030_H N_82_i SM_AMIGA_1_ N_83_i SM_AMIGA_4_ N_104_i \ -# N_259_0 SM_AMIGA_2_ N_103_i N_84_i pos_clk_un3_as_030_d0_n N_282_i N_115_0 inst_DS_000_ENABLE N_92_i N_85_i \ -# AS_000_INT_1_sqmuxa un6_lds_000_i N_294_i DS_000_ENABLE_1_sqmuxa_1 un6_uds_000_i N_296_i pos_clk_a0_dma_3_n un6_ds_030_i pos_clk_cpu_est_11_0_3__n pos_clk_ds_000_dma_4_n \ -# DS_000_DMA_i N_91_i N_3 un4_as_000_i N_260_0 AS_000_INT_i N_301_i un6_as_030_i pos_clk_ds_000_dma_4_0_n N_6 \ -# AMIGA_BUS_ENABLE_DMA_LOW_i N_305_i N_8 DS_030_D0_i N_306_i N_9 AS_030_c AMIGA_BUS_DATA_DIR_c_0 N_10 N_307_i \ -# N_11 AS_000_c N_12 N_13 RW_000_c N_15 N_265_0 N_16 DS_030_c N_269_i \ -# N_19 pos_clk_un24_bgack_030_int_i_0_i_n N_20 UDS_000_c N_62_0 N_21 N_276_0 N_23 LDS_000_c N_277_0 \ -# N_24 N_286_i N_25 size_c_0__n N_288_i N_289_i size_c_1__n pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n \ -# N_25_i N_32_0 N_24_i N_31_0 N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 \ -# ipl_c_i_0__n N_52_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_3_i N_49_0 N_6_i N_48_0 N_8_i \ -# N_46_0 N_9_i N_45_0 N_12_i SM_AMIGA_i_7_ N_43_0 N_115 N_13_i pos_clk_size_dma_6_0__n a_c_16__n \ -# N_42_0 pos_clk_size_dma_6_1__n N_15_i pos_clk_cpu_est_11_3__n a_c_17__n N_40_0 G_165 N_16_i G_166 a_c_18__n \ -# N_39_0 G_167 N_19_i un6_uds_000_1 a_c_19__n N_36_0 pos_clk_un24_bgack_030_int_i_0_n N_20_i N_245 a_c_20__n \ -# N_35_0 N_246 N_21_i N_247 a_c_21__n N_34_0 N_248 BG_030_c_i N_89 a_c_22__n \ -# pos_clk_un6_bg_030_i_n N_92 pos_clk_un8_bg_030_0_n N_102 a_c_23__n N_127_i_1 N_103 N_127_i_2 N_104 a_c_24__n \ -# pos_clk_un24_bgack_030_int_i_0_i_1_n N_112 N_80_0_1 N_256 a_c_25__n N_75_i_1 N_258 N_251_0_1 a_c_26__n pos_clk_un11_ds_030_d0_i_1_n \ -# N_260 N_340_1 N_265 a_c_27__n N_340_2 N_282 N_340_3 N_71 a_c_28__n N_340_4 \ -# cpu_est_0_0_x2_0_ un5_ciin_1 pos_clk_un11_clk_000_n_sync_n a_c_29__n un5_ciin_2 N_76 un5_ciin_3 pos_clk_CYCLE_DMA_5_1_i_x2 a_c_30__n un5_ciin_4 \ -# pos_clk_CYCLE_DMA_5_0_i_x2 un5_ciin_5 pos_clk_un24_bgack_030_int_i_0_x2 a_c_31__n un5_ciin_6 pos_clk_un22_bgack_030_int_n un5_ciin_7 N_268 A0_c un5_ciin_8 \ -# N_270 un5_ciin_9 N_73 A1_c un5_ciin_10 N_75 un5_ciin_11 N_251 nEXP_SPACE_c un22_berr_1_0 \ -# un22_berr_1 un21_fpu_cs_1 N_95 BERR_c pos_clk_un6_bg_030_1_n N_94 N_131_i_1 N_288 BG_030_c N_131_i_2 \ -# N_289 N_131_i_3 N_286 BG_000DFFreg N_96_1 N_279 N_96_2 N_277 N_96_3 N_276 \ -# BGACK_000_c pos_clk_cpu_est_11_0_1_1__n N_62 pos_clk_cpu_est_11_0_2_1__n N_274 N_310_1 N_313 N_310_2 N_307 N_310_3 \ -# N_305 CLK_OSZI_c N_310_4 N_306 N_309_1 N_303 N_309_2 N_304 CLK_EXP_c N_308_1 \ -# N_301 N_308_2 N_91 RESET_OUT_0_sqmuxa_5_1 N_85 FPU_SENSE_c RESET_OUT_0_sqmuxa_7_1 N_294 RESET_OUT_0_sqmuxa_7_2 N_296 \ -# IPL_030DFF_0_reg RESET_OUT_0_sqmuxa_7_3 N_84 N_94_1 N_82 IPL_030DFF_1_reg N_95_1 N_83 N_119_i_1 N_293 \ -# IPL_030DFF_2_reg N_82_1 N_290 N_83_1 N_291 ipl_c_0__n N_296_1 N_283 N_303_1 N_284 \ -# ipl_c_1__n N_304_1 N_86 N_306_1 N_80 ipl_c_2__n N_129_i_1 N_78 N_125_i_1 N_108 \ -# N_123_i_1 N_109 DTACK_c N_115_0_1 N_100 pos_clk_cpu_est_11_0_1_3__n N_99 N_260_0_1 N_93 N_261_i_1 \ -# pos_clk_un14_clk_000_n_sync_n VPA_c N_262_i_1 pos_clk_un9_clk_000_n_sync_n N_263_i_1 N_340 pos_clk_ipl_1_n N_97 RST_c cpu_est_0_3__un3_n \ -# N_136 cpu_est_0_3__un1_n N_101 cpu_est_0_3__un0_n N_81 RW_c cpu_est_0_2__un3_n N_116 cpu_est_0_2__un1_n N_96 \ -# fc_c_0__n cpu_est_0_2__un0_n N_113 cpu_est_0_1__un3_n N_275 fc_c_1__n cpu_est_0_1__un1_n N_273 cpu_est_0_1__un0_n N_88 \ -# bgack_030_int_0_un3_n N_272 AMIGA_BUS_DATA_DIR_c bgack_030_int_0_un1_n N_299 bgack_030_int_0_un0_n N_90 vma_int_0_un3_n N_311 vma_int_0_un1_n \ -# N_312 vma_int_0_un0_n N_267 un1_as_000_i rw_000_int_0_un3_n N_264 un1_rst_dly_i_m_i_3__n rw_000_int_0_un1_n pos_clk_un7_clk_000_pe_n rw_000_int_0_un0_n \ -# N_308 un1_rst_dly_i_m_i_4__n sm_amiga_srsts_i_0_m2_3__un3_n N_309 sm_amiga_srsts_i_0_m2_3__un1_n +#$ PINS 75 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ IPL_030_2_ A_25_ A_24_ IPL_2_ A_23_ A_22_ FC_1_ A_21_ AS_030 A_20_ AS_000 A_19_ RW_000 A_18_ DS_030 A_17_ UDS_000 A_16_ LDS_000 A_15_ A0 A_14_ A1 A_13_ nEXP_SPACE A_12_ BERR A_11_ BG_030 A_10_ BG_000 A_9_ BGACK_030 A_8_ BGACK_000 A_7_ CLK_030 A_6_ CLK_000 A_5_ CLK_OSZI A_4_ CLK_DIV_OUT A_3_ CLK_EXP A_2_ FPU_CS IPL_030_1_ FPU_SENSE IPL_030_0_ DSACK1 IPL_1_ DTACK IPL_0_ AVEC FC_0_ E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN +#$ NODES 694 N_193 cpu_est_0_1__un3_n N_190 RW_c cpu_est_0_1__un1_n N_189 cpu_est_0_1__un0_n N_140 fc_c_0__n bgack_030_int_0_un3_n \ +# pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n pos_clk_un23_clk_000_ne_d0_n fc_c_1__n bgack_030_int_0_un0_n inst_BGACK_030_INTreg pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n vcc_n_n pos_clk_un7_clk_000_pe_n \ +# un1_amiga_bus_enable_dma_high_i_m4_0__un1_n cpu_est_3_reg N_18 AMIGA_BUS_DATA_DIR_c un1_amiga_bus_enable_dma_high_i_m4_0__un0_n inst_VMA_INTreg N_22 ds_000_enable_1_sqmuxa_1_i_m4_un3_n inst_RESET_OUTreg pos_clk_un11_clk_000_n_sync_n \ +# ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n pos_clk_un9_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un0_n un1_amiga_bus_enable_low pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n un6_as_030 pos_clk_un22_bgack_030_int_n N_6_i \ +# size_dma_0_0__un1_n un3_size G_161 N_48_0 size_dma_0_0__un0_n un4_size N_220 N_3_i size_dma_0_1__un3_n un8_ciin \ +# G_159 N_49_0 size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 CLK_030_H_0_sqmuxa pos_clk_un26_bgack_030_int_i_n \ +# ipl_030_0_0__un3_n un21_fpu_cs AS_000_DMA_1_sqmuxa pos_clk_un27_bgack_030_int_0_n ipl_030_0_0__un1_n un22_berr pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i ipl_030_0_0__un0_n un6_ds_030 \ +# pos_clk_un27_bgack_030_int_n N_7_i ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 ipl_030_0_1__un1_n un6_lds_000 N_165 N_133_i \ +# ipl_030_0_1__un0_n cpu_est_0_ N_133 N_176_i ipl_030_0_2__un3_n cpu_est_1_ N_163 N_175_i ipl_030_0_2__un1_n inst_AS_000_INT \ +# N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n SM_AMIGA_5_ N_164 pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n inst_AMIGA_BUS_ENABLE_DMA_LOW N_176 N_162_i \ +# dsack1_int_0_un1_n inst_AS_030_D0 DS_000_DMA_2_sqmuxa N_163_i dsack1_int_0_un0_n inst_nEXP_SPACE_D0reg pos_clk_ds_000_dma_4_n N_164_i as_000_int_0_un3_n inst_DS_030_D0 \ +# DS_000_DMA_0_sqmuxa N_165_i as_000_int_0_un1_n inst_AS_030_000_SYNC pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n inst_BGACK_030_INT_D N_175 pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n \ +# inst_AS_000_DMA N_47 pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n inst_DS_000_DMA N_7 clk_000_n_sync_i_10__n ds_000_enable_0_un0_n CYCLE_DMA_0_ un1_rst_2 \ +# pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n CYCLE_DMA_1_ pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n SIZE_DMA_0_ pos_clk_un29_bgack_030_int_n N_33_0 as_030_000_sync_0_un0_n \ +# SIZE_DMA_1_ N_3 N_18_i lds_000_int_0_un3_n inst_VPA_D N_6 N_37_0 lds_000_int_0_un1_n inst_UDS_000_INT un1_amiga_bus_enable_low_i \ +# pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n inst_LDS_000_INT un21_fpu_cs_i pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n inst_CLK_OUT_PRE_D AS_000_i pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n \ +# inst_DTACK_D0 DS_000_DMA_i pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n inst_CLK_OUT_PRE_50 pos_clk_un24_bgack_030_int_i_n pos_clk_un21_clk_000_ne_d0_i_n rw_000_dma_0_un3_n inst_CLK_000_D1 cycle_dma_i_1__n \ +# pos_clk_un23_clk_000_ne_d0_0_n rw_000_dma_0_un1_n inst_CLK_000_D0 cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n inst_CLK_000_PE AS_000_DMA_i N_140_0 uds_000_int_0_un3_n \ +# CLK_000_P_SYNC_9_ CLK_EXP_i N_195_i uds_000_int_0_un1_n inst_CLK_000_NE BERR_i N_196_i uds_000_int_0_un0_n CLK_000_N_SYNC_11_ RW_000_i \ +# N_186_i amiga_bus_enable_dma_low_0_un3_n cpu_est_2_ DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n IPL_D0_0_ pos_clk_un40_bgack_030_int_1_i_n N_189_i amiga_bus_enable_dma_low_0_un0_n \ +# IPL_D0_1_ BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n IPL_D0_2_ nEXP_SPACE_D0_i N_193_i amiga_bus_enable_dma_high_0_un1_n SM_AMIGA_3_ CLK_000_PE_i \ +# N_191_i amiga_bus_enable_dma_high_0_un0_n inst_CLK_000_NE_D0 CLK_000_NE_i N_192_i bg_000_0_un3_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n \ +# SM_AMIGA_0_ sm_amiga_i_0__n pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n inst_AMIGA_BUS_ENABLE_DMA_HIGH pos_clk_un7_clk_000_d0_i_n N_198_i a0_dma_0_un3_n inst_DSACK1_INTreg UDS_000_i \ +# N_197_i a0_dma_0_un1_n LDS_000_i N_199_i a0_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n pos_clk_cpu_est_11_0_3__n a_23__n pos_clk_ipl_n \ +# CLK_OUT_PRE_D_i N_151_i pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n SM_AMIGA_6_ sm_amiga_i_2__n AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n \ +# N_135_i a_21__n RST_DLY_0_ cpu_est_i_0__n N_252_0 RST_DLY_1_ cpu_est_i_3__n N_85_i a_20__n RST_DLY_2_ \ +# cpu_est_i_2__n RST_DLY_3_ cpu_est_i_1__n N_38_0 a_15__n RST_DLY_4_ VPA_D_i un1_rst_dly_i_m_i_2__n RST_DLY_5_ VMA_INT_i \ +# a_14__n RST_DLY_6_ sm_amiga_i_1__n un1_rst_dly_i_m_i_3__n RST_DLY_7_ RESET_OUT_0_sqmuxa_i a_13__n pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n \ +# CLK_000_P_SYNC_0_ un1_rst_dly_i_2__n a_12__n CLK_000_P_SYNC_1_ un1_rst_dly_i_3__n un1_rst_dly_i_m_i_5__n CLK_000_P_SYNC_2_ un1_rst_dly_i_4__n a_11__n CLK_000_P_SYNC_3_ \ +# un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n CLK_000_P_SYNC_4_ un1_rst_dly_i_6__n a_10__n CLK_000_P_SYNC_5_ un1_rst_dly_i_7__n un1_rst_dly_i_m_i_7__n CLK_000_P_SYNC_6_ un1_rst_dly_i_8__n \ +# a_9__n CLK_000_P_SYNC_7_ RESET_OUT_i un1_rst_dly_i_m_i_8__n CLK_000_P_SYNC_8_ AS_030_D0_i a_8__n CLK_000_N_SYNC_0_ AS_030_i un3_as_030_i \ +# CLK_000_N_SYNC_1_ A1_i N_76_i a_7__n CLK_000_N_SYNC_2_ CLK_000_D1_i N_83_i CLK_000_N_SYNC_3_ sm_amiga_i_i_7__n a_6__n \ +# CLK_000_N_SYNC_4_ N_248_i N_84_i CLK_000_N_SYNC_5_ sm_amiga_i_5__n N_115_0 a_5__n CLK_000_N_SYNC_6_ RW_i N_86_i \ +# CLK_000_N_SYNC_7_ CLK_000_D0_i pos_clk_size_dma_6_0_1__n a_4__n CLK_000_N_SYNC_8_ AS_030_000_SYNC_i N_87_i CLK_000_N_SYNC_9_ sm_amiga_i_6__n pos_clk_size_dma_6_0_0__n \ +# a_3__n CLK_000_N_SYNC_10_ sm_amiga_i_4__n N_88_i pos_clk_un5_bgack_030_int_d_n FPU_SENSE_i a_2__n inst_RW_000_INT size_dma_i_0__n N_241_0 \ +# inst_RW_000_DMA size_dma_i_1__n N_242_0 inst_A0_DMA a_i_16__n N_243_0 inst_CLK_030_H a_i_18__n N_93_i SM_AMIGA_1_ \ +# a_i_19__n N_94_i SM_AMIGA_4_ a_i_30__n N_244_0 SM_AMIGA_2_ a_i_31__n N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n \ +# N_246_0 inst_DS_000_ENABLE a_i_29__n pos_clk_un3_as_030_d0_i_n a_i_26__n pos_clk_un5_bgack_030_int_d_i_n a_i_27__n N_249_i pos_clk_a0_dma_3_n a_i_24__n \ +# N_251_0 a_i_25__n N_71_0 LDS_000_INT_i N_104_i N_8 DS_030_i N_137_i N_9 UDS_000_INT_i \ +# N_10 N_224_i N_160_i N_11 N_225_i N_161_i N_12 N_226_i N_13 N_159_i \ +# N_14 N_157_i N_15 N_158_i N_16 N_91_i N_19 N_90_i N_155_i N_20 \ +# un14_amiga_bus_data_dir_i N_156_i N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 un6_uds_000_i N_152_i \ +# N_25 un6_ds_030_i N_153_i cpu_est_0_0_ un4_as_000_i N_142_0 AS_000_INT_i N_141_0 un6_as_030_i N_138_0 \ +# AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i DS_030_D0_i un1_as_030_i AS_030_c pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c size_c_i_1__n N_25_i \ +# RW_000_c N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 ipl_c_i_2__n LDS_000_c \ +# N_54_0 ipl_c_i_1__n size_c_0__n N_53_0 ipl_c_i_0__n size_c_1__n N_52_0 DTACK_c_i N_57_0 VPA_c_i \ +# N_56_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_8_i N_46_0 N_9_i N_45_0 N_10_i SM_AMIGA_i_7_ \ +# N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n N_43_0 pos_clk_size_dma_6_1__n N_13_i G_165 N_42_0 G_166 \ +# N_14_i G_167 N_41_0 un6_uds_000_1 N_15_i N_241 N_40_0 N_242 N_16_i N_243 \ +# N_39_0 N_244 N_19_i N_245 N_36_0 N_246 N_20_i N_78 N_35_0 N_80 \ +# N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 pos_clk_un6_bg_030_i_n N_98 a_c_17__n \ +# pos_clk_un8_bg_030_0_n N_99 N_251_0_1 N_249 a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n \ +# pos_clk_cpu_est_11_0_1_1__n N_136 pos_clk_cpu_est_11_0_2_1__n pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 \ +# pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 N_155 un8_ciin_2 N_141 un8_ciin_3 N_156 un8_ciin_4 N_157 \ +# a_c_24__n un8_ciin_5 N_138 un8_ciin_6 N_158 a_c_25__n un8_ciin_7 N_159 un8_ciin_8 N_160 \ +# a_c_26__n N_116_1 N_142 N_116_2 N_161 a_c_27__n N_116_3 N_132 N_116_4 N_104 \ +# a_c_28__n un22_berr_1_0 N_76 un21_fpu_cs_1 N_71 a_c_29__n N_123_i_1 N_251 N_123_i_2 N_93 \ +# a_c_30__n N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 N_87 N_129_i_1 N_86 \ +# A0_c pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c RESET_OUT_0_sqmuxa_7_1 N_116 RESET_OUT_0_sqmuxa_7_2 G_149 \ +# nEXP_SPACE_c RESET_OUT_0_sqmuxa_7_3 G_147 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 G_145 pos_clk_un27_clk_000_ne_d0_1_n N_211 \ +# BG_030_c pos_clk_un27_clk_000_ne_d0_2_n G_143 pos_clk_un27_clk_000_ne_d0_3_n N_209 BG_000DFFreg pos_clk_un5_clk_000_pe_1_n G_141 pos_clk_un5_clk_000_pe_2_n G_139 \ +# pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c pos_clk_un9_clk_000_ne_1_n G_137 pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 pos_clk_un9_clk_000_ne_3_n RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n \ +# RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n N_165_1 un1_rst_dly_i_m_6__n \ +# CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 un1_rst_dly_i_m_2__n \ +# N_176_1_0 N_38 IPL_030DFF_0_reg DS_000_DMA_2_sqmuxa_1 N_85 N_119_i_1 pos_clk_RST_DLY_5_iv_0_x2_0_ IPL_030DFF_1_reg N_115_0_1 N_252 \ +# pos_clk_ipl_1_n N_97 IPL_030DFF_2_reg as_000_dma_0_un3_n pos_clk_un27_clk_000_ne_d0_n as_000_dma_0_un1_n N_199_1 ipl_c_0__n as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n \ +# ds_000_dma_0_un3_n pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 ds_000_dma_0_un0_n N_151 ipl_c_2__n vma_int_0_un3_n N_199 \ +# vma_int_0_un1_n N_196 vma_int_0_un0_n N_195 DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_cpu_est_11_3__n sm_amiga_srsts_i_0_m2_1__un0_n \ +# N_197 cpu_est_0_3__un3_n N_198 VPA_c cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 cpu_est_0_2__un3_n N_192 \ +# RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ CLK_OSZI.BLIF FPU_SENSE.BLIF DTACK.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF \ A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF \ A_8_.BLIF A_7_.BLIF A_6_.BLIF A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF \ - FC_0_.BLIF SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_310.BLIF un1_rst_dly_i_m_i_5__n.BLIF sm_amiga_srsts_i_0_m2_3__un0_n.BLIF N_220.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF pos_clk_cpu_est_11_1__n.BLIF un1_rst_dly_i_m_i_6__n.BLIF sm_amiga_srsts_i_0_m2_1__un1_n.BLIF \ - N_14.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_18.BLIF un1_rst_dly_i_m_i_7__n.BLIF un1_amiga_bus_enable_dma_high_0_m2_0__un3_n.BLIF N_22.BLIF un1_amiga_bus_enable_dma_high_0_m2_0__un1_n.BLIF inst_BGACK_030_INTreg.BLIF RESET_OUT_0_sqmuxa_1.BLIF \ - un1_rst_dly_i_m_i_8__n.BLIF un1_amiga_bus_enable_dma_high_0_m2_0__un0_n.BLIF vcc_n_n.BLIF N_205.BLIF un1_sm_amiga_7_i_m2_un3_n.BLIF cpu_est_3_reg.BLIF N_213.BLIF un1_rst_dly_i_m_i_2__n.BLIF un1_sm_amiga_7_i_m2_un1_n.BLIF \ - inst_VMA_INTreg.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF un1_sm_amiga_7_i_m2_un0_n.BLIF inst_RESET_OUTreg.BLIF N_105.BLIF N_98_i.BLIF size_dma_0_0__un3_n.BLIF gnd_n_n.BLIF N_98.BLIF \ - size_dma_0_0__un1_n.BLIF un1_amiga_bus_enable_low.BLIF RESET_OUT_0_sqmuxa.BLIF N_105_i.BLIF size_dma_0_0__un0_n.BLIF un6_as_030.BLIF un1_rst_dly_i_m_2__n.BLIF size_dma_0_1__un3_n.BLIF un3_size.BLIF \ - G_137.BLIF N_22_i.BLIF size_dma_0_1__un1_n.BLIF un4_size.BLIF un1_rst_dly_i_m_8__n.BLIF N_33_0.BLIF size_dma_0_1__un0_n.BLIF un5_ciin.BLIF G_149.BLIF \ - N_18_i.BLIF ipl_030_0_0__un3_n.BLIF un4_as_000.BLIF RESET_OUT_0_sqmuxa_5.BLIF N_37_0.BLIF ipl_030_0_0__un1_n.BLIF un21_fpu_cs.BLIF RESET_OUT_0_sqmuxa_7.BLIF N_14_i.BLIF \ - ipl_030_0_0__un0_n.BLIF un22_berr.BLIF G_147.BLIF N_41_0.BLIF ipl_030_0_1__un3_n.BLIF un6_ds_030.BLIF G_145.BLIF N_10_i.BLIF ipl_030_0_1__un1_n.BLIF \ - un6_uds_000.BLIF N_211.BLIF N_44_0.BLIF ipl_030_0_1__un0_n.BLIF un6_lds_000.BLIF G_143.BLIF pos_clk_cpu_est_11_0_1__n.BLIF ipl_030_0_2__un3_n.BLIF cpu_est_0_.BLIF \ - N_209.BLIF N_312_i.BLIF ipl_030_0_2__un1_n.BLIF cpu_est_1_.BLIF G_141.BLIF N_90_i.BLIF ipl_030_0_2__un0_n.BLIF inst_AS_000_INT.BLIF G_139.BLIF \ - N_88_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF SM_AMIGA_5_.BLIF un1_rst_dly_i_m_7__n.BLIF N_299_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF un1_rst_dly_i_m_6__n.BLIF N_275_0.BLIF \ - amiga_bus_enable_dma_high_0_un0_n.BLIF inst_AS_030_D0.BLIF un1_rst_dly_i_m_5__n.BLIF N_274_0.BLIF bg_000_0_un3_n.BLIF inst_nEXP_SPACE_D0reg.BLIF un1_rst_dly_i_m_4__n.BLIF N_273_i.BLIF bg_000_0_un1_n.BLIF \ - inst_DS_030_D0.BLIF un1_rst_dly_i_m_3__n.BLIF N_272_i.BLIF bg_000_0_un0_n.BLIF inst_AS_030_000_SYNC.BLIF N_71_i.BLIF N_270_i.BLIF ds_000_dma_0_un3_n.BLIF inst_BGACK_030_INT_D.BLIF \ - un1_amiga_bus_enable_low_i.BLIF N_268_i.BLIF ds_000_dma_0_un1_n.BLIF inst_AS_000_DMA.BLIF un21_fpu_cs_i.BLIF N_310_i.BLIF ds_000_dma_0_un0_n.BLIF inst_DS_000_DMA.BLIF RESET_OUT_i.BLIF \ - N_311_i.BLIF as_000_dma_0_un3_n.BLIF CYCLE_DMA_0_.BLIF BGACK_030_INT_i.BLIF N_267_0.BLIF as_000_dma_0_un1_n.BLIF CYCLE_DMA_1_.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_309_i.BLIF \ - as_000_dma_0_un0_n.BLIF SIZE_DMA_0_.BLIF un1_rst_dly_i_3__n.BLIF N_308_i.BLIF a0_dma_0_un3_n.BLIF SIZE_DMA_1_.BLIF un1_rst_dly_i_4__n.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF a0_dma_0_un1_n.BLIF \ - inst_VPA_D.BLIF un1_rst_dly_i_5__n.BLIF N_264_0.BLIF a0_dma_0_un0_n.BLIF inst_UDS_000_INT.BLIF un1_rst_dly_i_6__n.BLIF N_304_i.BLIF dsack1_int_0_un3_n.BLIF inst_LDS_000_INT.BLIF \ - un1_rst_dly_i_7__n.BLIF N_303_i.BLIF dsack1_int_0_un1_n.BLIF inst_CLK_OUT_PRE_D.BLIF un1_rst_dly_i_8__n.BLIF N_186_i.BLIF dsack1_int_0_un0_n.BLIF inst_DTACK_D0.BLIF un1_rst_dly_i_2__n.BLIF \ - VPA_c_i.BLIF as_000_int_0_un3_n.BLIF inst_CLK_OUT_PRE_50.BLIF N_87_i_i.BLIF N_56_0.BLIF as_000_int_0_un1_n.BLIF inst_CLK_000_D1.BLIF cpu_est_i_3__n.BLIF DTACK_c_i.BLIF \ - as_000_int_0_un0_n.BLIF inst_CLK_000_D0.BLIF cpu_est_i_0__n.BLIF N_57_0.BLIF ds_000_enable_0_un3_n.BLIF inst_CLK_000_PE.BLIF VPA_D_i.BLIF N_97_i.BLIF ds_000_enable_0_un1_n.BLIF \ - CLK_000_P_SYNC_9_.BLIF VMA_INT_i.BLIF ds_000_enable_0_un0_n.BLIF inst_CLK_000_NE.BLIF cpu_est_i_1__n.BLIF N_96_i.BLIF as_030_000_sync_0_un3_n.BLIF CLK_000_N_SYNC_11_.BLIF CLK_000_PE_i.BLIF \ - N_95_i.BLIF as_030_000_sync_0_un1_n.BLIF cpu_est_2_.BLIF BERR_i.BLIF N_94_i.BLIF as_030_000_sync_0_un0_n.BLIF IPL_D0_0_.BLIF sm_amiga_i_4__n.BLIF N_313_i.BLIF \ - lds_000_int_0_un3_n.BLIF IPL_D0_1_.BLIF cpu_est_i_2__n.BLIF N_136_i.BLIF lds_000_int_0_un1_n.BLIF IPL_D0_2_.BLIF sm_amiga_i_5__n.BLIF N_81_0.BLIF lds_000_int_0_un0_n.BLIF \ - SM_AMIGA_3_.BLIF DTACK_D0_i.BLIF N_116_i.BLIF rw_000_dma_0_un3_n.BLIF inst_CLK_000_NE_D0.BLIF sm_amiga_i_0__n.BLIF N_77_i.BLIF rw_000_dma_0_un1_n.BLIF pos_clk_un6_bg_030_n.BLIF \ - sm_amiga_i_3__n.BLIF N_73_i.BLIF rw_000_dma_0_un0_n.BLIF SM_AMIGA_0_.BLIF CLK_000_NE_i.BLIF N_101_i.BLIF uds_000_int_0_un3_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF sm_amiga_i_6__n.BLIF \ - uds_000_int_0_un1_n.BLIF inst_DSACK1_INTreg.BLIF sm_amiga_i_1__n.BLIF clk_000_n_sync_i_10__n.BLIF uds_000_int_0_un0_n.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF pos_clk_ipl_n.BLIF \ - pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_un14_clk_000_n_sync_0_n.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF LDS_000_i.BLIF pos_clk_un22_bgack_030_int_i_n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF SM_AMIGA_6_.BLIF UDS_000_i.BLIF \ - N_86_i.BLIF a_15__n.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF nEXP_SPACE_D0_i.BLIF N_93_i.BLIF RST_DLY_0_.BLIF sm_amiga_i_2__n.BLIF a_14__n.BLIF RST_DLY_1_.BLIF \ - AS_030_i.BLIF N_99_i.BLIF RST_DLY_2_.BLIF A1_i.BLIF pos_clk_size_dma_6_0_1__n.BLIF a_13__n.BLIF RST_DLY_3_.BLIF CLK_000_D1_i.BLIF N_100_i.BLIF \ - RST_DLY_4_.BLIF RW_000_i.BLIF pos_clk_size_dma_6_0_0__n.BLIF a_12__n.BLIF RST_DLY_5_.BLIF CLK_030_H_i.BLIF N_245_0.BLIF RST_DLY_6_.BLIF AS_000_DMA_i.BLIF \ - N_108_i.BLIF a_11__n.BLIF RST_DLY_7_.BLIF AS_000_i.BLIF N_109_i.BLIF pos_clk_un8_bg_030_n.BLIF sm_amiga_i_i_7__n.BLIF N_246_0.BLIF a_10__n.BLIF \ - CLK_000_P_SYNC_0_.BLIF RW_i.BLIF un5_ciin_i.BLIF CLK_000_P_SYNC_1_.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF N_247_0.BLIF a_9__n.BLIF CLK_000_P_SYNC_2_.BLIF FPU_SENSE_i.BLIF \ - N_248_0.BLIF CLK_000_P_SYNC_3_.BLIF AS_030_D0_i.BLIF CLK_000_D0_i.BLIF a_8__n.BLIF CLK_000_P_SYNC_4_.BLIF a_i_24__n.BLIF N_249_i.BLIF CLK_000_P_SYNC_5_.BLIF \ - size_dma_i_0__n.BLIF AS_030_000_SYNC_i.BLIF a_7__n.BLIF CLK_000_P_SYNC_6_.BLIF size_dma_i_1__n.BLIF N_251_0.BLIF CLK_000_P_SYNC_7_.BLIF a_i_16__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF \ - a_6__n.BLIF CLK_000_P_SYNC_8_.BLIF a_i_18__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF CLK_000_N_SYNC_0_.BLIF a_i_19__n.BLIF N_75_i.BLIF a_5__n.BLIF CLK_000_N_SYNC_1_.BLIF \ - a_i_31__n.BLIF N_76_i.BLIF CLK_000_N_SYNC_2_.BLIF a_i_29__n.BLIF N_78_0.BLIF a_4__n.BLIF CLK_000_N_SYNC_3_.BLIF a_i_30__n.BLIF N_80_0.BLIF \ - CLK_000_N_SYNC_4_.BLIF a_i_27__n.BLIF CLK_EXP_c_i.BLIF a_3__n.BLIF CLK_000_N_SYNC_5_.BLIF a_i_28__n.BLIF N_258_0.BLIF CLK_000_N_SYNC_6_.BLIF a_i_25__n.BLIF \ - N_283_i.BLIF a_2__n.BLIF CLK_000_N_SYNC_7_.BLIF a_i_26__n.BLIF N_284_i.BLIF CLK_000_N_SYNC_8_.BLIF UDS_000_INT_i.BLIF CLK_000_N_SYNC_9_.BLIF LDS_000_INT_i.BLIF \ - N_290_i.BLIF CLK_000_N_SYNC_10_.BLIF DS_030_i.BLIF N_291_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF N_224_i.BLIF inst_RW_000_INT.BLIF N_225_i.BLIF N_279_i.BLIF \ - inst_RW_000_DMA.BLIF N_226_i.BLIF N_293_i.BLIF inst_A0_DMA.BLIF inst_CLK_030_H.BLIF N_82_i.BLIF SM_AMIGA_1_.BLIF N_83_i.BLIF SM_AMIGA_4_.BLIF \ - N_104_i.BLIF N_259_0.BLIF SM_AMIGA_2_.BLIF N_103_i.BLIF N_84_i.BLIF pos_clk_un3_as_030_d0_n.BLIF N_282_i.BLIF N_115_0.BLIF inst_DS_000_ENABLE.BLIF \ - N_92_i.BLIF N_85_i.BLIF AS_000_INT_1_sqmuxa.BLIF un6_lds_000_i.BLIF N_294_i.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF un6_uds_000_i.BLIF N_296_i.BLIF pos_clk_a0_dma_3_n.BLIF \ - un6_ds_030_i.BLIF pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_ds_000_dma_4_n.BLIF DS_000_DMA_i.BLIF N_91_i.BLIF N_3.BLIF un4_as_000_i.BLIF N_260_0.BLIF AS_000_INT_i.BLIF \ - N_301_i.BLIF un6_as_030_i.BLIF pos_clk_ds_000_dma_4_0_n.BLIF N_6.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_305_i.BLIF N_8.BLIF DS_030_D0_i.BLIF N_306_i.BLIF \ - N_9.BLIF AS_030_c.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_10.BLIF N_307_i.BLIF N_11.BLIF AS_000_c.BLIF N_12.BLIF N_13.BLIF \ - RW_000_c.BLIF N_15.BLIF N_265_0.BLIF N_16.BLIF DS_030_c.BLIF N_269_i.BLIF N_19.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF N_20.BLIF \ - UDS_000_c.BLIF N_62_0.BLIF N_21.BLIF N_276_0.BLIF N_23.BLIF LDS_000_c.BLIF N_277_0.BLIF N_24.BLIF N_286_i.BLIF \ - N_25.BLIF size_c_0__n.BLIF N_288_i.BLIF N_289_i.BLIF size_c_1__n.BLIF pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF size_c_i_1__n.BLIF N_25_i.BLIF \ - N_32_0.BLIF N_24_i.BLIF N_31_0.BLIF N_23_i.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF N_54_0.BLIF ipl_c_i_1__n.BLIF N_53_0.BLIF \ - ipl_c_i_0__n.BLIF N_52_0.BLIF nEXP_SPACE_c_i.BLIF N_55_0.BLIF N_50_0.BLIF N_3_i.BLIF N_49_0.BLIF N_6_i.BLIF N_48_0.BLIF \ - N_8_i.BLIF N_46_0.BLIF N_9_i.BLIF N_45_0.BLIF N_12_i.BLIF SM_AMIGA_i_7_.BLIF N_43_0.BLIF N_115.BLIF N_13_i.BLIF \ - pos_clk_size_dma_6_0__n.BLIF a_c_16__n.BLIF N_42_0.BLIF pos_clk_size_dma_6_1__n.BLIF N_15_i.BLIF pos_clk_cpu_est_11_3__n.BLIF a_c_17__n.BLIF N_40_0.BLIF G_165.BLIF \ - N_16_i.BLIF G_166.BLIF a_c_18__n.BLIF N_39_0.BLIF G_167.BLIF N_19_i.BLIF un6_uds_000_1.BLIF a_c_19__n.BLIF N_36_0.BLIF \ - pos_clk_un24_bgack_030_int_i_0_n.BLIF N_20_i.BLIF N_245.BLIF a_c_20__n.BLIF N_35_0.BLIF N_246.BLIF N_21_i.BLIF N_247.BLIF a_c_21__n.BLIF \ - N_34_0.BLIF N_248.BLIF BG_030_c_i.BLIF N_89.BLIF a_c_22__n.BLIF pos_clk_un6_bg_030_i_n.BLIF N_92.BLIF pos_clk_un8_bg_030_0_n.BLIF N_102.BLIF \ - a_c_23__n.BLIF N_127_i_1.BLIF N_103.BLIF N_127_i_2.BLIF N_104.BLIF a_c_24__n.BLIF pos_clk_un24_bgack_030_int_i_0_i_1_n.BLIF N_112.BLIF N_80_0_1.BLIF \ - N_256.BLIF a_c_25__n.BLIF N_75_i_1.BLIF N_258.BLIF N_251_0_1.BLIF a_c_26__n.BLIF pos_clk_un11_ds_030_d0_i_1_n.BLIF N_260.BLIF N_340_1.BLIF \ - N_265.BLIF a_c_27__n.BLIF N_340_2.BLIF N_282.BLIF N_340_3.BLIF N_71.BLIF a_c_28__n.BLIF N_340_4.BLIF cpu_est_0_0_x2_0_.BLIF \ - un5_ciin_1.BLIF pos_clk_un11_clk_000_n_sync_n.BLIF a_c_29__n.BLIF un5_ciin_2.BLIF N_76.BLIF un5_ciin_3.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF a_c_30__n.BLIF un5_ciin_4.BLIF \ - pos_clk_CYCLE_DMA_5_0_i_x2.BLIF un5_ciin_5.BLIF pos_clk_un24_bgack_030_int_i_0_x2.BLIF a_c_31__n.BLIF un5_ciin_6.BLIF pos_clk_un22_bgack_030_int_n.BLIF un5_ciin_7.BLIF N_268.BLIF A0_c.BLIF \ - un5_ciin_8.BLIF N_270.BLIF un5_ciin_9.BLIF N_73.BLIF A1_c.BLIF un5_ciin_10.BLIF N_75.BLIF un5_ciin_11.BLIF N_251.BLIF \ - nEXP_SPACE_c.BLIF un22_berr_1_0.BLIF un22_berr_1.BLIF un21_fpu_cs_1.BLIF N_95.BLIF BERR_c.BLIF pos_clk_un6_bg_030_1_n.BLIF N_94.BLIF N_131_i_1.BLIF \ - N_288.BLIF BG_030_c.BLIF N_131_i_2.BLIF N_289.BLIF N_131_i_3.BLIF N_286.BLIF BG_000DFFreg.BLIF N_96_1.BLIF N_279.BLIF \ - N_96_2.BLIF N_277.BLIF N_96_3.BLIF N_276.BLIF BGACK_000_c.BLIF pos_clk_cpu_est_11_0_1_1__n.BLIF N_62.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF N_274.BLIF \ - N_310_1.BLIF N_313.BLIF N_310_2.BLIF N_307.BLIF N_310_3.BLIF N_305.BLIF CLK_OSZI_c.BLIF N_310_4.BLIF N_306.BLIF \ - N_309_1.BLIF N_303.BLIF N_309_2.BLIF N_304.BLIF CLK_EXP_c.BLIF N_308_1.BLIF N_301.BLIF N_308_2.BLIF N_91.BLIF \ - RESET_OUT_0_sqmuxa_5_1.BLIF N_85.BLIF FPU_SENSE_c.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF N_294.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF N_296.BLIF IPL_030DFF_0_reg.BLIF RESET_OUT_0_sqmuxa_7_3.BLIF \ - N_84.BLIF N_94_1.BLIF N_82.BLIF IPL_030DFF_1_reg.BLIF N_95_1.BLIF N_83.BLIF N_119_i_1.BLIF N_293.BLIF IPL_030DFF_2_reg.BLIF \ - N_82_1.BLIF N_290.BLIF N_83_1.BLIF N_291.BLIF ipl_c_0__n.BLIF N_296_1.BLIF N_283.BLIF N_303_1.BLIF N_284.BLIF \ - ipl_c_1__n.BLIF N_304_1.BLIF N_86.BLIF N_306_1.BLIF N_80.BLIF ipl_c_2__n.BLIF N_129_i_1.BLIF N_78.BLIF N_125_i_1.BLIF \ - N_108.BLIF N_123_i_1.BLIF N_109.BLIF DTACK_c.BLIF N_115_0_1.BLIF N_100.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF N_99.BLIF N_260_0_1.BLIF \ - N_93.BLIF N_261_i_1.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF VPA_c.BLIF N_262_i_1.BLIF pos_clk_un9_clk_000_n_sync_n.BLIF N_263_i_1.BLIF N_340.BLIF pos_clk_ipl_1_n.BLIF \ - N_97.BLIF RST_c.BLIF cpu_est_0_3__un3_n.BLIF N_136.BLIF cpu_est_0_3__un1_n.BLIF N_101.BLIF cpu_est_0_3__un0_n.BLIF N_81.BLIF RW_c.BLIF \ - cpu_est_0_2__un3_n.BLIF N_116.BLIF cpu_est_0_2__un1_n.BLIF N_96.BLIF fc_c_0__n.BLIF cpu_est_0_2__un0_n.BLIF N_113.BLIF cpu_est_0_1__un3_n.BLIF N_275.BLIF \ - fc_c_1__n.BLIF cpu_est_0_1__un1_n.BLIF N_273.BLIF cpu_est_0_1__un0_n.BLIF N_88.BLIF bgack_030_int_0_un3_n.BLIF N_272.BLIF AMIGA_BUS_DATA_DIR_c.BLIF bgack_030_int_0_un1_n.BLIF \ - N_299.BLIF bgack_030_int_0_un0_n.BLIF N_90.BLIF vma_int_0_un3_n.BLIF N_311.BLIF vma_int_0_un1_n.BLIF N_312.BLIF vma_int_0_un0_n.BLIF N_267.BLIF \ - un1_as_000_i.BLIF rw_000_int_0_un3_n.BLIF N_264.BLIF un1_rst_dly_i_m_i_3__n.BLIF rw_000_int_0_un1_n.BLIF pos_clk_un7_clk_000_pe_n.BLIF rw_000_int_0_un0_n.BLIF N_308.BLIF un1_rst_dly_i_m_i_4__n.BLIF \ - sm_amiga_srsts_i_0_m2_3__un3_n.BLIF N_309.BLIF sm_amiga_srsts_i_0_m2_3__un1_n.BLIF AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN \ - SIZE_0_.PIN SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN + FC_0_.BLIF SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_193.BLIF cpu_est_0_1__un3_n.BLIF N_190.BLIF RW_c.BLIF cpu_est_0_1__un1_n.BLIF N_189.BLIF cpu_est_0_1__un0_n.BLIF N_140.BLIF \ + fc_c_0__n.BLIF bgack_030_int_0_un3_n.BLIF pos_clk_un29_clk_000_ne_d0_n.BLIF bgack_030_int_0_un1_n.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF fc_c_1__n.BLIF bgack_030_int_0_un0_n.BLIF inst_BGACK_030_INTreg.BLIF pos_clk_un21_clk_000_ne_d0_n.BLIF \ + un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF vcc_n_n.BLIF pos_clk_un7_clk_000_pe_n.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF cpu_est_3_reg.BLIF N_18.BLIF AMIGA_BUS_DATA_DIR_c.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF inst_VMA_INTreg.BLIF \ + N_22.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF inst_RESET_OUTreg.BLIF pos_clk_un11_clk_000_n_sync_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF gnd_n_n.BLIF pos_clk_un9_clk_000_n_sync_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF un1_amiga_bus_enable_low.BLIF \ + pos_clk_un14_clk_000_n_sync_n.BLIF size_dma_0_0__un3_n.BLIF un6_as_030.BLIF pos_clk_un22_bgack_030_int_n.BLIF N_6_i.BLIF size_dma_0_0__un1_n.BLIF un3_size.BLIF G_161.BLIF N_48_0.BLIF \ + size_dma_0_0__un0_n.BLIF un4_size.BLIF N_220.BLIF N_3_i.BLIF size_dma_0_1__un3_n.BLIF un8_ciin.BLIF G_159.BLIF N_49_0.BLIF size_dma_0_1__un1_n.BLIF \ + un14_amiga_bus_data_dir.BLIF pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF size_dma_0_1__un0_n.BLIF un4_as_000.BLIF CLK_030_H_0_sqmuxa.BLIF pos_clk_un26_bgack_030_int_i_n.BLIF ipl_030_0_0__un3_n.BLIF un21_fpu_cs.BLIF \ + AS_000_DMA_1_sqmuxa.BLIF pos_clk_un27_bgack_030_int_0_n.BLIF ipl_030_0_0__un1_n.BLIF un22_berr.BLIF pos_clk_un24_bgack_030_int_n.BLIF CLK_030_H_0_sqmuxa_i.BLIF ipl_030_0_0__un0_n.BLIF un6_ds_030.BLIF pos_clk_un27_bgack_030_int_n.BLIF \ + N_7_i.BLIF ipl_030_0_1__un3_n.BLIF un6_uds_000.BLIF N_176_1.BLIF N_47_0.BLIF ipl_030_0_1__un1_n.BLIF un6_lds_000.BLIF N_165.BLIF N_133_i.BLIF \ + ipl_030_0_1__un0_n.BLIF cpu_est_0_.BLIF N_133.BLIF N_176_i.BLIF ipl_030_0_2__un3_n.BLIF cpu_est_1_.BLIF N_163.BLIF N_175_i.BLIF ipl_030_0_2__un1_n.BLIF \ + inst_AS_000_INT.BLIF N_162.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF ipl_030_0_2__un0_n.BLIF SM_AMIGA_5_.BLIF N_164.BLIF pos_clk_ds_000_dma_4_f1_0_n.BLIF dsack1_int_0_un3_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ + N_176.BLIF N_162_i.BLIF dsack1_int_0_un1_n.BLIF inst_AS_030_D0.BLIF DS_000_DMA_2_sqmuxa.BLIF N_163_i.BLIF dsack1_int_0_un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF pos_clk_ds_000_dma_4_n.BLIF \ + N_164_i.BLIF as_000_int_0_un3_n.BLIF inst_DS_030_D0.BLIF DS_000_DMA_0_sqmuxa.BLIF N_165_i.BLIF as_000_int_0_un1_n.BLIF inst_AS_030_000_SYNC.BLIF pos_clk_ds_000_dma_4_f1_n.BLIF as_000_int_0_un0_n.BLIF \ + inst_BGACK_030_INT_D.BLIF N_175.BLIF pos_clk_un22_bgack_030_int_0_n.BLIF ds_000_enable_0_un3_n.BLIF inst_AS_000_DMA.BLIF N_47.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF ds_000_enable_0_un1_n.BLIF inst_DS_000_DMA.BLIF \ + N_7.BLIF clk_000_n_sync_i_10__n.BLIF ds_000_enable_0_un0_n.BLIF CYCLE_DMA_0_.BLIF un1_rst_2.BLIF pos_clk_un14_clk_000_n_sync_0_n.BLIF as_030_000_sync_0_un3_n.BLIF CYCLE_DMA_1_.BLIF pos_clk_un26_bgack_030_int_n.BLIF \ + N_22_i.BLIF as_030_000_sync_0_un1_n.BLIF SIZE_DMA_0_.BLIF pos_clk_un29_bgack_030_int_n.BLIF N_33_0.BLIF as_030_000_sync_0_un0_n.BLIF SIZE_DMA_1_.BLIF N_3.BLIF N_18_i.BLIF \ + lds_000_int_0_un3_n.BLIF inst_VPA_D.BLIF N_6.BLIF N_37_0.BLIF lds_000_int_0_un1_n.BLIF inst_UDS_000_INT.BLIF un1_amiga_bus_enable_low_i.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF lds_000_int_0_un0_n.BLIF \ + inst_LDS_000_INT.BLIF un21_fpu_cs_i.BLIF pos_clk_un5_clk_000_pe_i_n.BLIF rw_000_int_0_un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF AS_000_i.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF rw_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF \ + DS_000_DMA_i.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF rw_000_int_0_un0_n.BLIF inst_CLK_OUT_PRE_50.BLIF pos_clk_un24_bgack_030_int_i_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n.BLIF rw_000_dma_0_un3_n.BLIF inst_CLK_000_D1.BLIF cycle_dma_i_1__n.BLIF \ + pos_clk_un23_clk_000_ne_d0_0_n.BLIF rw_000_dma_0_un1_n.BLIF inst_CLK_000_D0.BLIF cycle_dma_i_0__n.BLIF N_136_i.BLIF rw_000_dma_0_un0_n.BLIF inst_CLK_000_PE.BLIF AS_000_DMA_i.BLIF N_140_0.BLIF \ + uds_000_int_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF CLK_EXP_i.BLIF N_195_i.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF BERR_i.BLIF N_196_i.BLIF uds_000_int_0_un0_n.BLIF \ + CLK_000_N_SYNC_11_.BLIF RW_000_i.BLIF N_186_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF cpu_est_2_.BLIF DS_000_DMA_0_sqmuxa_i.BLIF N_188_i.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF IPL_D0_0_.BLIF \ + pos_clk_un40_bgack_030_int_1_i_n.BLIF N_189_i.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF IPL_D0_1_.BLIF BGACK_030_INT_i.BLIF N_190_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF IPL_D0_2_.BLIF nEXP_SPACE_D0_i.BLIF \ + N_193_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF SM_AMIGA_3_.BLIF CLK_000_PE_i.BLIF N_191_i.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF CLK_000_NE_i.BLIF N_192_i.BLIF \ + bg_000_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF sm_amiga_i_3__n.BLIF N_194_i.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_0_.BLIF sm_amiga_i_0__n.BLIF pos_clk_cpu_est_11_0_1__n.BLIF bg_000_0_un0_n.BLIF \ + inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_198_i.BLIF a0_dma_0_un3_n.BLIF inst_DSACK1_INTreg.BLIF UDS_000_i.BLIF N_197_i.BLIF a0_dma_0_un1_n.BLIF LDS_000_i.BLIF \ + N_199_i.BLIF a0_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF a_23__n.BLIF pos_clk_ipl_n.BLIF CLK_OUT_PRE_D_i.BLIF N_151_i.BLIF \ + pos_clk_un3_ds_030_d0_n.BLIF DTACK_D0_i.BLIF N_150_i.BLIF a_22__n.BLIF SM_AMIGA_6_.BLIF sm_amiga_i_2__n.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_135_i.BLIF \ + a_21__n.BLIF RST_DLY_0_.BLIF cpu_est_i_0__n.BLIF N_252_0.BLIF RST_DLY_1_.BLIF cpu_est_i_3__n.BLIF N_85_i.BLIF a_20__n.BLIF RST_DLY_2_.BLIF \ + cpu_est_i_2__n.BLIF RST_DLY_3_.BLIF cpu_est_i_1__n.BLIF N_38_0.BLIF a_15__n.BLIF RST_DLY_4_.BLIF VPA_D_i.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_5_.BLIF \ + VMA_INT_i.BLIF a_14__n.BLIF RST_DLY_6_.BLIF sm_amiga_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_i.BLIF a_13__n.BLIF pos_clk_un8_bg_030_n.BLIF \ + N_77_i_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF CLK_000_P_SYNC_0_.BLIF un1_rst_dly_i_2__n.BLIF a_12__n.BLIF CLK_000_P_SYNC_1_.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_i_5__n.BLIF CLK_000_P_SYNC_2_.BLIF \ + un1_rst_dly_i_4__n.BLIF a_11__n.BLIF CLK_000_P_SYNC_3_.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_i_6__n.BLIF CLK_000_P_SYNC_4_.BLIF un1_rst_dly_i_6__n.BLIF a_10__n.BLIF CLK_000_P_SYNC_5_.BLIF \ + un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_i_7__n.BLIF CLK_000_P_SYNC_6_.BLIF un1_rst_dly_i_8__n.BLIF a_9__n.BLIF CLK_000_P_SYNC_7_.BLIF RESET_OUT_i.BLIF un1_rst_dly_i_m_i_8__n.BLIF CLK_000_P_SYNC_8_.BLIF \ + AS_030_D0_i.BLIF a_8__n.BLIF CLK_000_N_SYNC_0_.BLIF AS_030_i.BLIF un3_as_030_i.BLIF CLK_000_N_SYNC_1_.BLIF A1_i.BLIF N_76_i.BLIF a_7__n.BLIF \ + CLK_000_N_SYNC_2_.BLIF CLK_000_D1_i.BLIF N_83_i.BLIF CLK_000_N_SYNC_3_.BLIF sm_amiga_i_i_7__n.BLIF a_6__n.BLIF CLK_000_N_SYNC_4_.BLIF N_248_i.BLIF N_84_i.BLIF \ + CLK_000_N_SYNC_5_.BLIF sm_amiga_i_5__n.BLIF N_115_0.BLIF a_5__n.BLIF CLK_000_N_SYNC_6_.BLIF RW_i.BLIF N_86_i.BLIF CLK_000_N_SYNC_7_.BLIF CLK_000_D0_i.BLIF \ + pos_clk_size_dma_6_0_1__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_8_.BLIF AS_030_000_SYNC_i.BLIF N_87_i.BLIF CLK_000_N_SYNC_9_.BLIF sm_amiga_i_6__n.BLIF pos_clk_size_dma_6_0_0__n.BLIF a_3__n.BLIF \ + CLK_000_N_SYNC_10_.BLIF sm_amiga_i_4__n.BLIF N_88_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF FPU_SENSE_i.BLIF a_2__n.BLIF inst_RW_000_INT.BLIF size_dma_i_0__n.BLIF N_241_0.BLIF \ + inst_RW_000_DMA.BLIF size_dma_i_1__n.BLIF N_242_0.BLIF inst_A0_DMA.BLIF a_i_16__n.BLIF N_243_0.BLIF inst_CLK_030_H.BLIF a_i_18__n.BLIF N_93_i.BLIF \ + SM_AMIGA_1_.BLIF a_i_19__n.BLIF N_94_i.BLIF SM_AMIGA_4_.BLIF a_i_30__n.BLIF N_244_0.BLIF SM_AMIGA_2_.BLIF a_i_31__n.BLIF N_245_0.BLIF \ + pos_clk_un3_as_030_d0_n.BLIF a_i_28__n.BLIF N_246_0.BLIF inst_DS_000_ENABLE.BLIF a_i_29__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF a_i_26__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF a_i_27__n.BLIF \ + N_249_i.BLIF pos_clk_a0_dma_3_n.BLIF a_i_24__n.BLIF N_251_0.BLIF a_i_25__n.BLIF N_71_0.BLIF LDS_000_INT_i.BLIF N_104_i.BLIF N_8.BLIF \ + DS_030_i.BLIF N_137_i.BLIF N_9.BLIF UDS_000_INT_i.BLIF N_10.BLIF N_224_i.BLIF N_160_i.BLIF N_11.BLIF N_225_i.BLIF \ + N_161_i.BLIF N_12.BLIF N_226_i.BLIF N_13.BLIF N_159_i.BLIF N_14.BLIF N_157_i.BLIF N_15.BLIF N_158_i.BLIF \ + N_16.BLIF N_91_i.BLIF N_19.BLIF N_90_i.BLIF N_155_i.BLIF N_20.BLIF un14_amiga_bus_data_dir_i.BLIF N_156_i.BLIF N_21.BLIF \ + N_80_i.BLIF N_23.BLIF un6_lds_000_i.BLIF N_154_i.BLIF N_24.BLIF un6_uds_000_i.BLIF N_152_i.BLIF N_25.BLIF un6_ds_030_i.BLIF \ + N_153_i.BLIF cpu_est_0_0_.BLIF un4_as_000_i.BLIF N_142_0.BLIF AS_000_INT_i.BLIF N_141_0.BLIF un6_as_030_i.BLIF N_138_0.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF \ + N_132_i.BLIF DS_030_D0_i.BLIF un1_as_030_i.BLIF AS_030_c.BLIF pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF AS_000_c.BLIF size_c_i_1__n.BLIF N_25_i.BLIF \ + RW_000_c.BLIF N_32_0.BLIF N_24_i.BLIF DS_030_c.BLIF N_31_0.BLIF N_23_i.BLIF UDS_000_c.BLIF N_30_0.BLIF ipl_c_i_2__n.BLIF \ + LDS_000_c.BLIF N_54_0.BLIF ipl_c_i_1__n.BLIF size_c_0__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF size_c_1__n.BLIF N_52_0.BLIF DTACK_c_i.BLIF \ + N_57_0.BLIF VPA_c_i.BLIF N_56_0.BLIF nEXP_SPACE_c_i.BLIF N_55_0.BLIF N_50_0.BLIF N_8_i.BLIF N_46_0.BLIF N_9_i.BLIF \ + N_45_0.BLIF N_10_i.BLIF SM_AMIGA_i_7_.BLIF N_44_0.BLIF N_115.BLIF N_12_i.BLIF pos_clk_size_dma_6_0__n.BLIF N_43_0.BLIF pos_clk_size_dma_6_1__n.BLIF \ + N_13_i.BLIF G_165.BLIF N_42_0.BLIF G_166.BLIF N_14_i.BLIF G_167.BLIF N_41_0.BLIF un6_uds_000_1.BLIF N_15_i.BLIF \ + N_241.BLIF N_40_0.BLIF N_242.BLIF N_16_i.BLIF N_243.BLIF N_39_0.BLIF N_244.BLIF N_19_i.BLIF N_245.BLIF \ + N_36_0.BLIF N_246.BLIF N_20_i.BLIF N_78.BLIF N_35_0.BLIF N_80.BLIF N_21_i.BLIF N_89.BLIF N_34_0.BLIF \ + N_90.BLIF a_c_16__n.BLIF BG_030_c_i.BLIF N_91.BLIF pos_clk_un6_bg_030_i_n.BLIF N_98.BLIF a_c_17__n.BLIF pos_clk_un8_bg_030_0_n.BLIF N_99.BLIF \ + N_251_0_1.BLIF N_249.BLIF a_c_18__n.BLIF N_121_i_1.BLIF N_248.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF N_135.BLIF a_c_19__n.BLIF pos_clk_cpu_est_11_0_1_1__n.BLIF \ + N_136.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_131_i_1.BLIF un22_berr_1.BLIF N_131_i_2.BLIF N_152.BLIF N_131_i_3.BLIF N_153.BLIF \ + pos_clk_un11_ds_030_d0_i_1_n.BLIF N_154.BLIF un8_ciin_1.BLIF N_155.BLIF un8_ciin_2.BLIF N_141.BLIF un8_ciin_3.BLIF N_156.BLIF un8_ciin_4.BLIF \ + N_157.BLIF a_c_24__n.BLIF un8_ciin_5.BLIF N_138.BLIF un8_ciin_6.BLIF N_158.BLIF a_c_25__n.BLIF un8_ciin_7.BLIF N_159.BLIF \ + un8_ciin_8.BLIF N_160.BLIF a_c_26__n.BLIF N_116_1.BLIF N_142.BLIF N_116_2.BLIF N_161.BLIF a_c_27__n.BLIF N_116_3.BLIF \ + N_132.BLIF N_116_4.BLIF N_104.BLIF a_c_28__n.BLIF un22_berr_1_0.BLIF N_76.BLIF un21_fpu_cs_1.BLIF N_71.BLIF a_c_29__n.BLIF \ + N_123_i_1.BLIF N_251.BLIF N_123_i_2.BLIF N_93.BLIF a_c_30__n.BLIF N_125_i_1.BLIF N_94.BLIF N_127_i_1.BLIF N_88.BLIF \ + a_c_31__n.BLIF N_127_i_2.BLIF N_87.BLIF N_129_i_1.BLIF N_86.BLIF A0_c.BLIF pos_clk_un6_bg_030_1_n.BLIF N_84.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF \ + N_83.BLIF A1_c.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF N_116.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF G_149.BLIF nEXP_SPACE_c.BLIF RESET_OUT_0_sqmuxa_7_3.BLIF G_147.BLIF \ + RESET_OUT_0_sqmuxa_5_1.BLIF N_213.BLIF BERR_c.BLIF N_135_i_1.BLIF G_145.BLIF pos_clk_un27_clk_000_ne_d0_1_n.BLIF N_211.BLIF BG_030_c.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF \ + G_143.BLIF pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_209.BLIF BG_000DFFreg.BLIF pos_clk_un5_clk_000_pe_1_n.BLIF G_141.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF G_139.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF \ + N_205.BLIF BGACK_000_c.BLIF pos_clk_un9_clk_000_ne_1_n.BLIF G_137.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF RESET_OUT_0_sqmuxa_1.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF RESET_OUT_0_sqmuxa.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF \ + RESET_OUT_0_sqmuxa_7.BLIF N_196_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF CLK_OSZI_c.BLIF N_195_1.BLIF un1_rst_dly_i_m_8__n.BLIF pos_clk_un24_bgack_030_int_1_n.BLIF un1_rst_dly_i_m_7__n.BLIF N_165_1.BLIF \ + un1_rst_dly_i_m_6__n.BLIF CLK_EXP_c.BLIF N_165_2.BLIF un1_rst_dly_i_m_5__n.BLIF N_165_3.BLIF un1_rst_dly_i_m_4__n.BLIF N_163_1.BLIF un1_rst_dly_i_m_3__n.BLIF FPU_SENSE_c.BLIF \ + N_162_1.BLIF un1_rst_dly_i_m_2__n.BLIF N_176_1_0.BLIF N_38.BLIF IPL_030DFF_0_reg.BLIF DS_000_DMA_2_sqmuxa_1.BLIF N_85.BLIF N_119_i_1.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF \ + IPL_030DFF_1_reg.BLIF N_115_0_1.BLIF N_252.BLIF pos_clk_ipl_1_n.BLIF N_97.BLIF IPL_030DFF_2_reg.BLIF as_000_dma_0_un3_n.BLIF pos_clk_un27_clk_000_ne_d0_n.BLIF as_000_dma_0_un1_n.BLIF \ + N_199_1.BLIF ipl_c_0__n.BLIF as_000_dma_0_un0_n.BLIF pos_clk_un5_clk_000_pe_n.BLIF ds_000_dma_0_un3_n.BLIF pos_clk_un9_clk_000_ne_n.BLIF ipl_c_1__n.BLIF ds_000_dma_0_un1_n.BLIF N_150.BLIF \ + ds_000_dma_0_un0_n.BLIF N_151.BLIF ipl_c_2__n.BLIF vma_int_0_un3_n.BLIF N_199.BLIF vma_int_0_un1_n.BLIF N_196.BLIF vma_int_0_un0_n.BLIF N_195.BLIF \ + DTACK_c.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF N_188.BLIF sm_amiga_srsts_i_0_m2_1__un1_n.BLIF pos_clk_cpu_est_11_3__n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_197.BLIF cpu_est_0_3__un3_n.BLIF N_198.BLIF \ + VPA_c.BLIF cpu_est_0_3__un1_n.BLIF pos_clk_cpu_est_11_1__n.BLIF cpu_est_0_3__un0_n.BLIF N_194.BLIF cpu_est_0_2__un3_n.BLIF N_192.BLIF RST_c.BLIF cpu_est_0_2__un1_n.BLIF \ + N_191.BLIF cpu_est_0_2__un0_n.BLIF AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN \ + SIZE_1_.PIN A0.PIN BERR.PIN RW.PIN .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E VMA RESET \ - AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C \ - IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D \ - SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C \ - cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C CLK_000_N_SYNC_9_.D \ - CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ - RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C RST_DLY_6_.D RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D \ - CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C \ - CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ - CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ - CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D \ - CLK_000_P_SYNC_4_.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUTreg.D inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_RW_000_DMA.D inst_RW_000_DMA.C \ - inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_A0_DMA.D \ - inst_A0_DMA.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C \ - inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D \ - inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D inst_VMA_INTreg.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ - inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D inst_CLK_000_D0.C pos_clk_RST_DLY_5_iv_0_x2_0_.X1 pos_clk_RST_DLY_5_iv_0_x2_0_.X2 cpu_est_0_0_x2_0_.X1 \ - cpu_est_0_0_x2_0_.X2 pos_clk_CYCLE_DMA_5_1_i_x2.X1 pos_clk_CYCLE_DMA_5_1_i_x2.X2 pos_clk_CYCLE_DMA_5_0_i_x2.X1 pos_clk_CYCLE_DMA_5_0_i_x2.X2 pos_clk_un24_bgack_030_int_i_0_x2.X1 pos_clk_un24_bgack_030_int_i_0_x2.X2 G_167.X1 G_167.X2 G_165.X1 G_165.X2 \ - G_166.X1 G_166.X2 G_141.X1 G_141.X2 G_139.X1 G_139.X2 G_137.X1 G_137.X2 G_149.X1 G_149.X2 G_147.X1 \ - G_147.X2 G_145.X1 G_145.X2 G_143.X1 G_143.X2 SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_310 un1_rst_dly_i_m_i_5__n sm_amiga_srsts_i_0_m2_3__un0_n N_220 sm_amiga_srsts_i_0_m2_1__un3_n pos_clk_cpu_est_11_1__n \ - un1_rst_dly_i_m_i_6__n sm_amiga_srsts_i_0_m2_1__un1_n N_14 sm_amiga_srsts_i_0_m2_1__un0_n N_18 un1_rst_dly_i_m_i_7__n un1_amiga_bus_enable_dma_high_0_m2_0__un3_n N_22 un1_amiga_bus_enable_dma_high_0_m2_0__un1_n RESET_OUT_0_sqmuxa_1 un1_rst_dly_i_m_i_8__n \ - un1_amiga_bus_enable_dma_high_0_m2_0__un0_n vcc_n_n N_205 un1_sm_amiga_7_i_m2_un3_n N_213 un1_rst_dly_i_m_i_2__n un1_sm_amiga_7_i_m2_un1_n un1_sm_amiga_7_i_m2_un0_n N_105 N_98_i size_dma_0_0__un3_n \ - gnd_n_n N_98 size_dma_0_0__un1_n un1_amiga_bus_enable_low RESET_OUT_0_sqmuxa N_105_i size_dma_0_0__un0_n un6_as_030 un1_rst_dly_i_m_2__n size_dma_0_1__un3_n un3_size \ - N_22_i size_dma_0_1__un1_n un4_size un1_rst_dly_i_m_8__n N_33_0 size_dma_0_1__un0_n un5_ciin N_18_i ipl_030_0_0__un3_n un4_as_000 RESET_OUT_0_sqmuxa_5 \ - N_37_0 ipl_030_0_0__un1_n un21_fpu_cs RESET_OUT_0_sqmuxa_7 N_14_i ipl_030_0_0__un0_n un22_berr N_41_0 ipl_030_0_1__un3_n un6_ds_030 N_10_i \ - ipl_030_0_1__un1_n un6_uds_000 N_211 N_44_0 ipl_030_0_1__un0_n un6_lds_000 pos_clk_cpu_est_11_0_1__n ipl_030_0_2__un3_n N_209 N_312_i ipl_030_0_2__un1_n \ - N_90_i ipl_030_0_2__un0_n N_88_i amiga_bus_enable_dma_high_0_un3_n un1_rst_dly_i_m_7__n N_299_i amiga_bus_enable_dma_high_0_un1_n un1_rst_dly_i_m_6__n N_275_0 amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n \ - N_274_0 bg_000_0_un3_n un1_rst_dly_i_m_4__n N_273_i bg_000_0_un1_n un1_rst_dly_i_m_3__n N_272_i bg_000_0_un0_n N_71_i N_270_i ds_000_dma_0_un3_n \ - un1_amiga_bus_enable_low_i N_268_i ds_000_dma_0_un1_n un21_fpu_cs_i N_310_i ds_000_dma_0_un0_n RESET_OUT_i N_311_i as_000_dma_0_un3_n BGACK_030_INT_i N_267_0 \ - as_000_dma_0_un1_n RESET_OUT_0_sqmuxa_i N_309_i as_000_dma_0_un0_n un1_rst_dly_i_3__n N_308_i a0_dma_0_un3_n un1_rst_dly_i_4__n pos_clk_un7_clk_000_pe_0_n a0_dma_0_un1_n un1_rst_dly_i_5__n \ - N_264_0 a0_dma_0_un0_n un1_rst_dly_i_6__n N_304_i dsack1_int_0_un3_n un1_rst_dly_i_7__n N_303_i dsack1_int_0_un1_n un1_rst_dly_i_8__n N_186_i dsack1_int_0_un0_n \ - un1_rst_dly_i_2__n VPA_c_i as_000_int_0_un3_n N_87_i_i N_56_0 as_000_int_0_un1_n cpu_est_i_3__n DTACK_c_i as_000_int_0_un0_n cpu_est_i_0__n N_57_0 \ - ds_000_enable_0_un3_n VPA_D_i N_97_i ds_000_enable_0_un1_n VMA_INT_i ds_000_enable_0_un0_n cpu_est_i_1__n N_96_i as_030_000_sync_0_un3_n CLK_000_PE_i N_95_i \ - as_030_000_sync_0_un1_n BERR_i N_94_i as_030_000_sync_0_un0_n sm_amiga_i_4__n N_313_i lds_000_int_0_un3_n cpu_est_i_2__n N_136_i lds_000_int_0_un1_n sm_amiga_i_5__n \ - N_81_0 lds_000_int_0_un0_n DTACK_D0_i N_116_i rw_000_dma_0_un3_n sm_amiga_i_0__n N_77_i rw_000_dma_0_un1_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_73_i \ - rw_000_dma_0_un0_n CLK_000_NE_i N_101_i uds_000_int_0_un3_n sm_amiga_i_6__n uds_000_int_0_un1_n sm_amiga_i_1__n clk_000_n_sync_i_10__n uds_000_int_0_un0_n CLK_OUT_PRE_D_i pos_clk_un9_clk_000_n_sync_i_n \ - amiga_bus_enable_dma_low_0_un3_n pos_clk_ipl_n pos_clk_un11_clk_000_n_sync_i_n pos_clk_un14_clk_000_n_sync_0_n amiga_bus_enable_dma_low_0_un1_n pos_clk_un3_ds_030_d0_n LDS_000_i pos_clk_un22_bgack_030_int_i_n amiga_bus_enable_dma_low_0_un0_n UDS_000_i N_86_i \ - a_15__n AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa nEXP_SPACE_D0_i N_93_i sm_amiga_i_2__n a_14__n AS_030_i N_99_i A1_i pos_clk_size_dma_6_0_1__n a_13__n \ - CLK_000_D1_i N_100_i RW_000_i pos_clk_size_dma_6_0_0__n a_12__n CLK_030_H_i N_245_0 AS_000_DMA_i N_108_i a_11__n AS_000_i \ - N_109_i pos_clk_un8_bg_030_n sm_amiga_i_i_7__n N_246_0 a_10__n RW_i un5_ciin_i AMIGA_BUS_ENABLE_DMA_HIGH_i N_247_0 a_9__n FPU_SENSE_i \ - N_248_0 AS_030_D0_i CLK_000_D0_i a_8__n a_i_24__n N_249_i size_dma_i_0__n AS_030_000_SYNC_i a_7__n size_dma_i_1__n N_251_0 \ - a_i_16__n pos_clk_un3_as_030_d0_i_n a_6__n a_i_18__n pos_clk_un5_bgack_030_int_d_i_n a_i_19__n N_75_i a_5__n a_i_31__n N_76_i a_i_29__n \ - N_78_0 a_4__n a_i_30__n N_80_0 a_i_27__n CLK_EXP_c_i a_3__n a_i_28__n N_258_0 a_i_25__n N_283_i \ - a_2__n a_i_26__n N_284_i UDS_000_INT_i LDS_000_INT_i N_290_i DS_030_i N_291_i pos_clk_un5_bgack_030_int_d_n N_224_i N_225_i \ - N_279_i N_226_i N_293_i N_82_i N_83_i N_104_i N_259_0 N_103_i N_84_i pos_clk_un3_as_030_d0_n N_282_i \ - N_115_0 N_92_i N_85_i AS_000_INT_1_sqmuxa un6_lds_000_i N_294_i DS_000_ENABLE_1_sqmuxa_1 un6_uds_000_i N_296_i pos_clk_a0_dma_3_n un6_ds_030_i \ - pos_clk_cpu_est_11_0_3__n pos_clk_ds_000_dma_4_n DS_000_DMA_i N_91_i N_3 un4_as_000_i N_260_0 AS_000_INT_i N_301_i un6_as_030_i pos_clk_ds_000_dma_4_0_n \ - N_6 AMIGA_BUS_ENABLE_DMA_LOW_i N_305_i N_8 DS_030_D0_i N_306_i N_9 AS_030_c AMIGA_BUS_DATA_DIR_c_0 N_10 N_307_i \ - N_11 AS_000_c N_12 N_13 RW_000_c N_15 N_265_0 N_16 DS_030_c N_269_i N_19 \ - pos_clk_un24_bgack_030_int_i_0_i_n N_20 UDS_000_c N_62_0 N_21 N_276_0 N_23 LDS_000_c N_277_0 N_24 N_286_i \ - N_25 size_c_0__n N_288_i N_289_i size_c_1__n pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i N_32_0 N_24_i \ - N_31_0 N_23_i N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 nEXP_SPACE_c_i N_55_0 \ - N_50_0 N_3_i N_49_0 N_6_i N_48_0 N_8_i N_46_0 N_9_i N_45_0 N_12_i N_43_0 \ - N_115 N_13_i pos_clk_size_dma_6_0__n a_c_16__n N_42_0 pos_clk_size_dma_6_1__n N_15_i pos_clk_cpu_est_11_3__n a_c_17__n N_40_0 N_16_i \ - a_c_18__n N_39_0 N_19_i un6_uds_000_1 a_c_19__n N_36_0 pos_clk_un24_bgack_030_int_i_0_n N_20_i N_245 a_c_20__n N_35_0 \ - N_246 N_21_i N_247 a_c_21__n N_34_0 N_248 BG_030_c_i N_89 a_c_22__n pos_clk_un6_bg_030_i_n N_92 \ - pos_clk_un8_bg_030_0_n N_102 a_c_23__n N_127_i_1 N_103 N_127_i_2 N_104 a_c_24__n pos_clk_un24_bgack_030_int_i_0_i_1_n N_112 N_80_0_1 \ - N_256 a_c_25__n N_75_i_1 N_258 N_251_0_1 a_c_26__n pos_clk_un11_ds_030_d0_i_1_n N_260 N_340_1 N_265 a_c_27__n \ - N_340_2 N_282 N_340_3 N_71 a_c_28__n N_340_4 un5_ciin_1 pos_clk_un11_clk_000_n_sync_n a_c_29__n un5_ciin_2 N_76 \ - un5_ciin_3 a_c_30__n un5_ciin_4 un5_ciin_5 a_c_31__n un5_ciin_6 pos_clk_un22_bgack_030_int_n un5_ciin_7 N_268 A0_c un5_ciin_8 \ - N_270 un5_ciin_9 N_73 A1_c un5_ciin_10 N_75 un5_ciin_11 N_251 nEXP_SPACE_c un22_berr_1_0 un22_berr_1 \ - un21_fpu_cs_1 N_95 BERR_c pos_clk_un6_bg_030_1_n N_94 N_131_i_1 N_288 BG_030_c N_131_i_2 N_289 N_131_i_3 \ - N_286 N_96_1 N_279 N_96_2 N_277 N_96_3 N_276 BGACK_000_c pos_clk_cpu_est_11_0_1_1__n N_62 pos_clk_cpu_est_11_0_2_1__n \ - N_274 N_310_1 N_313 N_310_2 N_307 N_310_3 N_305 CLK_OSZI_c N_310_4 N_306 N_309_1 \ - N_303 N_309_2 N_304 CLK_EXP_c N_308_1 N_301 N_308_2 N_91 RESET_OUT_0_sqmuxa_5_1 N_85 FPU_SENSE_c \ - RESET_OUT_0_sqmuxa_7_1 N_294 RESET_OUT_0_sqmuxa_7_2 N_296 RESET_OUT_0_sqmuxa_7_3 N_84 N_94_1 N_82 N_95_1 N_83 N_119_i_1 \ - N_293 N_82_1 N_290 N_83_1 N_291 ipl_c_0__n N_296_1 N_283 N_303_1 N_284 ipl_c_1__n \ - N_304_1 N_86 N_306_1 N_80 ipl_c_2__n N_129_i_1 N_78 N_125_i_1 N_108 N_123_i_1 N_109 \ - DTACK_c N_115_0_1 N_100 pos_clk_cpu_est_11_0_1_3__n N_99 N_260_0_1 N_93 N_261_i_1 pos_clk_un14_clk_000_n_sync_n VPA_c N_262_i_1 \ - pos_clk_un9_clk_000_n_sync_n N_263_i_1 N_340 pos_clk_ipl_1_n N_97 RST_c cpu_est_0_3__un3_n N_136 cpu_est_0_3__un1_n N_101 cpu_est_0_3__un0_n \ - N_81 RW_c cpu_est_0_2__un3_n N_116 cpu_est_0_2__un1_n N_96 fc_c_0__n cpu_est_0_2__un0_n N_113 cpu_est_0_1__un3_n N_275 \ - fc_c_1__n cpu_est_0_1__un1_n N_273 cpu_est_0_1__un0_n N_88 bgack_030_int_0_un3_n N_272 AMIGA_BUS_DATA_DIR_c bgack_030_int_0_un1_n N_299 bgack_030_int_0_un0_n \ - N_90 vma_int_0_un3_n N_311 vma_int_0_un1_n N_312 vma_int_0_un0_n N_267 un1_as_000_i rw_000_int_0_un3_n N_264 un1_rst_dly_i_m_i_3__n \ - rw_000_int_0_un1_n pos_clk_un7_clk_000_pe_n rw_000_int_0_un0_n N_308 un1_rst_dly_i_m_i_4__n sm_amiga_srsts_i_0_m2_3__un3_n N_309 sm_amiga_srsts_i_0_m2_3__un1_n AS_030.OE \ - AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE \ - RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE + AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C \ + SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D \ + SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C \ + IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C RST_DLY_0_.D \ + RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C \ + RST_DLY_6_.D RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ + SIZE_DMA_1_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C \ + CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ + CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C \ + CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D \ + CLK_000_P_SYNC_7_.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C inst_A0_DMA.D inst_A0_DMA.C \ + inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D inst_nEXP_SPACE_D0reg.C inst_VPA_D.D \ + inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUTreg.D inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ + BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_RW_000_DMA.D \ + inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ + inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_000_D0.D inst_CLK_000_D0.C G_159.X1 G_159.X2 cpu_est_0_0_.X1 \ + cpu_est_0_0_.X2 pos_clk_RST_DLY_5_iv_0_x2_0_.X1 pos_clk_RST_DLY_5_iv_0_x2_0_.X2 G_137.X1 G_137.X2 G_149.X1 G_149.X2 G_147.X1 G_147.X2 G_145.X1 G_145.X2 \ + G_143.X1 G_143.X2 G_141.X1 G_141.X2 G_139.X1 G_139.X2 G_167.X1 G_167.X2 G_165.X1 G_165.X2 G_166.X1 \ + G_166.X2 G_161.X1 G_161.X2 SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_193 cpu_est_0_1__un3_n N_190 RW_c cpu_est_0_1__un1_n N_189 cpu_est_0_1__un0_n N_140 \ + fc_c_0__n bgack_030_int_0_un3_n pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n pos_clk_un23_clk_000_ne_d0_n fc_c_1__n bgack_030_int_0_un0_n pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n vcc_n_n pos_clk_un7_clk_000_pe_n \ + un1_amiga_bus_enable_dma_high_i_m4_0__un1_n N_18 AMIGA_BUS_DATA_DIR_c un1_amiga_bus_enable_dma_high_i_m4_0__un0_n N_22 ds_000_enable_1_sqmuxa_1_i_m4_un3_n pos_clk_un11_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n pos_clk_un9_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un0_n \ + un1_amiga_bus_enable_low pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n un6_as_030 pos_clk_un22_bgack_030_int_n N_6_i size_dma_0_0__un1_n un3_size N_48_0 size_dma_0_0__un0_n un4_size \ + N_220 N_3_i size_dma_0_1__un3_n un8_ciin N_49_0 size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 \ + CLK_030_H_0_sqmuxa pos_clk_un26_bgack_030_int_i_n ipl_030_0_0__un3_n un21_fpu_cs AS_000_DMA_1_sqmuxa pos_clk_un27_bgack_030_int_0_n ipl_030_0_0__un1_n un22_berr pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i ipl_030_0_0__un0_n \ + un6_ds_030 pos_clk_un27_bgack_030_int_n N_7_i ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 ipl_030_0_1__un1_n un6_lds_000 N_165 N_133_i \ + ipl_030_0_1__un0_n N_133 N_176_i ipl_030_0_2__un3_n N_163 N_175_i ipl_030_0_2__un1_n N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n N_164 \ + pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n N_176 N_162_i dsack1_int_0_un1_n DS_000_DMA_2_sqmuxa N_163_i dsack1_int_0_un0_n pos_clk_ds_000_dma_4_n N_164_i as_000_int_0_un3_n \ + DS_000_DMA_0_sqmuxa N_165_i as_000_int_0_un1_n pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n N_175 pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n N_47 pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n \ + N_7 clk_000_n_sync_i_10__n ds_000_enable_0_un0_n un1_rst_2 pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n pos_clk_un29_bgack_030_int_n N_33_0 \ + as_030_000_sync_0_un0_n N_3 N_18_i lds_000_int_0_un3_n N_6 N_37_0 lds_000_int_0_un1_n un1_amiga_bus_enable_low_i pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n un21_fpu_cs_i \ + pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n AS_000_i pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n DS_000_DMA_i pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n pos_clk_un24_bgack_030_int_i_n pos_clk_un21_clk_000_ne_d0_i_n rw_000_dma_0_un3_n \ + cycle_dma_i_1__n pos_clk_un23_clk_000_ne_d0_0_n rw_000_dma_0_un1_n cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n AS_000_DMA_i N_140_0 uds_000_int_0_un3_n CLK_EXP_i N_195_i \ + uds_000_int_0_un1_n BERR_i N_196_i uds_000_int_0_un0_n RW_000_i N_186_i amiga_bus_enable_dma_low_0_un3_n DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n pos_clk_un40_bgack_030_int_1_i_n \ + N_189_i amiga_bus_enable_dma_low_0_un0_n BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n nEXP_SPACE_D0_i N_193_i amiga_bus_enable_dma_high_0_un1_n CLK_000_PE_i N_191_i amiga_bus_enable_dma_high_0_un0_n \ + CLK_000_NE_i N_192_i bg_000_0_un3_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n sm_amiga_i_0__n pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n pos_clk_un7_clk_000_d0_i_n \ + N_198_i a0_dma_0_un3_n UDS_000_i N_197_i a0_dma_0_un1_n LDS_000_i N_199_i a0_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n pos_clk_cpu_est_11_0_3__n \ + a_23__n pos_clk_ipl_n CLK_OUT_PRE_D_i N_151_i pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n sm_amiga_i_2__n AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n \ + N_135_i a_21__n cpu_est_i_0__n N_252_0 cpu_est_i_3__n N_85_i a_20__n cpu_est_i_2__n cpu_est_i_1__n N_38_0 a_15__n \ + VPA_D_i un1_rst_dly_i_m_i_2__n VMA_INT_i a_14__n sm_amiga_i_1__n un1_rst_dly_i_m_i_3__n RESET_OUT_0_sqmuxa_i a_13__n pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n \ + un1_rst_dly_i_2__n a_12__n un1_rst_dly_i_3__n un1_rst_dly_i_m_i_5__n un1_rst_dly_i_4__n a_11__n un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n un1_rst_dly_i_6__n a_10__n un1_rst_dly_i_7__n \ + un1_rst_dly_i_m_i_7__n un1_rst_dly_i_8__n a_9__n RESET_OUT_i un1_rst_dly_i_m_i_8__n AS_030_D0_i a_8__n AS_030_i un3_as_030_i A1_i N_76_i \ + a_7__n CLK_000_D1_i N_83_i sm_amiga_i_i_7__n a_6__n N_248_i N_84_i sm_amiga_i_5__n N_115_0 a_5__n RW_i \ + N_86_i CLK_000_D0_i pos_clk_size_dma_6_0_1__n a_4__n AS_030_000_SYNC_i N_87_i sm_amiga_i_6__n pos_clk_size_dma_6_0_0__n a_3__n sm_amiga_i_4__n N_88_i \ + pos_clk_un5_bgack_030_int_d_n FPU_SENSE_i a_2__n size_dma_i_0__n N_241_0 size_dma_i_1__n N_242_0 a_i_16__n N_243_0 a_i_18__n N_93_i \ + a_i_19__n N_94_i a_i_30__n N_244_0 a_i_31__n N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n N_246_0 a_i_29__n pos_clk_un3_as_030_d0_i_n \ + a_i_26__n pos_clk_un5_bgack_030_int_d_i_n a_i_27__n N_249_i pos_clk_a0_dma_3_n a_i_24__n N_251_0 a_i_25__n N_71_0 LDS_000_INT_i N_104_i \ + N_8 DS_030_i N_137_i N_9 UDS_000_INT_i N_10 N_224_i N_160_i N_11 N_225_i N_161_i \ + N_12 N_226_i N_13 N_159_i N_14 N_157_i N_15 N_158_i N_16 N_91_i N_19 \ + N_90_i N_155_i N_20 un14_amiga_bus_data_dir_i N_156_i N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 \ + un6_uds_000_i N_152_i N_25 un6_ds_030_i N_153_i un4_as_000_i N_142_0 AS_000_INT_i N_141_0 un6_as_030_i N_138_0 \ + AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i DS_030_D0_i un1_as_030_i AS_030_c pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c size_c_i_1__n N_25_i RW_000_c \ + N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 ipl_c_i_2__n LDS_000_c N_54_0 ipl_c_i_1__n \ + size_c_0__n N_53_0 ipl_c_i_0__n size_c_1__n N_52_0 DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 \ + N_50_0 N_8_i N_46_0 N_9_i N_45_0 N_10_i N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n N_43_0 \ + pos_clk_size_dma_6_1__n N_13_i N_42_0 N_14_i N_41_0 un6_uds_000_1 N_15_i N_241 N_40_0 N_242 N_16_i \ + N_243 N_39_0 N_244 N_19_i N_245 N_36_0 N_246 N_20_i N_78 N_35_0 N_80 \ + N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 pos_clk_un6_bg_030_i_n N_98 a_c_17__n pos_clk_un8_bg_030_0_n \ + N_99 N_251_0_1 N_249 a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n pos_clk_cpu_est_11_0_1_1__n N_136 \ + pos_clk_cpu_est_11_0_2_1__n pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 \ + N_155 un8_ciin_2 N_141 un8_ciin_3 N_156 un8_ciin_4 N_157 a_c_24__n un8_ciin_5 N_138 un8_ciin_6 \ + N_158 a_c_25__n un8_ciin_7 N_159 un8_ciin_8 N_160 a_c_26__n N_116_1 N_142 N_116_2 N_161 \ + a_c_27__n N_116_3 N_132 N_116_4 N_104 a_c_28__n un22_berr_1_0 N_76 un21_fpu_cs_1 N_71 a_c_29__n \ + N_123_i_1 N_251 N_123_i_2 N_93 a_c_30__n N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 \ + N_87 N_129_i_1 N_86 A0_c pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c RESET_OUT_0_sqmuxa_7_1 N_116 \ + RESET_OUT_0_sqmuxa_7_2 nEXP_SPACE_c RESET_OUT_0_sqmuxa_7_3 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 pos_clk_un27_clk_000_ne_d0_1_n N_211 BG_030_c pos_clk_un27_clk_000_ne_d0_2_n \ + pos_clk_un27_clk_000_ne_d0_3_n N_209 pos_clk_un5_clk_000_pe_1_n pos_clk_un5_clk_000_pe_2_n pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c pos_clk_un9_clk_000_ne_1_n pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 pos_clk_un9_clk_000_ne_3_n \ + RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n N_165_1 \ + un1_rst_dly_i_m_6__n CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 un1_rst_dly_i_m_2__n \ + N_176_1_0 N_38 DS_000_DMA_2_sqmuxa_1 N_85 N_119_i_1 N_115_0_1 N_252 pos_clk_ipl_1_n N_97 as_000_dma_0_un3_n pos_clk_un27_clk_000_ne_d0_n \ + as_000_dma_0_un1_n N_199_1 ipl_c_0__n as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n ds_000_dma_0_un3_n pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 ds_000_dma_0_un0_n \ + N_151 ipl_c_2__n vma_int_0_un3_n N_199 vma_int_0_un1_n N_196 vma_int_0_un0_n N_195 DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 \ + sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_cpu_est_11_3__n sm_amiga_srsts_i_0_m2_1__un0_n N_197 cpu_est_0_3__un3_n N_198 VPA_c cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 \ + cpu_est_0_2__un3_n N_192 RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n AS_030.OE AS_000.OE RW_000.OE \ + DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE \ + DSACK1.OE CIIN.OE .names un6_as_030_i.BLIF AS_030 1 1 .names AS_030.PIN AS_030_c 1 1 -.names N_112.BLIF AS_030.OE +.names un3_as_030_i.BLIF AS_030.OE 1 1 .names un4_as_000_i.BLIF AS_000 1 1 .names AS_000.PIN AS_000_c 1 1 -.names un1_as_000_i.BLIF AS_000.OE +.names N_98.BLIF AS_000.OE 1 1 .names inst_RW_000_INT.BLIF RW_000 1 1 .names RW_000.PIN RW_000_c 1 1 -.names un1_as_000_i.BLIF RW_000.OE +.names N_98.BLIF RW_000.OE 1 1 .names un6_ds_030_i.BLIF DS_030 1 1 .names DS_030.PIN DS_030_c 1 1 -.names N_112.BLIF DS_030.OE +.names un3_as_030_i.BLIF DS_030.OE 1 1 .names un6_uds_000_i.BLIF UDS_000 1 1 .names UDS_000.PIN UDS_000_c 1 1 -.names un1_as_000_i.BLIF UDS_000.OE +.names N_98.BLIF UDS_000.OE 1 1 .names un6_lds_000_i.BLIF LDS_000 1 1 .names LDS_000.PIN LDS_000_c 1 1 -.names un1_as_000_i.BLIF LDS_000.OE +.names N_98.BLIF LDS_000.OE 1 1 .names un4_size.BLIF SIZE_0_ 1 1 .names SIZE_0_.PIN size_c_0__n 1 1 -.names N_89.BLIF SIZE_0_.OE +.names un1_as_030_i.BLIF SIZE_0_.OE 1 1 .names un3_size.BLIF SIZE_1_ 1 1 .names SIZE_1_.PIN size_c_1__n 1 1 -.names N_89.BLIF SIZE_1_.OE +.names un1_as_030_i.BLIF SIZE_1_.OE 1 1 .names inst_A0_DMA.BLIF A0 1 1 .names A0.PIN A0_c 1 1 -.names N_112.BLIF A0.OE +.names un3_as_030_i.BLIF A0.OE 1 1 .names gnd_n_n.BLIF BERR 1 1 @@ -297,7 +297,7 @@ 1 1 .names RW.PIN RW_c 1 1 -.names N_256.BLIF RW.OE +.names N_99.BLIF RW.OE 1 1 .names gnd_n_n.BLIF CLK_DIV_OUT 1 1 @@ -307,1629 +307,1624 @@ 1 1 .names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE 1 1 -.names un5_ciin.BLIF CIIN +.names vcc_n_n.BLIF CIIN 1 1 -.names N_247.BLIF CIIN.OE -1 1 -.names N_308_1.BLIF N_308_2.BLIF N_308 -11 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C -1 1 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 -11 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 -1- 1 --1 1 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 -11 1 -.names N_18_i.BLIF RST_c.BLIF N_37_0 -11 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n -0 1 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D -1 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 -11 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n -11 1 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -.names un22_berr_1_0.BLIF N_340.BLIF un22_berr -11 1 -.names N_113.BLIF bgack_030_int_0_un3_n -0 1 -.names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C -1 1 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -.names FPU_SENSE_i.BLIF N_340.BLIF un21_fpu_cs_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF N_113.BLIF bgack_030_int_0_un1_n -11 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 -1- 1 --1 1 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D -1 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs -11 1 -.names BGACK_000_c.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n -11 1 -.names N_282.BLIF N_282_i -0 1 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D +.names un8_ciin.BLIF CIIN.OE 1 1 .names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n 11 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_22 -1- 1 --1 1 -.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n -0 1 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n -11 1 -.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C -1 1 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -.names N_97_i.BLIF N_77_i.BLIF N_131_i_1 -11 1 -.names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names N_282_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n -11 1 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -.names N_94_i.BLIF N_95_i.BLIF N_131_i_2 -11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 -1- 1 --1 1 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -.names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 -11 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 -1- 1 --1 1 -.names N_246.BLIF as_030_000_sync_0_un3_n -0 1 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -.names N_131_i_3.BLIF N_96_i.BLIF SM_AMIGA_i_7_.D -11 1 -.names N_115.BLIF rw_000_int_0_un3_n -0 1 -.names inst_AS_030_000_SYNC.BLIF N_246.BLIF as_030_000_sync_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C -1 1 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -.names N_73_i.BLIF N_75_i.BLIF N_96_1 -11 1 -.names N_264.BLIF N_115.BLIF rw_000_int_0_un1_n -11 1 -.names pos_clk_un3_as_030_d0_n.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n -11 1 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -.names N_251.BLIF sm_amiga_i_0__n.BLIF N_96_2 -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_12 -1- 1 --1 1 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -.names N_96_1.BLIF N_96_2.BLIF N_96_3 -11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_14 -1- 1 --1 1 -.names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n -0 1 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -.names N_96_3.BLIF sm_amiga_i_3__n.BLIF N_96 -11 1 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 -11 1 -.names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -.names CLK_000_P_SYNC_9_.BLIF inst_CLK_000_PE.D -1 1 -.names N_88_i.BLIF N_90_i.BLIF pos_clk_cpu_est_11_0_1_1__n -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 -11 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -.names N_299_i.BLIF N_312_i.BLIF pos_clk_cpu_est_11_0_2_1__n -11 1 .names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_13 1- 1 -1 1 -.names N_340_1.BLIF N_340_2.BLIF N_340_4 +.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D +1 1 +.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n 11 1 -.names N_105_i.BLIF RST_c.BLIF inst_RESET_OUTreg.D +.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF pos_clk_un40_bgack_030_int_1_n +11 1 +.names N_115.BLIF rw_000_int_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C +1 1 +.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D +1 1 +.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF pos_clk_un7_clk_000_d0_1_n +11 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names N_245.BLIF N_115.BLIF rw_000_int_0_un1_n +11 1 +.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D +1 1 +.names pos_clk_un7_clk_000_d0_1_n.BLIF inst_nEXP_SPACE_D0reg.BLIF pos_clk_un7_clk_000_d0_n +11 1 +.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n +0 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D +1 1 +.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 +11 1 +.names sm_amiga_i_2__n.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_151 +11 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_14 +1- 1 +-1 1 +.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D +1 1 +.names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 +11 1 +.names N_140.BLIF sm_amiga_i_3__n.BLIF N_150 +11 1 +.names un14_amiga_bus_data_dir.BLIF un14_amiga_bus_data_dir_i +0 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C +1 1 +.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D +1 1 +.names a_i_29__n.BLIF a_i_30__n.BLIF un8_ciin_5 +11 1 +.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_n 11 1 .names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n 0 1 -.names N_340_4.BLIF N_340_3.BLIF N_340 -11 1 -.names N_98_i.BLIF RST_c.BLIF RST_DLY_0_.D -11 1 -.names N_265.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C +.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D 1 1 -.names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 +.names un8_ciin_1.BLIF un8_ciin_2.BLIF un8_ciin_6 11 1 -.names N_268.BLIF sm_amiga_i_3__n.BLIF N_274_0 +.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF pos_clk_un23_clk_000_ne_d0_0_n +11 1 +.names un14_amiga_bus_data_dir_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un1_n +11 1 +.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D +1 1 +.names un8_ciin_3.BLIF un8_ciin_4.BLIF un8_ciin_7 +11 1 +.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF pos_clk_un7_clk_000_pe_0_n 11 1 .names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n 11 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 +.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D +1 1 +.names un8_ciin_6.BLIF un8_ciin_7.BLIF un8_ciin_8 11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +.names inst_DTACK_D0.BLIF DTACK_D0_i 0 1 .names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_15 1- 1 -1 1 -.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C +1 1 +.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D +1 1 +.names un8_ciin_8.BLIF un8_ciin_5.BLIF un8_ciin 11 1 -.names N_268_i.BLIF SM_AMIGA_4_.BLIF N_275_0 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n 11 1 .names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n 0 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 -11 1 -.names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C +.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D 1 1 -.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 -11 1 -.names inst_CLK_000_PE.BLIF CLK_000_PE_i -0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 -11 1 -.names BERR_c.BLIF BERR_i -0 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_16 -1- 1 --1 1 -.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_srsts_i_0_m2_3__un3_n -0 1 -.names N_103.BLIF N_103_i -0 1 -.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 -11 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF sm_amiga_srsts_i_0_m2_3__un1_n -11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C -1 1 -.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 -11 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_3__un3_n.BLIF sm_amiga_srsts_i_0_m2_3__un0_n -11 1 -.names N_103_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un1_n -11 1 -.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 -11 1 -.names sm_amiga_srsts_i_0_m2_3__un1_n.BLIF sm_amiga_srsts_i_0_m2_3__un0_n.BLIF N_279 -1- 1 --1 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n -11 1 -.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 -11 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin -11 1 -.names inst_DS_030_D0.BLIF DS_030_D0_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C -1 1 -.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 -11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n -11 1 -.names N_288_i.BLIF N_289_i.BLIF N_127_i_2 -11 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names N_127_i_1.BLIF N_127_i_2.BLIF SM_AMIGA_5_.D -11 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF un1_amiga_bus_enable_low -11 1 -.names pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_269_i.BLIF pos_clk_un24_bgack_030_int_i_0_i_1_n -11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C -1 1 -.names pos_clk_un24_bgack_030_int_i_0_i_1_n.BLIF pos_clk_un22_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_i_0_i_n -11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names un6_as_030.BLIF un6_as_030_i -0 1 -.names inst_nEXP_SPACE_D0reg.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_80_0_1 -11 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 -11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names N_80_0_1.BLIF sm_amiga_i_i_7__n.BLIF N_80_0 -11 1 -.names N_270_i.BLIF cpu_est_3_reg.BLIF N_90 -11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 -11 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_6__n.BLIF N_75_i_1 -11 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names un4_as_000.BLIF un4_as_000_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -.names N_75_i_1.BLIF sm_amiga_i_4__n.BLIF N_75_i -11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_311 -11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names N_249_i.BLIF AS_030_000_SYNC_i.BLIF N_251_0_1 -11 1 -.names N_272_i.BLIF cpu_est_0_.BLIF N_312 -11 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names N_251_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_251_0 -11 1 -.names inst_CLK_000_NE_D0.BLIF N_267.BLIF N_313 -11 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n -11 1 -.names N_303_i.BLIF N_304_i.BLIF N_186_i -11 1 -.names un6_uds_000.BLIF un6_uds_000_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -.names pos_clk_un11_ds_030_d0_i_1_n.BLIF size_c_0__n.BLIF pos_clk_un11_ds_030_d0_i_n -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names vcc_n_n -1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_340_1 -11 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF N_264_0 -11 1 -.names gnd_n_n -.names a_c_17__n.BLIF a_i_16__n.BLIF N_340_2 -11 1 -.names N_308_i.BLIF N_309_i.BLIF pos_clk_un7_clk_000_pe_0_n -11 1 -.names A_15_.BLIF a_15__n -1 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_340_3 -11 1 -.names N_310_i.BLIF N_311_i.BLIF N_267_0 -11 1 -.names A_14_.BLIF a_14__n -1 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C -1 1 -.names N_42_0.BLIF inst_LDS_000_INT.D -0 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_268_i -11 1 -.names A_13_.BLIF a_13__n -1 1 -.names N_15.BLIF N_15_i -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names A_12_.BLIF a_12__n -1 1 -.names N_40_0.BLIF inst_RW_000_DMA.D -0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_270_i -11 1 -.names A_11_.BLIF a_11__n -1 1 -.names N_16.BLIF N_16_i -0 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_272_i -11 1 -.names A_10_.BLIF a_10__n -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -.names N_39_0.BLIF inst_UDS_000_INT.D -0 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_273_i -11 1 -.names A_9_.BLIF a_9__n -1 1 -.names N_19.BLIF N_19_i -0 1 -.names N_136.BLIF sm_amiga_i_4__n.BLIF N_293 -11 1 -.names A_8_.BLIF a_8__n -1 1 -.names N_36_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D -0 1 -.names N_313_i.BLIF SM_AMIGA_3_.BLIF N_136_i -11 1 -.names A_7_.BLIF a_7__n -1 1 -.names N_20.BLIF N_20_i -0 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names A_6_.BLIF a_6__n -1 1 -.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C -1 1 -.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D -0 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names A_5_.BLIF a_5__n -1 1 -.names N_21.BLIF N_21_i -0 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 -11 1 -.names A_4_.BLIF a_4__n -1 1 -.names N_34_0.BLIF BG_000DFFreg.D -0 1 -.names RST_c.BLIF VPA_c_i.BLIF N_56_0 -11 1 -.names A_3_.BLIF a_3__n -1 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names BGACK_000_c.BLIF CLK_000_PE_i.BLIF N_113 -11 1 -.names A_2_.BLIF a_2__n -1 1 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 -.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa -11 1 -.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n -0 1 -.names N_286_i.BLIF RST_c.BLIF N_127_i_1 -11 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n -11 1 -.names N_52_0.BLIF IPL_D0_0_.D -0 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n -11 1 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C -1 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i -0 1 -.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_86 -1- 1 --1 1 -.names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D -0 1 -.names N_275.BLIF sm_amiga_i_5__n.BLIF N_290 -11 1 -.names N_50_0.BLIF inst_DS_030_D0.D -0 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_84 -11 1 -.names N_3.BLIF N_3_i -0 1 -.names N_273.BLIF cpu_est_3_reg.BLIF N_85 -11 1 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -.names N_49_0.BLIF inst_DS_000_DMA.D -0 1 -.names N_273_i.BLIF cpu_est_i_2__n.BLIF N_294 -11 1 -.names N_6.BLIF N_6_i -0 1 -.names N_272.BLIF cpu_est_i_0__n.BLIF N_88 -11 1 -.names N_48_0.BLIF inst_AS_000_DMA.D -0 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_299 -11 1 -.names N_8.BLIF N_8_i -0 1 -.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_un9_clk_000_n_sync_n -11 1 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -.names N_46_0.BLIF inst_A0_DMA.D -0 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names N_9.BLIF N_9_i -0 1 -.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n -11 1 -.names N_45_0.BLIF inst_DSACK1_INTreg.D -0 1 -.names BERR_i.BLIF N_136_i.BLIF N_97 -11 1 -.names N_12.BLIF N_12_i -0 1 -.names N_81.BLIF sm_amiga_i_0__n.BLIF N_101 -11 1 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -.names N_43_0.BLIF inst_AS_030_000_SYNC.D -0 1 -.names N_268.BLIF SM_AMIGA_0_.BLIF N_116 -11 1 -.names N_13.BLIF N_13_i -0 1 -.names N_77_i.BLIF N_101_i.BLIF SM_AMIGA_0_.D -11 1 -.names N_288.BLIF N_288_i -0 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_73_i -11 1 -.names N_289.BLIF N_289_i -0 1 -.names N_116_i.BLIF RST_c.BLIF N_77_i -11 1 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -.names A0_c.BLIF A0_c_i -0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names size_c_1__n.BLIF size_c_i_1__n -0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_81_0 -11 1 -.names N_25.BLIF N_25_i -0 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names N_32_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names N_251.BLIF sm_amiga_i_6__n.BLIF N_284 -11 1 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C -1 1 -.names N_24.BLIF N_24_i -0 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names N_31_0.BLIF IPL_030DFF_1_reg.D -0 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_291 -11 1 -.names N_23.BLIF N_23_i -0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names N_30_0.BLIF IPL_030DFF_0_reg.D -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_102 -11 1 -.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C -1 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n -0 1 -.names BGACK_030_INT_i.BLIF N_76.BLIF N_100 -11 1 -.names N_54_0.BLIF IPL_D0_2_.D -0 1 -.names BGACK_030_INT_i.BLIF N_76_i.BLIF N_99 -11 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names N_53_0.BLIF IPL_D0_1_.D -0 1 -.names N_78.BLIF sm_amiga_i_2__n.BLIF N_93 -11 1 -.names CLK_OSZI_c.BLIF inst_A0_DMA.C -1 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n -0 1 -.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF N_92 -11 1 -.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n -0 1 -.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i -0 1 -.names N_91.BLIF N_91_i -0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF N_89 -11 1 -.names N_260_0.BLIF N_260 -0 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C -1 1 -.names N_301.BLIF N_301_i -0 1 -.names UDS_000_c.BLIF UDS_000_i -0 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C -1 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n -0 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un22_bgack_030_int_i_n -11 1 -.names N_305.BLIF N_305_i -0 1 -.names N_282.BLIF pos_clk_un3_as_030_d0_i_n.BLIF DS_000_ENABLE_1_sqmuxa_1 -11 1 -.names N_306.BLIF N_306_i -0 1 -.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF pos_clk_un14_clk_000_n_sync_0_n -11 1 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n -0 1 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C -1 1 -.names N_307.BLIF N_307_i -0 1 -.names N_108_i.BLIF N_109_i.BLIF N_246_0 -11 1 -.names N_265_0.BLIF N_265 -0 1 -.names N_92_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_245_0 -11 1 -.names pos_clk_un24_bgack_030_int_i_0_i_n.BLIF pos_clk_un24_bgack_030_int_i_0_n -0 1 -.names N_100_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names CLK_OSZI_c.BLIF inst_DS_030_D0.C -1 1 -.names N_62_0.BLIF N_62 -0 1 -.names N_99_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C -1 1 -.names N_276_0.BLIF N_276 -0 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_256 -11 1 -.names N_277_0.BLIF N_277 -0 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names N_286.BLIF N_286_i -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D -11 1 -.names CLK_OSZI_c.BLIF inst_AS_030_D0.C -1 1 -.names N_258_0.BLIF N_258 -0 1 -.names N_256.BLIF nEXP_SPACE_D0_i.BLIF N_112 -11 1 -.names CLK_OSZI_c.BLIF IPL_D0_2_.C -1 1 -.names N_283.BLIF N_283_i -0 1 -.names N_340.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_109 -11 1 -.names N_284.BLIF N_284_i -0 1 -.names N_80.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_108 -11 1 -.names N_290.BLIF N_290_i -0 1 -.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF AS_000_INT_1_sqmuxa -11 1 -.names CLK_OSZI_c.BLIF inst_nEXP_SPACE_D0reg.C -1 1 -.names N_291.BLIF N_291_i -0 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C -1 1 -.names N_279.BLIF N_279_i -0 1 -.names A1_c.BLIF A1_i -0 1 -.names N_293.BLIF N_293_i -0 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_104 -11 1 -.names N_82.BLIF N_82_i -0 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_103 -11 1 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 -.names N_83.BLIF N_83_i -0 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_305 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -.names N_259_0.BLIF SM_AMIGA_2_.D -0 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_84.BLIF N_84_i -0 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_301 -11 1 -.names N_115_0.BLIF N_115 -0 1 -.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF N_91 -11 1 -.names CLK_OSZI_c.BLIF inst_DTACK_D0.C -1 1 -.names N_85.BLIF N_85_i -0 1 -.names inst_CLK_000_NE.BLIF sm_amiga_i_6__n.BLIF N_289 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -.names N_294.BLIF N_294_i -0 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_288 -11 1 -.names N_296.BLIF N_296_i -0 1 -.names N_276.BLIF sm_amiga_i_5__n.BLIF N_286 -11 1 -.names N_109.BLIF N_109_i -0 1 -.names N_62.BLIF SM_AMIGA_i_7_.BLIF N_283 -11 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C -1 1 -.names N_246_0.BLIF N_246 -0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_78_0 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -.names un5_ciin.BLIF un5_ciin_i -0 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF N_76_i -11 1 -.names N_247_0.BLIF N_247 -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF pos_clk_un5_bgack_030_int_d_i_n -11 1 -.names N_248_0.BLIF N_248 -0 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n -11 1 -.names CLK_OSZI_c.BLIF BG_000DFFreg.C -1 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF N_249_i -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_248_0 -11 1 -.names N_251_0.BLIF N_251 -0 1 -.names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF N_247_0 -11 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n -0 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_6_.BLIF N_276_0 -11 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C -1 1 -.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n -0 1 -.names N_268_i.BLIF SM_AMIGA_6_.BLIF N_62_0 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 -.names N_75_i.BLIF N_75 -0 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_269_i -11 1 -.names N_76_i.BLIF N_76 -0 1 -.names N_78_0.BLIF N_78 -0 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_265_0 -11 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C -1 1 -.names N_80_0.BLIF N_80 -0 1 -.names N_305_i.BLIF N_306_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C -1 1 -.names CLK_EXP_c.BLIF CLK_EXP_c_i -0 1 -.names N_301_i.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF pos_clk_ds_000_dma_4_0_n -11 1 -.names N_116.BLIF N_116_i -0 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 -.names N_73_i.BLIF N_73 -0 1 -.names N_82_i.BLIF N_83_i.BLIF N_259_0 -11 1 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -.names N_101.BLIF N_101_i -0 1 -.names CLK_EXP_c_i.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF N_258_0 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C -1 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n -0 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n -0 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 -11 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C -1 1 -.names pos_clk_un22_bgack_030_int_i_n.BLIF pos_clk_un22_bgack_030_int_n -0 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names CLK_OSZI_c.BLIF cpu_est_0_.C -1 1 -.names N_86.BLIF N_86_i -0 1 -.names CLK_030_H_i.BLIF N_277.BLIF N_307 -11 1 -.names N_93.BLIF N_93_i -0 1 -.names N_99.BLIF N_99_i -0 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_PE.C -1 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names SIZE_DMA_1_.BLIF size_dma_i_1__n -0 1 -.names CLK_OSZI_c.BLIF cpu_est_1_.C -1 1 -.names N_100.BLIF N_100_i -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size -11 1 -.names A_16_.BLIF a_c_16__n -1 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 -.names A_17_.BLIF a_c_17__n -1 1 -.names N_245_0.BLIF N_245 -0 1 -.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -.names A_18_.BLIF a_c_18__n -1 1 -.names N_108.BLIF N_108_i -0 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -.names A_19_.BLIF a_c_19__n -1 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n -0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names A_20_.BLIF a_c_20__n -1 1 -.names N_264_0.BLIF N_264 -0 1 -.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 -11 1 -.names A_21_.BLIF a_c_21__n -1 1 -.names N_304.BLIF N_304_i -0 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C -1 1 -.names A_22_.BLIF a_c_22__n -1 1 -.names N_303.BLIF N_303_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_i -0 1 -.names CLK_OSZI_c.BLIF cpu_est_3_reg.C -1 1 -.names A_23_.BLIF a_c_23__n -1 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_0_m2_0__un3_n -0 1 -.names A_24_.BLIF a_c_24__n -1 1 -.names N_56_0.BLIF inst_VPA_D.D -0 1 -.names SM_AMIGA_i_7_.BLIF inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_0_m2_0__un1_n -11 1 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D -1 1 -.names A_25_.BLIF a_c_25__n -1 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF un1_amiga_bus_enable_dma_high_0_m2_0__un3_n.BLIF un1_amiga_bus_enable_dma_high_0_m2_0__un0_n -11 1 -.names A_26_.BLIF a_c_26__n -1 1 -.names N_57_0.BLIF inst_DTACK_D0.D -0 1 -.names un1_amiga_bus_enable_dma_high_0_m2_0__un1_n.BLIF un1_amiga_bus_enable_dma_high_0_m2_0__un0_n.BLIF N_71 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C -1 1 -.names A_27_.BLIF a_c_27__n -1 1 -.names N_97.BLIF N_97_i -0 1 -.names RW_c.BLIF RW_i -0 1 -.names A_28_.BLIF a_c_28__n -1 1 -.names N_96.BLIF N_96_i -0 1 -.names SM_AMIGA_5_.BLIF un1_sm_amiga_7_i_m2_un3_n -0 1 -.names A_29_.BLIF a_c_29__n -1 1 -.names N_95.BLIF N_95_i -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF un1_sm_amiga_7_i_m2_un1_n -11 1 -.names inst_CLK_000_NE.BLIF inst_CLK_000_NE_D0.D -1 1 -.names A_30_.BLIF a_c_30__n -1 1 -.names N_94.BLIF N_94_i -0 1 -.names sm_amiga_i_3__n.BLIF un1_sm_amiga_7_i_m2_un3_n.BLIF un1_sm_amiga_7_i_m2_un0_n -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C -1 1 -.names A_31_.BLIF a_c_31__n -1 1 -.names N_313.BLIF N_313_i -0 1 -.names un1_sm_amiga_7_i_m2_un1_n.BLIF un1_sm_amiga_7_i_m2_un0_n.BLIF N_282 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE_D0.C -1 1 -.names N_136_i.BLIF N_136 -0 1 -.names AS_000_DMA_i.BLIF CLK_EXP_c_i.BLIF N_277_0 -11 1 -.names A1.BLIF A1_c -1 1 -.names N_81_0.BLIF N_81 -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names nEXP_SPACE.BLIF nEXP_SPACE_c -1 1 -.names N_312.BLIF N_312_i -0 1 -.names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D -1 1 -.names N_90.BLIF N_90_i -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names BG_030.BLIF BG_030_c -1 1 -.names N_88.BLIF N_88_i -0 1 -.names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C -1 1 -.names BG_000DFFreg.BLIF BG_000 -1 1 -.names N_299.BLIF N_299_i -0 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -.names N_275_0.BLIF N_275 -0 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names BGACK_000.BLIF BGACK_000_c -1 1 -.names N_274_0.BLIF N_274 -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -.names CLK_030.BLIF CLK_EXP_c -1 1 -.names N_273_i.BLIF N_273 -0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C -1 1 -.names CLK_000.BLIF inst_CLK_000_D0.D -1 1 -.names N_272_i.BLIF N_272 -0 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names CLK_OSZI.BLIF CLK_OSZI_c -1 1 -.names N_270_i.BLIF N_270 -0 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -.names N_268_i.BLIF N_268 -0 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names inst_CLK_000_NE.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_.X1 -1 1 -.names CLK_EXP_c.BLIF CLK_EXP -1 1 -.names N_310.BLIF N_310_i -0 1 -.names a_c_18__n.BLIF a_i_18__n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C -1 1 -.names un21_fpu_cs_i.BLIF FPU_CS -1 1 -.names N_311.BLIF N_311_i -0 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names RST_DLY_0_.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_.X2 -1 1 -.names FPU_SENSE.BLIF FPU_SENSE_c -1 1 -.names N_267_0.BLIF N_267 -0 1 -.names IPL_030DFF_0_reg.BLIF IPL_030_0_ -1 1 -.names N_309.BLIF N_309_i -0 1 -.names IPL_030DFF_1_reg.BLIF IPL_030_1_ -1 1 -.names N_308.BLIF N_308_i -0 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF inst_BGACK_030_INT_D.D -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_0_.C -1 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_0_x2_0_.X1 -1 1 -.names IPL_030DFF_2_reg.BLIF IPL_030_2_ -1 1 -.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n -0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 -.names IPL_0_.BLIF ipl_c_0__n -1 1 -.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n -0 1 -.names G_165.BLIF N_224_i -0 1 -.names cpu_est_0_.BLIF cpu_est_0_0_x2_0_.X2 -1 1 -.names IPL_1_.BLIF ipl_c_1__n -1 1 -.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n -0 1 -.names G_166.BLIF N_225_i -0 1 -.names IPL_2_.BLIF ipl_c_2__n -1 1 -.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n -0 1 -.names G_167.BLIF N_226_i -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_1_.C -1 1 -.names N_98.BLIF N_98_i -0 1 -.names DS_030_c.BLIF DS_030_i -0 1 -.names CYCLE_DMA_1_.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X1 -1 1 -.names DTACK.BLIF DTACK_c -1 1 -.names N_105.BLIF N_105_i -0 1 -.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 -11 1 -.names vcc_n_n.BLIF AVEC -1 1 -.names N_22.BLIF N_22_i -0 1 -.names N_102.BLIF inst_AS_030_D0.D -0 1 -.names N_220.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X2 -1 1 -.names cpu_est_3_reg.BLIF E -1 1 -.names N_33_0.BLIF inst_BGACK_030_INTreg.D -0 1 -.names DS_030_i.BLIF RST_c.BLIF N_50_0 -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_2_.C -1 1 -.names VPA.BLIF VPA_c -1 1 -.names N_18.BLIF N_18_i -0 1 -.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 -11 1 -.names inst_VMA_INTreg.BLIF VMA -1 1 -.names N_37_0.BLIF inst_VMA_INTreg.D -0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 -11 1 -.names inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.X1 -1 1 -.names RST.BLIF RST_c -1 1 -.names N_14.BLIF N_14_i -0 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 -11 1 -.names inst_RESET_OUTreg.BLIF RESET -1 1 -.names N_41_0.BLIF inst_RW_000_INT.D -0 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 -11 1 -.names CLK_OSZI_c.BLIF RST_DLY_3_.C -1 1 -.names CYCLE_DMA_0_.BLIF pos_clk_CYCLE_DMA_5_0_i_x2.X2 -1 1 -.names N_10.BLIF N_10_i -0 1 -.names N_23_i.BLIF RST_c.BLIF N_30_0 -11 1 -.names FC_0_.BLIF fc_c_0__n -1 1 -.names N_44_0.BLIF inst_AS_000_INT.D -0 1 -.names N_24_i.BLIF RST_c.BLIF N_31_0 -11 1 -.names FC_1_.BLIF fc_c_1__n -1 1 -.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n -0 1 -.names N_25_i.BLIF RST_c.BLIF N_32_0 -11 1 -.names CYCLE_DMA_0_.BLIF pos_clk_un24_bgack_030_int_i_0_x2.X1 -1 1 -.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE -1 1 -.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n -0 1 -.names N_248.BLIF size_dma_0_0__un3_n -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_4_.C -1 1 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n -0 1 -.names pos_clk_size_dma_6_0__n.BLIF N_248.BLIF size_dma_0_0__un1_n -11 1 -.names CYCLE_DMA_1_.BLIF pos_clk_un24_bgack_030_int_i_0_x2.X2 -1 1 -.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n -0 1 -.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n -11 1 -.names N_71_i.BLIF AMIGA_BUS_ENABLE_HIGH -1 1 -.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i -0 1 -.names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D -1- 1 --1 1 -.names G_139.BLIF un1_rst_dly_i_3__n -0 1 -.names N_248.BLIF size_dma_0_1__un3_n -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_5_.C -1 1 -.names IPL_D0_2_.BLIF G_167.X1 -1 1 -.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_294_i.BLIF pos_clk_cpu_est_11_0_3__n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n -11 1 -.names pos_clk_size_dma_6_1__n.BLIF N_248.BLIF size_dma_0_1__un1_n -11 1 -.names N_91_i.BLIF RW_000_i.BLIF N_260_0_1 -11 1 -.names inst_RESET_OUTreg.BLIF RESET_OUT_i -0 1 -.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n -11 1 -.names ipl_c_2__n.BLIF G_167.X2 -1 1 -.names N_260_0_1.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF N_260_0 -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D -1- 1 --1 1 -.names N_307_i.BLIF RST_c.BLIF N_261_i_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF un1_as_000_i -11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_6_.C -1 1 -.names N_261_i_1.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF inst_CLK_030_H.D -11 1 -.names N_211.BLIF RST_DLY_5_.BLIF N_213 -11 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n -11 1 -.names IPL_D0_0_.BLIF G_165.X1 -1 1 -.names pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_269_i.BLIF N_262_i_1 -11 1 -.names N_209.BLIF RST_DLY_4_.BLIF N_211 -11 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names N_262_i_1.BLIF RST_c.BLIF CYCLE_DMA_1_.D -11 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 -11 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 -1- 1 --1 1 -.names ipl_c_0__n.BLIF G_165.X2 -1 1 -.names pos_clk_CYCLE_DMA_5_0_i_x2.BLIF N_269_i.BLIF N_263_i_1 -11 1 -.names N_71.BLIF N_71_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_7_.C -1 1 -.names N_263_i_1.BLIF RST_c.BLIF CYCLE_DMA_0_.D -11 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 -.names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n -11 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i -0 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n -11 1 -.names IPL_D0_1_.BLIF G_166.X1 -1 1 -.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n -11 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 -1- 1 --1 1 -.names N_270.BLIF cpu_est_0_.BLIF N_303_1 -11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n -0 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C -1 1 -.names ipl_c_1__n.BLIF G_166.X2 -1 1 -.names N_303_1.BLIF cpu_est_i_3__n.BLIF N_303 -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_7__n.BLIF RST_DLY_6_.D -11 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_304_1 -11 1 -.names G_147.BLIF un1_rst_dly_i_7__n -0 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names N_304_1.BLIF cpu_est_i_2__n.BLIF N_304 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n -11 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 -1- 1 --1 1 -.names RESET_OUT_0_sqmuxa_5.BLIF G_141.X1 -1 1 -.names N_269_i.BLIF RW_000_c.BLIF N_306_1 -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_6__n.BLIF RST_DLY_5_.D -11 1 -.names N_104.BLIF N_104_i -0 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C -1 1 -.names N_306_1.BLIF nEXP_SPACE_D0_i.BLIF N_306 -11 1 -.names G_145.BLIF un1_rst_dly_i_6__n -0 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names RST_DLY_3_.BLIF G_141.X2 -1 1 -.names N_283_i.BLIF N_284_i.BLIF N_129_i_1 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n -11 1 -.names N_104_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un1_n -11 1 -.names N_129_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_5__n.BLIF RST_DLY_4_.D -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n -11 1 -.names N_290_i.BLIF N_291_i.BLIF N_125_i_1 -11 1 -.names G_143.BLIF un1_rst_dly_i_5__n -0 1 -.names amiga_bus_enable_dma_high_0_un1_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C -1 1 -.names N_205.BLIF G_139.X1 -1 1 -.names N_125_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n -11 1 -.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names N_279_i.BLIF N_293_i.BLIF N_123_i_1 -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_4__n.BLIF RST_DLY_3_.D -11 1 -.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names RST_DLY_2_.BLIF G_139.X2 -1 1 -.names N_123_i_1.BLIF RST_c.BLIF SM_AMIGA_3_.D -11 1 -.names G_141.BLIF un1_rst_dly_i_4__n -0 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names N_84_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n -11 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_21 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C -1 1 -.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_3__n.BLIF RST_DLY_2_.D -11 1 -.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF G_137.X1 -1 1 -.names N_296_i.BLIF N_85_i.BLIF pos_clk_cpu_est_11_0_1_3__n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_105 -11 1 -.names N_21_i.BLIF RST_c.BLIF N_34_0 -11 1 -.names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 -11 1 -.names pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF N_87_i_i -0 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names RST_DLY_1_.BLIF G_137.X2 -1 1 -.names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF RESET_OUT_0_sqmuxa_7_3 -11 1 -.names N_87_i_i.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_98 -11 1 -.names N_19_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C -1 1 -.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 -11 1 -.names G_137.BLIF un1_rst_dly_i_2__n -0 1 -.names N_16_i.BLIF RST_c.BLIF N_39_0 -11 1 -.names N_75.BLIF BERR_i.BLIF N_94_1 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n -11 1 -.names N_15_i.BLIF RST_c.BLIF N_40_0 -11 1 -.names RESET_OUT_0_sqmuxa_7.BLIF G_149.X1 -1 1 -.names N_94_1.BLIF CLK_000_PE_i.BLIF N_94 -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_1_.D -11 1 -.names N_13_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names N_73.BLIF BERR_i.BLIF N_95_1 -11 1 -.names G_149.BLIF un1_rst_dly_i_8__n -0 1 -.names N_12_i.BLIF RST_c.BLIF N_43_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C -1 1 -.names RST_DLY_7_.BLIF G_149.X2 -1 1 -.names N_95_1.BLIF CLK_000_NE_i.BLIF N_95 -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n -11 1 -.names N_9_i.BLIF RST_c.BLIF N_45_0 -11 1 -.names N_86_i.BLIF N_93_i.BLIF N_119_i_1 -11 1 -.names RST_c.BLIF un1_rst_dly_i_m_i_8__n.BLIF RST_DLY_7_.D -11 1 -.names N_8_i.BLIF RST_c.BLIF N_46_0 -11 1 -.names N_119_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D -11 1 -.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa -11 1 -.names N_6_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names N_213.BLIF G_147.X1 -1 1 -.names N_274.BLIF RST_c.BLIF N_82_1 -11 1 -.names N_3_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C -1 1 -.names N_82_1.BLIF SM_AMIGA_2_.BLIF N_82 -11 1 -.names un6_lds_000.BLIF un6_lds_000_i -0 1 -.names RST_DLY_6_.BLIF G_147.X2 -1 1 -.names N_313.BLIF RST_c.BLIF N_83_1 -11 1 -.names N_260.BLIF ds_000_dma_0_un3_n -0 1 -.names N_83_1.BLIF SM_AMIGA_3_.BLIF N_83 -11 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_260.BLIF ds_000_dma_0_un1_n -11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_296_1 -11 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C -1 1 -.names N_211.BLIF G_145.X1 -1 1 -.names N_296_1.BLIF cpu_est_i_2__n.BLIF N_296 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 11 1 .names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n 0 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_cpu_est_11_0_1__n +.names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n +11 1 +.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D +1 1 +.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 11 1 .names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n 11 1 -.names N_258.BLIF as_000_dma_0_un3_n -0 1 -.names RST_DLY_5_.BLIF G_145.X2 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D 1 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_310_1 +.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D +1 1 +.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 11 1 .names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n 11 1 -.names pos_clk_un24_bgack_030_int_i_0_n.BLIF N_258.BLIF as_000_dma_0_un1_n -11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_310_2 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_16 +1- 1 +-1 1 +.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D +1 1 +.names N_116_1.BLIF N_116_2.BLIF N_116_4 11 1 .names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_reg.D 1- 1 -1 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C +.names N_90.BLIF N_90_i +0 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C 1 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_310_3 +.names CLK_000_P_SYNC_9_.BLIF inst_CLK_000_PE.D +1 1 +.names N_116_4.BLIF N_116_3.BLIF N_116 11 1 .names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n 0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 -1- 1 --1 1 -.names N_209.BLIF G_143.X1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n +0 1 +.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D 1 1 -.names N_310_1.BLIF N_310_2.BLIF N_310_4 +.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 11 1 .names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n 11 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n -0 1 -.names N_310_4.BLIF N_310_3.BLIF N_310 +.names N_90_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un1_n +11 1 +.names un22_berr_1_0.BLIF N_116.BLIF un22_berr 11 1 .names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n 11 1 -.names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un1_n +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n 11 1 -.names N_249_i.BLIF CLK_000_N_SYNC_0_.D +.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D 1 1 -.names RST_DLY_4_.BLIF G_143.X2 -1 1 -.names inst_CLK_000_NE.BLIF N_312.BLIF N_309_1 +.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 11 1 .names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D 1- 1 -1 1 -.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names VPA_D_i.BLIF cpu_est_2_.BLIF N_309_2 +.names amiga_bus_enable_dma_low_0_un1_n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_19 +1- 1 +-1 1 +.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs 11 1 .names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n 0 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C +.names N_91.BLIF N_91_i +0 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C 1 1 -.names N_309_1.BLIF N_309_2.BLIF N_309 +.names N_152_i.BLIF N_153_i.BLIF N_123_i_1 11 1 .names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n 11 1 -.names N_92.BLIF N_92_i +.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n 0 1 -.names cpu_est_0_0_x2_0_.BLIF cpu_est_0_.D -1 1 -.names inst_CLK_000_PE.BLIF N_270_i.BLIF N_308_1 +.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n 11 1 .names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n 11 1 -.names N_245.BLIF dsack1_int_0_un3_n -0 1 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_308_2 +.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un1_n +11 1 +.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n 11 1 .names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D 1- 1 -1 1 -.names N_92_i.BLIF N_245.BLIF dsack1_int_0_un1_n +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n 11 1 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D +.names N_194_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n +11 1 +.names N_18_i.BLIF RST_c.BLIF N_37_0 +11 1 +.names amiga_bus_enable_dma_high_0_un1_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_20 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C +1 1 +.names N_191_i.BLIF N_193_i.BLIF pos_clk_cpu_est_11_0_2_1__n +11 1 +.names N_22_i.BLIF RST_c.BLIF N_33_0 +11 1 +.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_cpu_est_11_0_1__n +11 1 +.names N_97.BLIF bgack_030_int_0_un3_n +0 1 +.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names N_163_i.BLIF N_137_i.BLIF N_131_i_1 +11 1 +.names inst_BGACK_030_INTreg.BLIF N_97.BLIF bgack_030_int_0_un1_n +11 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names N_162_i.BLIF N_164_i.BLIF N_131_i_2 +11 1 +.names BGACK_000_c.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n +11 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_21 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C +1 1 +.names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 +11 1 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_22 +1- 1 +-1 1 +.names inst_DS_030_D0.BLIF DS_030_D0_i +0 1 +.names N_131_i_3.BLIF N_165_i.BLIF SM_AMIGA_i_7_.D +11 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i +11 1 +.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n +11 1 +.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n +11 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names pos_clk_un11_ds_030_d0_i_1_n.BLIF size_c_0__n.BLIF pos_clk_un11_ds_030_d0_i_n +11 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i +11 1 +.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF un1_amiga_bus_enable_low +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C +1 1 +.names a_i_31__n.BLIF inst_nEXP_SPACE_D0reg.BLIF un8_ciin_1 +11 1 +.names N_195_i.BLIF N_196_i.BLIF N_186_i +11 1 +.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n +11 1 +.names AS_030_D0_i.BLIF a_i_24__n.BLIF un8_ciin_2 +11 1 +.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 +11 1 +.names un6_as_030.BLIF un6_as_030_i +0 1 +.names a_i_25__n.BLIF a_i_26__n.BLIF un8_ciin_3 +11 1 +.names N_190.BLIF cpu_est_3_reg.BLIF N_197 +11 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names a_i_27__n.BLIF a_i_28__n.BLIF un8_ciin_4 +11 1 +.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 +11 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C +1 1 +.names N_16.BLIF N_16_i +0 1 +.names N_189_i.BLIF cpu_est_0_.BLIF N_193 +11 1 +.names un4_as_000.BLIF un4_as_000_i +0 1 +.names N_39_0.BLIF inst_UDS_000_INT.D +0 1 +.names cpu_est_3_reg.BLIF cpu_est_i_3__n +0 1 +.names un6_ds_030.BLIF un6_ds_030_i +0 1 +.names N_19.BLIF N_19_i +0 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names un6_uds_000.BLIF un6_uds_000_i +0 1 +.names N_36_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D +0 1 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 +11 1 +.names un6_lds_000.BLIF un6_lds_000_i +0 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C +1 1 +.names N_20.BLIF N_20_i +0 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n +0 1 +.names N_35_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D +0 1 +.names N_189.BLIF cpu_est_i_0__n.BLIF N_191 +11 1 +.names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un1_n +11 1 +.names N_21.BLIF N_21_i +0 1 +.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 +11 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names N_34_0.BLIF BG_000DFFreg.D +0 1 +.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i +11 1 +.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_8 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C +1 1 +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names N_211.BLIF RST_DLY_5_.BLIF N_213 +11 1 +.names vcc_n_n +1 +.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n +0 1 +.names N_209.BLIF RST_DLY_4_.BLIF N_211 +11 1 +.names gnd_n_n +.names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n +0 1 +.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 +11 1 +.names A_23_.BLIF a_23__n +1 1 +.names sm_amiga_i_i_7__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_251_0_1 +11 1 +.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 +11 1 +.names A_22_.BLIF a_22__n +1 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C +1 1 +.names N_251_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_251_0 +11 1 +.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 +11 1 +.names A_21_.BLIF a_21__n +1 1 +.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 +11 1 +.names N_252.BLIF sm_amiga_i_2__n.BLIF N_83 +11 1 +.names A_20_.BLIF a_20__n +1 1 +.names N_121_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D +11 1 +.names BGACK_000_c.BLIF CLK_000_PE_i.BLIF N_97 +11 1 +.names A_15_.BLIF a_15__n +1 1 +.names N_50_0.BLIF inst_DS_030_D0.D +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names A_14_.BLIF a_14__n +1 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C +1 1 +.names N_8.BLIF N_8_i +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_252_0 +11 1 +.names A_13_.BLIF a_13__n +1 1 +.names N_46_0.BLIF inst_A0_DMA.D +0 1 +.names A_12_.BLIF a_12__n +1 1 +.names N_9.BLIF N_9_i +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names A_11_.BLIF a_11__n +1 1 +.names N_45_0.BLIF inst_DSACK1_INTreg.D +0 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 +11 1 +.names A_10_.BLIF a_10__n +1 1 +.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C +1 1 +.names N_10.BLIF N_10_i +0 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names A_9_.BLIF a_9__n +1 1 +.names N_44_0.BLIF inst_AS_000_INT.D +0 1 +.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 +11 1 +.names A_8_.BLIF a_8__n +1 1 +.names N_12.BLIF N_12_i +0 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i +11 1 +.names A_7_.BLIF a_7__n +1 1 +.names N_43_0.BLIF inst_AS_030_000_SYNC.D +0 1 +.names G_141.BLIF un1_rst_dly_i_4__n +0 1 +.names A_6_.BLIF a_6__n +1 1 +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C +1 1 +.names N_13.BLIF N_13_i +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n +11 1 +.names A_5_.BLIF a_5__n +1 1 +.names N_42_0.BLIF inst_LDS_000_INT.D +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_3__n.BLIF RST_DLY_2_.D +11 1 +.names A_4_.BLIF a_4__n +1 1 +.names N_14.BLIF N_14_i +0 1 +.names G_139.BLIF un1_rst_dly_i_3__n +0 1 +.names A_3_.BLIF a_3__n +1 1 +.names N_41_0.BLIF inst_RW_000_INT.D +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n +11 1 +.names A_2_.BLIF a_2__n +1 1 +.names CLK_OSZI_c.BLIF inst_AS_000_INT.C +1 1 +.names N_15.BLIF N_15_i +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_1_.D +11 1 +.names N_40_0.BLIF inst_RW_000_DMA.D +0 1 +.names G_137.BLIF un1_rst_dly_i_2__n +0 1 +.names N_31_0.BLIF IPL_030DFF_1_reg.D +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n +11 1 +.names N_23.BLIF N_23_i +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_38_0 +11 1 +.names CLK_OSZI_c.BLIF inst_DSACK1_INTreg.C +1 1 +.names N_30_0.BLIF IPL_030DFF_0_reg.D +0 1 +.names N_38.BLIF RST_c.BLIF inst_RESET_OUTreg.D +11 1 +.names ipl_c_2__n.BLIF ipl_c_i_2__n +0 1 +.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i +0 1 +.names N_54_0.BLIF IPL_D0_2_.D +0 1 +.names pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF N_77_i_i +0 1 +.names ipl_c_1__n.BLIF ipl_c_i_1__n +0 1 +.names N_77_i_i.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_85 +11 1 +.names CLK_OSZI_c.BLIF inst_A0_DMA.C +1 1 +.names N_53_0.BLIF IPL_D0_1_.D +0 1 +.names N_85_i.BLIF RST_c.BLIF RST_DLY_0_.D +11 1 +.names ipl_c_0__n.BLIF ipl_c_i_0__n +0 1 +.names N_52_0.BLIF IPL_D0_0_.D +0 1 +.names DTACK_c.BLIF DTACK_c_i +0 1 +.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa +11 1 +.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C +1 1 +.names N_57_0.BLIF inst_DTACK_D0.D +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_8__n.BLIF RST_DLY_7_.D +11 1 +.names VPA_c.BLIF VPA_c_i +0 1 +.names G_149.BLIF un1_rst_dly_i_8__n +0 1 +.names N_56_0.BLIF inst_VPA_D.D +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n +11 1 +.names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_7__n.BLIF RST_DLY_6_.D +11 1 +.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C +1 1 +.names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D +0 1 +.names G_147.BLIF un1_rst_dly_i_7__n +0 1 +.names N_158.BLIF N_158_i +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n +11 1 +.names N_155.BLIF N_155_i +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_6__n.BLIF RST_DLY_5_.D +11 1 +.names N_156.BLIF N_156_i +0 1 +.names G_145.BLIF un1_rst_dly_i_6__n +0 1 +.names CLK_OSZI_c.BLIF inst_DS_030_D0.C +1 1 +.names N_154.BLIF N_154_i +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n +11 1 +.names N_152.BLIF N_152_i +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_5__n.BLIF RST_DLY_4_.D +11 1 +.names N_153.BLIF N_153_i +0 1 +.names G_143.BLIF un1_rst_dly_i_5__n +0 1 +.names N_142_0.BLIF N_142 +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n +11 1 +.names CLK_OSZI_c.BLIF inst_AS_030_D0.C +1 1 +.names N_141_0.BLIF N_141 +0 1 +.names RST_c.BLIF un1_rst_dly_i_m_i_4__n.BLIF RST_DLY_3_.D +11 1 +.names N_138_0.BLIF N_138 +0 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names N_132_i.BLIF N_132 +0 1 +.names AS_030_i.BLIF RST_c.BLIF N_89 +11 1 +.names A0_c.BLIF A0_c_i +0 1 +.names N_71.BLIF sm_amiga_i_0__n.BLIF N_88 +11 1 +.names CLK_OSZI_c.BLIF inst_nEXP_SPACE_D0reg.C +1 1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names BGACK_030_INT_i.BLIF N_249.BLIF N_87 +11 1 +.names N_25.BLIF N_25_i +0 1 +.names BGACK_030_INT_i.BLIF N_249_i.BLIF N_86 +11 1 +.names N_32_0.BLIF IPL_030DFF_2_reg.D +0 1 +.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_84 +11 1 +.names N_24.BLIF N_24_i +0 1 +.names inst_AS_030_D0.BLIF AS_030_D0_i +0 1 +.names CLK_OSZI_c.BLIF inst_VPA_D.C +1 1 +.names N_93.BLIF N_93_i +0 1 +.names inst_RESET_OUTreg.BLIF RESET_OUT_i +0 1 +.names N_94.BLIF N_94_i +0 1 +.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i +11 1 +.names N_244_0.BLIF N_244 +0 1 +.names N_245_0.BLIF N_245 +0 1 +.names CLK_OSZI_c.BLIF inst_DTACK_D0.C +1 1 +.names N_246_0.BLIF N_246 +0 1 +.names CLK_OSZI_c.BLIF IPL_D0_2_.C +1 1 +.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n +0 1 +.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n +0 1 +.names N_249_i.BLIF N_249 +0 1 +.names CLK_OSZI_c.BLIF inst_CLK_030_H.C +1 1 +.names N_251_0.BLIF N_251 +0 1 +.names N_248.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_241_0 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C +1 1 +.names N_71_0.BLIF N_71 +0 1 +.names N_88_i.BLIF N_137_i.BLIF SM_AMIGA_0_.D +11 1 +.names N_104.BLIF N_104_i +0 1 +.names N_87_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names N_160.BLIF N_160_i +0 1 +.names N_86_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +11 1 +.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C +1 1 +.names N_161.BLIF N_161_i +0 1 +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +1 1 +.names N_159.BLIF N_159_i +0 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names N_157.BLIF N_157_i +0 1 +.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D +11 1 +.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n +0 1 +.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_99 +11 1 +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +1 1 +.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF N_98 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C +1 1 +.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n +0 1 +.names N_116.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_94 +11 1 +.names N_76.BLIF N_76_i +0 1 +.names N_251.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_93 +11 1 +.names N_83.BLIF N_83_i +0 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +.names N_84.BLIF N_84_i +0 1 +.names A1_c.BLIF A1_i +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C +1 1 +.names N_115_0.BLIF N_115 +0 1 +.names A1_i.BLIF BGACK_030_INT_i.BLIF N_91 +11 1 +.names N_86.BLIF N_86_i +0 1 +.names A1_c.BLIF BGACK_030_INT_i.BLIF N_90 +11 1 +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n +0 1 +.names N_132.BLIF SM_AMIGA_0_.BLIF N_104 +11 1 +.names CLK_OSZI_c.BLIF BG_000DFFreg.C +1 1 +.names N_87.BLIF N_87_i +0 1 +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un3_n +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +1 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n +0 1 +.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un1_n +11 1 +.names N_88.BLIF N_88_i +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un0_n +11 1 +.names N_241_0.BLIF N_241 +0 1 +.names un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF N_78 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C +1 1 +.names N_242_0.BLIF N_242 +0 1 +.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_71_0 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +1 1 +.names N_243_0.BLIF N_243 +0 1 +.names LDS_000_i.BLIF UDS_000_i.BLIF N_249_i +11 1 +.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF pos_clk_un5_bgack_030_int_d_i_n +11 1 +.names N_198.BLIF N_198_i +0 1 +.names RW_c.BLIF RW_i +0 1 +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C +1 1 +.names N_197.BLIF N_197_i +0 1 +.names SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C +1 1 +.names N_199.BLIF N_199_i +0 1 +.names RW_i.BLIF SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n +11 1 +.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n +0 1 +.names sm_amiga_i_3__n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un0_n +11 1 +.names N_151.BLIF N_151_i +0 1 +.names ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF N_248 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C +1 1 +.names N_150.BLIF N_150_i +0 1 +.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C +1 1 +.names N_135_i.BLIF N_135 +0 1 +.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_246_0 +11 1 +.names N_252_0.BLIF N_252 +0 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names N_85.BLIF N_85_i +0 1 +.names RW_i.BLIF SM_AMIGA_5_.BLIF N_245_0 +11 1 +.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C +1 1 +.names N_38_0.BLIF N_38 +0 1 +.names N_93_i.BLIF N_94_i.BLIF N_244_0 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_0_.C +1 1 +.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n +0 1 +.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_243_0 +11 1 +.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n +0 1 +.names N_80_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_242_0 +11 1 +.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n +0 1 +.names N_248.BLIF N_248_i +0 1 +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +1 1 +.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n +0 1 +.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_1_.C +1 1 +.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n +0 1 +.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 +11 1 +.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n +0 1 +.names CLK_000_PE_i.BLIF sm_amiga_i_5__n.BLIF N_159 +11 1 +.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n +0 1 +.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 +11 1 +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +1 1 +.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n +0 1 +.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_2_.C +1 1 +.names N_136_i.BLIF N_136 +0 1 +.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i +11 1 +.names N_140_0.BLIF N_140 +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 +11 1 +.names N_195.BLIF N_195_i +0 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C +1 1 +.names N_196.BLIF N_196_i +0 1 +.names N_132_i.BLIF SM_AMIGA_4_.BLIF N_141_0 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_3_reg.C +1 1 +.names N_188_i.BLIF N_188 +0 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names N_189_i.BLIF N_189 +0 1 +.names N_132_i.BLIF SM_AMIGA_6_.BLIF N_142_0 +11 1 +.names A_16_.BLIF a_c_16__n +1 1 +.names N_190_i.BLIF N_190 +0 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_PE.C +1 1 +.names A_17_.BLIF a_c_17__n +1 1 +.names N_193.BLIF N_193_i +0 1 +.names inst_CLK_000_D0.BLIF CLK_000_D0_i +0 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C +1 1 +.names A_18_.BLIF a_c_18__n +1 1 +.names N_191.BLIF N_191_i +0 1 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n +11 1 +.names A_19_.BLIF a_c_19__n +1 1 +.names N_192.BLIF N_192_i +0 1 +.names N_104_i.BLIF RST_c.BLIF N_137_i +11 1 +.names N_194.BLIF N_194_i +0 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C +1 1 +.names pos_clk_ds_000_dma_4_f1_0_n.BLIF pos_clk_ds_000_dma_4_f1_n +0 1 +.names a_c_16__n.BLIF a_i_16__n +0 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C +1 1 +.names N_162.BLIF N_162_i +0 1 +.names SIZE_DMA_1_.BLIF size_dma_i_1__n +0 1 +.names N_163.BLIF N_163_i +0 1 +.names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size +11 1 +.names A_24_.BLIF a_c_24__n +1 1 +.names N_164.BLIF N_164_i +0 1 +.names SIZE_DMA_0_.BLIF size_dma_i_0__n +0 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C +1 1 +.names A_25_.BLIF a_c_25__n +1 1 +.names N_165.BLIF N_165_i +0 1 +.names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C +1 1 +.names A_26_.BLIF a_c_26__n +1 1 +.names pos_clk_un22_bgack_030_int_0_n.BLIF pos_clk_un22_bgack_030_int_n +0 1 +.names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 +11 1 +.names A_27_.BLIF a_c_27__n +1 1 +.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n +0 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D +1 1 +.names A_28_.BLIF a_c_28__n +1 1 +.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i +11 1 +.names A_29_.BLIF a_c_29__n +1 1 +.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n +0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF un14_amiga_bus_data_dir +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_0_.C +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C +1 1 +.names A_30_.BLIF a_c_30__n +1 1 +.names N_22.BLIF N_22_i +0 1 +.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 +11 1 +.names A_31_.BLIF a_c_31__n +1 1 +.names N_33_0.BLIF inst_BGACK_030_INTreg.D +0 1 +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 +11 1 +.names N_18.BLIF N_18_i +0 1 +.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 +11 1 +.names inst_CLK_000_NE.BLIF inst_CLK_000_NE_D0.D +1 1 +.names A1.BLIF A1_c +1 1 +.names N_37_0.BLIF inst_VMA_INTreg.D +0 1 +.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_1_.C +1 1 +.names nEXP_SPACE.BLIF nEXP_SPACE_c +1 1 +.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n +0 1 +.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_NE_D0.C +1 1 +.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n +0 1 +.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 +11 1 +.names BG_030.BLIF BG_030_c +1 1 +.names N_6.BLIF N_6_i +0 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names BG_000DFFreg.BLIF BG_000 +1 1 +.names N_48_0.BLIF inst_AS_000_DMA.D +0 1 +.names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 +11 1 +.names CLK_OSZI_c.BLIF RST_DLY_0_.C +1 1 +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D +1 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030 +1 1 +.names N_3.BLIF N_3_i +0 1 +.names a_c_24__n.BLIF a_i_24__n +0 1 +.names BGACK_000.BLIF BGACK_000_c +1 1 +.names N_49_0.BLIF inst_DS_000_DMA.D +0 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C +1 1 +.names CLK_030.BLIF CLK_EXP_c +1 1 +.names pos_clk_un29_bgack_030_int_n.BLIF pos_clk_un29_bgack_030_int_i_n +0 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names CLK_000.BLIF inst_CLK_000_D0.D +1 1 +.names pos_clk_un26_bgack_030_int_n.BLIF pos_clk_un26_bgack_030_int_i_n +0 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names CLK_OSZI_c.BLIF RST_DLY_1_.C +1 1 +.names CLK_OSZI.BLIF CLK_OSZI_c +1 1 +.names pos_clk_un27_bgack_030_int_0_n.BLIF pos_clk_un27_bgack_030_int_n +0 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names CLK_030_H_0_sqmuxa.BLIF CLK_030_H_0_sqmuxa_i +0 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C +1 1 +.names CLK_EXP_c.BLIF CLK_EXP +1 1 +.names N_7.BLIF N_7_i +0 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names un21_fpu_cs_i.BLIF FPU_CS +1 1 +.names N_47_0.BLIF N_47 +0 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names CLK_OSZI_c.BLIF RST_DLY_2_.C +1 1 +.names FPU_SENSE.BLIF FPU_SENSE_c +1 1 +.names N_133_i.BLIF N_133 +0 1 +.names a_c_18__n.BLIF a_i_18__n +0 1 +.names inst_CLK_000_PE.BLIF G_159.X1 +1 1 +.names IPL_030DFF_0_reg.BLIF IPL_030_0_ +1 1 +.names N_176.BLIF N_176_i +0 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names IPL_030DFF_1_reg.BLIF IPL_030_1_ +1 1 +.names N_175.BLIF N_175_i +0 1 +.names CYCLE_DMA_0_.BLIF G_159.X2 +1 1 +.names IPL_030DFF_2_reg.BLIF IPL_030_2_ +1 1 +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c +0 1 +.names CLK_OSZI_c.BLIF RST_DLY_3_.C +1 1 +.names IPL_0_.BLIF ipl_c_0__n +1 1 +.names un21_fpu_cs.BLIF un21_fpu_cs_i +0 1 +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D +0 1 +.names IPL_1_.BLIF ipl_c_1__n +1 1 +.names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 +11 1 +.names G_165.BLIF N_224_i +0 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_0_.X1 +1 1 +.names IPL_2_.BLIF ipl_c_2__n +1 1 +.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n +0 1 +.names G_166.BLIF N_225_i +0 1 +.names CYCLE_DMA_1_.BLIF cycle_dma_i_0__n.BLIF pos_clk_un26_bgack_030_int_n +11 1 +.names G_167.BLIF N_226_i +0 1 +.names CLK_OSZI_c.BLIF RST_DLY_4_.C +1 1 +.names cpu_est_0_.BLIF cpu_est_0_0_.X2 +1 1 +.names DTACK.BLIF DTACK_c +1 1 +.names CYCLE_DMA_1_.BLIF cycle_dma_i_1__n +0 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names vcc_n_n.BLIF AVEC +1 1 +.names CYCLE_DMA_0_.BLIF cycle_dma_i_1__n.BLIF pos_clk_un29_bgack_030_int_n +11 1 +.names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 +11 1 +.names cpu_est_3_reg.BLIF E +1 1 +.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 +11 1 +.names DS_030_c.BLIF DS_030_i +0 1 +.names inst_CLK_000_NE.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_.X1 +1 1 +.names VPA.BLIF VPA_c +1 1 +.names N_3_i.BLIF RST_c.BLIF N_49_0 +11 1 +.names AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF inst_BGACK_030_INT_D.D +0 1 +.names CLK_OSZI_c.BLIF RST_DLY_5_.C +1 1 +.names inst_VMA_INTreg.BLIF VMA +1 1 +.names N_6_i.BLIF RST_c.BLIF N_48_0 +11 1 +.names N_89.BLIF inst_AS_030_D0.D +0 1 +.names RST_DLY_0_.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_.X2 +1 1 +.names RST.BLIF RST_c +1 1 +.names pos_clk_un24_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_i_n +0 1 +.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 +11 1 +.names inst_RESET_OUTreg.BLIF RESET +1 1 +.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n +0 1 +.names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 +11 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n +11 1 +.names ipl_c_i_1__n.BLIF RST_c.BLIF N_53_0 +11 1 +.names CLK_OSZI_c.BLIF RST_DLY_6_.C +1 1 +.names RESET_OUT_0_sqmuxa_1.BLIF G_137.X1 +1 1 +.names FC_0_.BLIF fc_c_0__n +1 1 +.names pos_clk_un24_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +11 1 +.names ipl_c_i_2__n.BLIF RST_c.BLIF N_54_0 +11 1 +.names FC_1_.BLIF fc_c_1__n +1 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 +1- 1 +-1 1 +.names N_23_i.BLIF RST_c.BLIF N_30_0 +11 1 +.names RST_DLY_1_.BLIF G_137.X2 +1 1 +.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE +1 1 +.names DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un3_n +0 1 +.names N_24_i.BLIF RST_c.BLIF N_31_0 +11 1 +.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR +1 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un1_n +11 1 +.names N_25_i.BLIF RST_c.BLIF N_32_0 +11 1 +.names CLK_OSZI_c.BLIF RST_DLY_7_.C +1 1 +.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW +1 1 +.names pos_clk_ds_000_dma_4_n.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names N_246.BLIF size_dma_0_0__un3_n +0 1 +.names RESET_OUT_0_sqmuxa_7.BLIF G_149.X1 +1 1 +.names N_78.BLIF AMIGA_BUS_ENABLE_HIGH +1 1 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 +1- 1 +-1 1 +.names pos_clk_size_dma_6_0__n.BLIF N_246.BLIF size_dma_0_0__un1_n +11 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n +11 1 +.names RST_DLY_7_.BLIF G_149.X2 +1 1 +.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 +11 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C +1 1 +.names N_135.BLIF BERR_i.BLIF N_162_1 +11 1 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 +11 1 +.names N_246.BLIF size_dma_0_1__un3_n +0 1 +.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 +11 1 +.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +0 1 +.names pos_clk_size_dma_6_1__n.BLIF N_246.BLIF size_dma_0_1__un1_n +11 1 +.names N_213.BLIF G_147.X1 +1 1 +.names N_176_1.BLIF RW_000_c.BLIF N_176_1_0 +11 1 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_175 +11 1 +.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n +11 1 +.names N_176_1_0.BLIF nEXP_SPACE_D0_i.BLIF N_176 +11 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i +11 1 +.names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C +1 1 +.names RST_DLY_6_.BLIF G_147.X2 +1 1 +.names RW_000_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_2_sqmuxa_1 +11 1 +.names BERR_c.BLIF BERR_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +0 1 +.names DS_000_DMA_2_sqmuxa_1.BLIF pos_clk_un40_bgack_030_int_1_i_n.BLIF DS_000_DMA_2_sqmuxa +11 1 +.names BERR_i.BLIF N_136_i.BLIF N_164 +11 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names N_76_i.BLIF N_83_i.BLIF N_119_i_1 +11 1 +.names CLK_030_H_0_sqmuxa_i.BLIF N_7_i.BLIF N_47_0 +11 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names N_211.BLIF G_145.X1 +1 1 +.names N_119_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D +11 1 +.names N_47.BLIF RST_c.BLIF inst_CLK_030_H.D +11 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C +1 1 +.names N_84_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 +11 1 +.names inst_CLK_030_H.BLIF pos_clk_un24_bgack_030_int_n.BLIF N_7 +11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +0 1 +.names RST_DLY_5_.BLIF G_145.X2 +1 1 +.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 +11 1 +.names G_161.BLIF un1_rst_2.BLIF CYCLE_DMA_1_.D +11 1 +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +11 1 +.names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n +11 1 +.names G_159.BLIF un1_rst_2.BLIF CYCLE_DMA_0_.D +11 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n +11 1 +.names RW_000_c.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_0_sqmuxa +11 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C +1 1 +.names N_209.BLIF G_143.X1 +1 1 +.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n +11 1 +.names CLK_EXP_c.BLIF CLK_EXP_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +0 1 +.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n +11 1 +.names CLK_EXP_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF AS_000_DMA_1_sqmuxa +11 1 +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n +11 1 +.names RST_DLY_4_.BLIF G_143.X2 +1 1 +.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF pos_clk_un9_clk_000_ne_4_n +11 1 +.names N_176_1.BLIF RST_c.BLIF un1_rst_2 +11 1 +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names pos_clk_un9_clk_000_ne_4_n.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF pos_clk_un9_clk_000_ne_n +11 1 +.names pos_clk_un26_bgack_030_int_i_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF pos_clk_un27_bgack_030_int_0_n +11 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C +1 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 +11 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D +11 1 +.names RESET_OUT_0_sqmuxa_5.BLIF G_141.X1 +1 1 +.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 +11 1 +.names AS_000_DMA_1_sqmuxa.BLIF AS_000_DMA_i.BLIF CLK_030_H_0_sqmuxa +11 1 +.names N_21_i.BLIF RST_c.BLIF N_34_0 +11 1 +.names N_188.BLIF cpu_est_0_.BLIF N_195_1 +11 1 +.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n +0 1 +.names N_20_i.BLIF RST_c.BLIF N_35_0 +11 1 +.names RST_DLY_3_.BLIF G_141.X2 +1 1 +.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 +11 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names N_19_i.BLIF RST_c.BLIF N_36_0 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C +1 1 +.names N_176_1.BLIF pos_clk_un22_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_1_n +11 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names N_16_i.BLIF RST_c.BLIF N_39_0 +11 1 +.names pos_clk_un24_bgack_030_int_1_n.BLIF pos_clk_un27_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_n +11 1 +.names inst_CLK_000_NE.BLIF CLK_000_NE_i +0 1 +.names N_15_i.BLIF RST_c.BLIF N_40_0 +11 1 +.names N_205.BLIF G_139.X1 +1 1 +.names N_133_i.BLIF N_135_i.BLIF N_165_1 +11 1 +.names inst_CLK_000_PE.BLIF CLK_000_PE_i +0 1 +.names N_14_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names pos_clk_clk_000_n_sync_2_0__n.BLIF CLK_000_N_SYNC_0_.D +1 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 +11 1 +.names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i +0 1 +.names N_13_i.BLIF RST_c.BLIF N_42_0 +11 1 +.names RST_DLY_2_.BLIF G_139.X2 +1 1 +.names N_165_1.BLIF N_165_2.BLIF N_165_3 +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names N_12_i.BLIF RST_c.BLIF N_43_0 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C +1 1 +.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 +11 1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_176_1 +11 1 +.names N_10_i.BLIF RST_c.BLIF N_44_0 +11 1 +.names N_133.BLIF BERR_i.BLIF N_163_1 +11 1 +.names pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un40_bgack_030_int_1_i_n +0 1 +.names N_9_i.BLIF RST_c.BLIF N_45_0 +11 1 +.names IPL_D0_2_.BLIF G_167.X1 +1 1 +.names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF RESET_OUT_0_sqmuxa_7_3 +11 1 +.names DS_000_DMA_0_sqmuxa.BLIF DS_000_DMA_0_sqmuxa_i +0 1 +.names N_8_i.BLIF RST_c.BLIF N_46_0 +11 1 +.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 +11 1 +.names DS_000_DMA_0_sqmuxa_i.BLIF pos_clk_ds_000_dma_4_f1_n.BLIF pos_clk_ds_000_dma_4_n +11 1 +.names DS_030_i.BLIF RST_c.BLIF N_50_0 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C +1 1 +.names ipl_c_2__n.BLIF G_167.X2 +1 1 +.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 +11 1 +.names AS_000_DMA_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF pos_clk_ds_000_dma_4_f1_0_n +11 1 +.names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 +11 1 +.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 +11 1 +.names N_175_i.BLIF N_176_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names RST_c.BLIF VPA_c_i.BLIF N_56_0 +11 1 +.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_135_i_1 +11 1 +.names RW_000_c.BLIF RW_000_i +0 1 +.names N_80.BLIF N_80_i +0 1 +.names IPL_D0_0_.BLIF G_165.X1 +1 1 +.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i +11 1 +.names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n +0 1 +.names N_242.BLIF dsack1_int_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C +1 1 +.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n +11 1 +.names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n +11 1 +.names N_80_i.BLIF N_242.BLIF dsack1_int_0_un1_n +11 1 +.names ipl_c_0__n.BLIF G_165.X2 +1 1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n +11 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 +.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF pos_clk_un27_clk_000_ne_d0_3_n +11 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 +1- 1 +-1 1 +.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 +1- 1 +-1 1 +.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF pos_clk_un27_clk_000_ne_d0_n +11 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i +0 1 +.names N_243.BLIF as_000_int_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C +1 1 +.names IPL_D0_1_.BLIF G_166.X1 +1 1 +.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n +11 1 +.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n +11 1 +.names sm_amiga_i_5__n.BLIF N_243.BLIF as_000_int_0_un1_n +11 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n +11 1 +.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n +0 1 +.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names ipl_c_1__n.BLIF G_166.X2 +1 1 +.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF pos_clk_un5_clk_000_pe_3_n +11 1 +.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_un9_clk_000_n_sync_n +11 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 +1- 1 +-1 1 +.names pos_clk_un5_clk_000_pe_3_n.BLIF cpu_est_i_0__n.BLIF pos_clk_un5_clk_000_pe_n +11 1 +.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF pos_clk_un14_clk_000_n_sync_0_n +11 1 +.names N_241.BLIF ds_000_enable_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C +1 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n +11 1 +.names UDS_000_c.BLIF UDS_000_i +0 1 +.names N_248_i.BLIF N_241.BLIF ds_000_enable_0_un1_n +11 1 +.names CYCLE_DMA_1_.BLIF G_161.X1 +1 1 +.names N_154_i.BLIF RST_c.BLIF N_123_i_2 +11 1 +.names LDS_000_c.BLIF LDS_000_i +0 1 +.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n +11 1 +.names N_123_i_1.BLIF N_123_i_2.BLIF SM_AMIGA_3_.D +11 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un22_bgack_030_int_0_n +11 1 +.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 +1- 1 +-1 1 +.names N_220.BLIF G_161.X2 +1 1 +.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 +11 1 +.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF N_80 +11 1 +.names N_244.BLIF as_030_000_sync_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C +1 1 +.names N_125_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D +11 1 +.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa +11 1 +.names inst_AS_030_000_SYNC.BLIF N_244.BLIF as_030_000_sync_0_un1_n +11 1 +.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 +11 1 +.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n +0 1 +.names pos_clk_un3_as_030_d0_n.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n +11 1 +.names cpu_est_0_0_.BLIF cpu_est_0_.D +1 1 +.names N_159_i.BLIF RST_c.BLIF N_127_i_2 +11 1 +.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n +11 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_12 +1- 1 +-1 1 +.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D +1 1 +.names N_127_i_1.BLIF N_127_i_2.BLIF SM_AMIGA_5_.D +11 1 +.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n +11 1 +.names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n +0 1 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C +1 1 +.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D +1 1 +.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 +11 1 +.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_76 +1- 1 +-1 1 +.names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un1_n +11 1 +.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D +1 1 +.names N_129_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D +11 1 +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D 1 1 .end diff --git a/Logic/BUS68030.bl1 b/Logic/BUS68030.bl1 index 0f57947..91458a4 100644 --- a/Logic/BUS68030.bl1 +++ b/Logic/BUS68030.bl1 @@ -1,130 +1,141 @@ #$ TOOL ispLEVER Classic 1.8.00.04.29.14 -#$ DATE Wed May 13 22:59:21 2015 +#$ DATE Thu Jul 09 18:48:59 2015 #$ MODULE bus68030 -#$ PINS 75 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ -# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 A_15_ DS_030 A_14_ UDS_000 \ -# A_13_ LDS_000 A_12_ A0 A_11_ A1 A_10_ nEXP_SPACE A_9_ BERR A_8_ BG_030 A_7_ BG_000 A_6_ \ -# BGACK_030 A_5_ BGACK_000 A_4_ CLK_030 A_3_ CLK_000 A_2_ CLK_OSZI IPL_030_1_ CLK_DIV_OUT \ -# IPL_030_0_ CLK_EXP IPL_1_ FPU_CS IPL_0_ FPU_SENSE FC_0_ DSACK1 DTACK AVEC E VPA VMA RST \ -# RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -# AMIGA_BUS_ENABLE_HIGH CIIN SIZE_0_ A_30_ A_29_ -#$ NODES 695 N_310 un1_rst_dly_i_m_i_5__n sm_amiga_srsts_i_0_m2_3__un0_n N_220 \ -# sm_amiga_srsts_i_0_m2_1__un3_n pos_clk_cpu_est_11_1__n un1_rst_dly_i_m_i_6__n \ -# sm_amiga_srsts_i_0_m2_1__un1_n N_14 sm_amiga_srsts_i_0_m2_1__un0_n N_18 \ -# un1_rst_dly_i_m_i_7__n un1_amiga_bus_enable_dma_high_0_m2_0__un3_n N_22 \ -# un1_amiga_bus_enable_dma_high_0_m2_0__un1_n inst_BGACK_030_INTreg \ -# RESET_OUT_0_sqmuxa_1 un1_rst_dly_i_m_i_8__n \ -# un1_amiga_bus_enable_dma_high_0_m2_0__un0_n vcc_n_n N_205 \ -# un1_sm_amiga_7_i_m2_un3_n cpu_est_3_reg N_213 un1_rst_dly_i_m_i_2__n \ -# un1_sm_amiga_7_i_m2_un1_n inst_VMA_INTreg pos_clk_RST_DLY_5_iv_0_x2_0_ \ -# un1_sm_amiga_7_i_m2_un0_n inst_RESET_OUTreg N_105 N_98_i size_dma_0_0__un3_n \ -# gnd_n_n N_98 size_dma_0_0__un1_n un1_amiga_bus_enable_low RESET_OUT_0_sqmuxa \ -# N_105_i size_dma_0_0__un0_n un6_as_030 un1_rst_dly_i_m_2__n size_dma_0_1__un3_n \ -# un3_size G_137 N_22_i size_dma_0_1__un1_n un4_size un1_rst_dly_i_m_8__n N_33_0 \ -# size_dma_0_1__un0_n un5_ciin G_149 N_18_i ipl_030_0_0__un3_n un4_as_000 \ -# RESET_OUT_0_sqmuxa_5 N_37_0 ipl_030_0_0__un1_n un21_fpu_cs RESET_OUT_0_sqmuxa_7 \ -# N_14_i ipl_030_0_0__un0_n un22_berr G_147 N_41_0 ipl_030_0_1__un3_n un6_ds_030 G_145 \ -# N_10_i ipl_030_0_1__un1_n un6_uds_000 N_211 N_44_0 ipl_030_0_1__un0_n un6_lds_000 \ -# G_143 pos_clk_cpu_est_11_0_1__n ipl_030_0_2__un3_n cpu_est_0_ N_209 N_312_i \ -# ipl_030_0_2__un1_n cpu_est_1_ G_141 N_90_i ipl_030_0_2__un0_n inst_AS_000_INT G_139 \ -# N_88_i amiga_bus_enable_dma_high_0_un3_n SM_AMIGA_5_ un1_rst_dly_i_m_7__n N_299_i \ -# amiga_bus_enable_dma_high_0_un1_n inst_AMIGA_BUS_ENABLE_DMA_LOW \ -# un1_rst_dly_i_m_6__n N_275_0 amiga_bus_enable_dma_high_0_un0_n inst_AS_030_D0 \ -# un1_rst_dly_i_m_5__n N_274_0 bg_000_0_un3_n inst_nEXP_SPACE_D0reg \ -# un1_rst_dly_i_m_4__n N_273_i bg_000_0_un1_n inst_DS_030_D0 un1_rst_dly_i_m_3__n \ -# N_272_i bg_000_0_un0_n inst_AS_030_000_SYNC N_71_i N_270_i ds_000_dma_0_un3_n \ -# inst_BGACK_030_INT_D un1_amiga_bus_enable_low_i N_268_i ds_000_dma_0_un1_n \ -# inst_AS_000_DMA un21_fpu_cs_i N_310_i ds_000_dma_0_un0_n inst_DS_000_DMA \ -# RESET_OUT_i N_311_i as_000_dma_0_un3_n CYCLE_DMA_0_ BGACK_030_INT_i N_267_0 \ -# as_000_dma_0_un1_n CYCLE_DMA_1_ RESET_OUT_0_sqmuxa_i N_309_i as_000_dma_0_un0_n \ -# SIZE_DMA_0_ un1_rst_dly_i_3__n N_308_i a0_dma_0_un3_n SIZE_DMA_1_ \ -# un1_rst_dly_i_4__n pos_clk_un7_clk_000_pe_0_n a0_dma_0_un1_n inst_VPA_D \ -# un1_rst_dly_i_5__n N_264_0 a0_dma_0_un0_n inst_UDS_000_INT un1_rst_dly_i_6__n \ -# N_304_i dsack1_int_0_un3_n inst_LDS_000_INT un1_rst_dly_i_7__n N_303_i \ -# dsack1_int_0_un1_n inst_CLK_OUT_PRE_D un1_rst_dly_i_8__n N_186_i \ -# dsack1_int_0_un0_n inst_DTACK_D0 un1_rst_dly_i_2__n VPA_c_i as_000_int_0_un3_n \ -# inst_CLK_OUT_PRE_50 N_87_i_i N_56_0 as_000_int_0_un1_n inst_CLK_000_D1 \ -# cpu_est_i_3__n DTACK_c_i as_000_int_0_un0_n inst_CLK_000_D0 cpu_est_i_0__n N_57_0 \ -# ds_000_enable_0_un3_n inst_CLK_000_PE VPA_D_i N_97_i ds_000_enable_0_un1_n \ -# CLK_000_P_SYNC_9_ VMA_INT_i ds_000_enable_0_un0_n inst_CLK_000_NE cpu_est_i_1__n \ -# N_96_i as_030_000_sync_0_un3_n CLK_000_N_SYNC_11_ CLK_000_PE_i N_95_i \ -# as_030_000_sync_0_un1_n cpu_est_2_ BERR_i N_94_i as_030_000_sync_0_un0_n IPL_D0_0_ \ -# sm_amiga_i_4__n N_313_i lds_000_int_0_un3_n IPL_D0_1_ cpu_est_i_2__n N_136_i \ -# lds_000_int_0_un1_n IPL_D0_2_ sm_amiga_i_5__n N_81_0 lds_000_int_0_un0_n \ -# SM_AMIGA_3_ DTACK_D0_i N_116_i rw_000_dma_0_un3_n inst_CLK_000_NE_D0 \ -# sm_amiga_i_0__n N_77_i rw_000_dma_0_un1_n pos_clk_un6_bg_030_n sm_amiga_i_3__n \ -# N_73_i rw_000_dma_0_un0_n SM_AMIGA_0_ CLK_000_NE_i N_101_i uds_000_int_0_un3_n \ -# inst_AMIGA_BUS_ENABLE_DMA_HIGH sm_amiga_i_6__n uds_000_int_0_un1_n \ -# inst_DSACK1_INTreg sm_amiga_i_1__n clk_000_n_sync_i_10__n uds_000_int_0_un0_n \ -# CLK_OUT_PRE_D_i pos_clk_un9_clk_000_n_sync_i_n amiga_bus_enable_dma_low_0_un3_n \ -# pos_clk_ipl_n pos_clk_un11_clk_000_n_sync_i_n pos_clk_un14_clk_000_n_sync_0_n \ -# amiga_bus_enable_dma_low_0_un1_n pos_clk_un3_ds_030_d0_n LDS_000_i \ -# pos_clk_un22_bgack_030_int_i_n amiga_bus_enable_dma_low_0_un0_n SM_AMIGA_6_ \ -# UDS_000_i N_86_i a_15__n AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa nEXP_SPACE_D0_i N_93_i \ -# RST_DLY_0_ sm_amiga_i_2__n a_14__n RST_DLY_1_ AS_030_i N_99_i RST_DLY_2_ A1_i \ -# pos_clk_size_dma_6_0_1__n a_13__n RST_DLY_3_ CLK_000_D1_i N_100_i RST_DLY_4_ \ -# RW_000_i pos_clk_size_dma_6_0_0__n a_12__n RST_DLY_5_ CLK_030_H_i N_245_0 RST_DLY_6_ \ -# AS_000_DMA_i N_108_i a_11__n RST_DLY_7_ AS_000_i N_109_i pos_clk_un8_bg_030_n \ -# sm_amiga_i_i_7__n N_246_0 a_10__n CLK_000_P_SYNC_0_ RW_i un5_ciin_i \ -# CLK_000_P_SYNC_1_ AMIGA_BUS_ENABLE_DMA_HIGH_i N_247_0 a_9__n CLK_000_P_SYNC_2_ \ -# FPU_SENSE_i N_248_0 CLK_000_P_SYNC_3_ AS_030_D0_i CLK_000_D0_i a_8__n \ -# CLK_000_P_SYNC_4_ a_i_24__n N_249_i CLK_000_P_SYNC_5_ size_dma_i_0__n \ -# AS_030_000_SYNC_i a_7__n CLK_000_P_SYNC_6_ size_dma_i_1__n N_251_0 \ -# CLK_000_P_SYNC_7_ a_i_16__n pos_clk_un3_as_030_d0_i_n a_6__n CLK_000_P_SYNC_8_ \ -# a_i_18__n pos_clk_un5_bgack_030_int_d_i_n CLK_000_N_SYNC_0_ a_i_19__n N_75_i a_5__n \ -# CLK_000_N_SYNC_1_ a_i_31__n N_76_i CLK_000_N_SYNC_2_ a_i_29__n N_78_0 a_4__n \ -# CLK_000_N_SYNC_3_ a_i_30__n N_80_0 CLK_000_N_SYNC_4_ a_i_27__n CLK_EXP_c_i a_3__n \ -# CLK_000_N_SYNC_5_ a_i_28__n N_258_0 CLK_000_N_SYNC_6_ a_i_25__n N_283_i a_2__n \ -# CLK_000_N_SYNC_7_ a_i_26__n N_284_i CLK_000_N_SYNC_8_ UDS_000_INT_i \ -# CLK_000_N_SYNC_9_ LDS_000_INT_i N_290_i CLK_000_N_SYNC_10_ DS_030_i N_291_i \ -# pos_clk_un5_bgack_030_int_d_n N_224_i inst_RW_000_INT N_225_i N_279_i \ -# inst_RW_000_DMA N_226_i N_293_i inst_A0_DMA inst_CLK_030_H N_82_i SM_AMIGA_1_ N_83_i \ -# SM_AMIGA_4_ N_104_i N_259_0 SM_AMIGA_2_ N_103_i N_84_i pos_clk_un3_as_030_d0_n \ -# N_282_i N_115_0 inst_DS_000_ENABLE N_92_i N_85_i AS_000_INT_1_sqmuxa un6_lds_000_i \ -# N_294_i DS_000_ENABLE_1_sqmuxa_1 un6_uds_000_i N_296_i pos_clk_a0_dma_3_n \ -# un6_ds_030_i pos_clk_cpu_est_11_0_3__n pos_clk_ds_000_dma_4_n DS_000_DMA_i N_91_i \ -# N_3 un4_as_000_i N_260_0 AS_000_INT_i N_301_i un6_as_030_i pos_clk_ds_000_dma_4_0_n \ -# N_6 AMIGA_BUS_ENABLE_DMA_LOW_i N_305_i N_8 DS_030_D0_i N_306_i N_9 AS_030_c \ -# AMIGA_BUS_DATA_DIR_c_0 N_10 N_307_i N_11 AS_000_c N_12 N_13 RW_000_c N_15 N_265_0 N_16 \ -# DS_030_c N_269_i N_19 pos_clk_un24_bgack_030_int_i_0_i_n N_20 UDS_000_c N_62_0 N_21 \ -# N_276_0 N_23 LDS_000_c N_277_0 N_24 N_286_i N_25 size_c_0__n N_288_i N_289_i size_c_1__n \ -# pos_clk_un11_ds_030_d0_i_n A0_c_i size_c_i_1__n N_25_i N_32_0 N_24_i N_31_0 N_23_i \ -# N_30_0 ipl_c_i_2__n N_54_0 ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 nEXP_SPACE_c_i \ -# N_55_0 N_50_0 N_3_i N_49_0 N_6_i N_48_0 N_8_i N_46_0 N_9_i N_45_0 N_12_i SM_AMIGA_i_7_ \ -# N_43_0 N_115 N_13_i pos_clk_size_dma_6_0__n a_c_16__n N_42_0 pos_clk_size_dma_6_1__n \ -# N_15_i pos_clk_cpu_est_11_3__n a_c_17__n N_40_0 G_165 N_16_i G_166 a_c_18__n N_39_0 \ -# G_167 N_19_i un6_uds_000_1 a_c_19__n N_36_0 pos_clk_un24_bgack_030_int_i_0_n N_20_i \ -# N_245 a_c_20__n N_35_0 N_246 N_21_i N_247 a_c_21__n N_34_0 N_248 BG_030_c_i N_89 \ -# a_c_22__n pos_clk_un6_bg_030_i_n N_92 pos_clk_un8_bg_030_0_n N_102 a_c_23__n \ -# N_127_i_1 N_103 N_127_i_2 N_104 a_c_24__n pos_clk_un24_bgack_030_int_i_0_i_1_n N_112 \ -# N_80_0_1 N_256 a_c_25__n N_75_i_1 N_258 N_251_0_1 a_c_26__n \ -# pos_clk_un11_ds_030_d0_i_1_n N_260 N_340_1 N_265 a_c_27__n N_340_2 N_282 N_340_3 N_71 \ -# a_c_28__n N_340_4 cpu_est_0_0_x2_0_ un5_ciin_1 pos_clk_un11_clk_000_n_sync_n \ -# a_c_29__n un5_ciin_2 N_76 un5_ciin_3 pos_clk_CYCLE_DMA_5_1_i_x2 a_c_30__n un5_ciin_4 \ -# pos_clk_CYCLE_DMA_5_0_i_x2 un5_ciin_5 pos_clk_un24_bgack_030_int_i_0_x2 a_c_31__n \ -# un5_ciin_6 pos_clk_un22_bgack_030_int_n un5_ciin_7 N_268 A0_c un5_ciin_8 N_270 \ -# un5_ciin_9 N_73 A1_c un5_ciin_10 N_75 un5_ciin_11 N_251 nEXP_SPACE_c un22_berr_1_0 \ -# un22_berr_1 un21_fpu_cs_1 N_95 BERR_c pos_clk_un6_bg_030_1_n N_94 N_131_i_1 N_288 \ -# BG_030_c N_131_i_2 N_289 N_131_i_3 N_286 BG_000DFFreg N_96_1 N_279 N_96_2 N_277 N_96_3 \ -# N_276 BGACK_000_c pos_clk_cpu_est_11_0_1_1__n N_62 pos_clk_cpu_est_11_0_2_1__n \ -# N_274 N_310_1 N_313 N_310_2 N_307 N_310_3 N_305 CLK_OSZI_c N_310_4 N_306 N_309_1 N_303 \ -# N_309_2 N_304 CLK_EXP_c N_308_1 N_301 N_308_2 N_91 RESET_OUT_0_sqmuxa_5_1 N_85 \ -# FPU_SENSE_c RESET_OUT_0_sqmuxa_7_1 N_294 RESET_OUT_0_sqmuxa_7_2 N_296 \ -# IPL_030DFF_0_reg RESET_OUT_0_sqmuxa_7_3 N_84 N_94_1 N_82 IPL_030DFF_1_reg N_95_1 N_83 \ -# N_119_i_1 N_293 IPL_030DFF_2_reg N_82_1 N_290 N_83_1 N_291 ipl_c_0__n N_296_1 N_283 \ -# N_303_1 N_284 ipl_c_1__n N_304_1 N_86 N_306_1 N_80 ipl_c_2__n N_129_i_1 N_78 N_125_i_1 \ -# N_108 N_123_i_1 N_109 DTACK_c N_115_0_1 N_100 pos_clk_cpu_est_11_0_1_3__n N_99 \ -# N_260_0_1 N_93 N_261_i_1 pos_clk_un14_clk_000_n_sync_n VPA_c N_262_i_1 \ -# pos_clk_un9_clk_000_n_sync_n N_263_i_1 N_340 pos_clk_ipl_1_n N_97 RST_c \ -# cpu_est_0_3__un3_n N_136 cpu_est_0_3__un1_n N_101 cpu_est_0_3__un0_n N_81 RW_c \ -# cpu_est_0_2__un3_n N_116 cpu_est_0_2__un1_n N_96 fc_c_0__n cpu_est_0_2__un0_n N_113 \ -# cpu_est_0_1__un3_n N_275 fc_c_1__n cpu_est_0_1__un1_n N_273 cpu_est_0_1__un0_n N_88 \ -# bgack_030_int_0_un3_n N_272 AMIGA_BUS_DATA_DIR_c bgack_030_int_0_un1_n N_299 \ -# bgack_030_int_0_un0_n N_90 vma_int_0_un3_n N_311 vma_int_0_un1_n N_312 \ -# vma_int_0_un0_n N_267 un1_as_000_i rw_000_int_0_un3_n N_264 un1_rst_dly_i_m_i_3__n \ -# rw_000_int_0_un1_n pos_clk_un7_clk_000_pe_n rw_000_int_0_un0_n N_308 \ -# un1_rst_dly_i_m_i_4__n sm_amiga_srsts_i_0_m2_3__un3_n N_309 \ -# sm_amiga_srsts_i_0_m2_3__un1_n +#$ PINS 75 SIZE_0_ A_30_ SIZE_1_ A_29_ A_28_ A_31_ A_27_ A_26_ IPL_030_2_ A_25_ A_24_ \ +# IPL_2_ A_23_ A_22_ FC_1_ A_21_ AS_030 A_20_ AS_000 A_19_ RW_000 A_18_ DS_030 A_17_ UDS_000 \ +# A_16_ LDS_000 A_15_ A0 A_14_ A1 A_13_ nEXP_SPACE A_12_ BERR A_11_ BG_030 A_10_ BG_000 A_9_ \ +# BGACK_030 A_8_ BGACK_000 A_7_ CLK_030 A_6_ CLK_000 A_5_ CLK_OSZI A_4_ CLK_DIV_OUT A_3_ \ +# CLK_EXP A_2_ FPU_CS IPL_030_1_ FPU_SENSE IPL_030_0_ DSACK1 IPL_1_ DTACK IPL_0_ AVEC FC_0_ \ +# E VPA VMA RST RESET RW AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ +# AMIGA_BUS_ENABLE_HIGH CIIN +#$ NODES 694 N_193 cpu_est_0_1__un3_n N_190 RW_c cpu_est_0_1__un1_n N_189 \ +# cpu_est_0_1__un0_n N_140 fc_c_0__n bgack_030_int_0_un3_n \ +# pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n pos_clk_un23_clk_000_ne_d0_n \ +# fc_c_1__n bgack_030_int_0_un0_n inst_BGACK_030_INTreg \ +# pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n vcc_n_n \ +# pos_clk_un7_clk_000_pe_n un1_amiga_bus_enable_dma_high_i_m4_0__un1_n \ +# cpu_est_3_reg N_18 AMIGA_BUS_DATA_DIR_c \ +# un1_amiga_bus_enable_dma_high_i_m4_0__un0_n inst_VMA_INTreg N_22 \ +# ds_000_enable_1_sqmuxa_1_i_m4_un3_n inst_RESET_OUTreg \ +# pos_clk_un11_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n \ +# pos_clk_un9_clk_000_n_sync_n ds_000_enable_1_sqmuxa_1_i_m4_un0_n \ +# un1_amiga_bus_enable_low pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n \ +# un6_as_030 pos_clk_un22_bgack_030_int_n N_6_i size_dma_0_0__un1_n un3_size G_161 \ +# N_48_0 size_dma_0_0__un0_n un4_size N_220 N_3_i size_dma_0_1__un3_n un8_ciin G_159 \ +# N_49_0 size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n \ +# pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 CLK_030_H_0_sqmuxa \ +# pos_clk_un26_bgack_030_int_i_n ipl_030_0_0__un3_n un21_fpu_cs AS_000_DMA_1_sqmuxa \ +# pos_clk_un27_bgack_030_int_0_n ipl_030_0_0__un1_n un22_berr \ +# pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i ipl_030_0_0__un0_n un6_ds_030 \ +# pos_clk_un27_bgack_030_int_n N_7_i ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 \ +# ipl_030_0_1__un1_n un6_lds_000 N_165 N_133_i ipl_030_0_1__un0_n cpu_est_0_ N_133 \ +# N_176_i ipl_030_0_2__un3_n cpu_est_1_ N_163 N_175_i ipl_030_0_2__un1_n \ +# inst_AS_000_INT N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n SM_AMIGA_5_ N_164 \ +# pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n inst_AMIGA_BUS_ENABLE_DMA_LOW \ +# N_176 N_162_i dsack1_int_0_un1_n inst_AS_030_D0 DS_000_DMA_2_sqmuxa N_163_i \ +# dsack1_int_0_un0_n inst_nEXP_SPACE_D0reg pos_clk_ds_000_dma_4_n N_164_i \ +# as_000_int_0_un3_n inst_DS_030_D0 DS_000_DMA_0_sqmuxa N_165_i as_000_int_0_un1_n \ +# inst_AS_030_000_SYNC pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n \ +# inst_BGACK_030_INT_D N_175 pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n \ +# inst_AS_000_DMA N_47 pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n \ +# inst_DS_000_DMA N_7 clk_000_n_sync_i_10__n ds_000_enable_0_un0_n CYCLE_DMA_0_ \ +# un1_rst_2 pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n CYCLE_DMA_1_ \ +# pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n SIZE_DMA_0_ \ +# pos_clk_un29_bgack_030_int_n N_33_0 as_030_000_sync_0_un0_n SIZE_DMA_1_ N_3 N_18_i \ +# lds_000_int_0_un3_n inst_VPA_D N_6 N_37_0 lds_000_int_0_un1_n inst_UDS_000_INT \ +# un1_amiga_bus_enable_low_i pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n \ +# inst_LDS_000_INT un21_fpu_cs_i pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n \ +# inst_CLK_OUT_PRE_D AS_000_i pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n \ +# inst_DTACK_D0 DS_000_DMA_i pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n \ +# inst_CLK_OUT_PRE_50 pos_clk_un24_bgack_030_int_i_n \ +# pos_clk_un21_clk_000_ne_d0_i_n rw_000_dma_0_un3_n inst_CLK_000_D1 \ +# cycle_dma_i_1__n pos_clk_un23_clk_000_ne_d0_0_n rw_000_dma_0_un1_n \ +# inst_CLK_000_D0 cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n inst_CLK_000_PE \ +# AS_000_DMA_i N_140_0 uds_000_int_0_un3_n CLK_000_P_SYNC_9_ CLK_EXP_i N_195_i \ +# uds_000_int_0_un1_n inst_CLK_000_NE BERR_i N_196_i uds_000_int_0_un0_n \ +# CLK_000_N_SYNC_11_ RW_000_i N_186_i amiga_bus_enable_dma_low_0_un3_n cpu_est_2_ \ +# DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n IPL_D0_0_ \ +# pos_clk_un40_bgack_030_int_1_i_n N_189_i amiga_bus_enable_dma_low_0_un0_n \ +# IPL_D0_1_ BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n IPL_D0_2_ \ +# nEXP_SPACE_D0_i N_193_i amiga_bus_enable_dma_high_0_un1_n SM_AMIGA_3_ CLK_000_PE_i \ +# N_191_i amiga_bus_enable_dma_high_0_un0_n inst_CLK_000_NE_D0 CLK_000_NE_i N_192_i \ +# bg_000_0_un3_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n \ +# SM_AMIGA_0_ sm_amiga_i_0__n pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n \ +# inst_AMIGA_BUS_ENABLE_DMA_HIGH pos_clk_un7_clk_000_d0_i_n N_198_i a0_dma_0_un3_n \ +# inst_DSACK1_INTreg UDS_000_i N_197_i a0_dma_0_un1_n LDS_000_i N_199_i a0_dma_0_un0_n \ +# pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n \ +# pos_clk_cpu_est_11_0_3__n a_23__n pos_clk_ipl_n CLK_OUT_PRE_D_i N_151_i \ +# pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n SM_AMIGA_6_ sm_amiga_i_2__n \ +# AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n N_135_i a_21__n \ +# RST_DLY_0_ cpu_est_i_0__n N_252_0 RST_DLY_1_ cpu_est_i_3__n N_85_i a_20__n RST_DLY_2_ \ +# cpu_est_i_2__n RST_DLY_3_ cpu_est_i_1__n N_38_0 a_15__n RST_DLY_4_ VPA_D_i \ +# un1_rst_dly_i_m_i_2__n RST_DLY_5_ VMA_INT_i a_14__n RST_DLY_6_ sm_amiga_i_1__n \ +# un1_rst_dly_i_m_i_3__n RST_DLY_7_ RESET_OUT_0_sqmuxa_i a_13__n \ +# pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n CLK_000_P_SYNC_0_ \ +# un1_rst_dly_i_2__n a_12__n CLK_000_P_SYNC_1_ un1_rst_dly_i_3__n \ +# un1_rst_dly_i_m_i_5__n CLK_000_P_SYNC_2_ un1_rst_dly_i_4__n a_11__n \ +# CLK_000_P_SYNC_3_ un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n CLK_000_P_SYNC_4_ \ +# un1_rst_dly_i_6__n a_10__n CLK_000_P_SYNC_5_ un1_rst_dly_i_7__n \ +# un1_rst_dly_i_m_i_7__n CLK_000_P_SYNC_6_ un1_rst_dly_i_8__n a_9__n \ +# CLK_000_P_SYNC_7_ RESET_OUT_i un1_rst_dly_i_m_i_8__n CLK_000_P_SYNC_8_ AS_030_D0_i \ +# a_8__n CLK_000_N_SYNC_0_ AS_030_i un3_as_030_i CLK_000_N_SYNC_1_ A1_i N_76_i a_7__n \ +# CLK_000_N_SYNC_2_ CLK_000_D1_i N_83_i CLK_000_N_SYNC_3_ sm_amiga_i_i_7__n a_6__n \ +# CLK_000_N_SYNC_4_ N_248_i N_84_i CLK_000_N_SYNC_5_ sm_amiga_i_5__n N_115_0 a_5__n \ +# CLK_000_N_SYNC_6_ RW_i N_86_i CLK_000_N_SYNC_7_ CLK_000_D0_i \ +# pos_clk_size_dma_6_0_1__n a_4__n CLK_000_N_SYNC_8_ AS_030_000_SYNC_i N_87_i \ +# CLK_000_N_SYNC_9_ sm_amiga_i_6__n pos_clk_size_dma_6_0_0__n a_3__n \ +# CLK_000_N_SYNC_10_ sm_amiga_i_4__n N_88_i pos_clk_un5_bgack_030_int_d_n \ +# FPU_SENSE_i a_2__n inst_RW_000_INT size_dma_i_0__n N_241_0 inst_RW_000_DMA \ +# size_dma_i_1__n N_242_0 inst_A0_DMA a_i_16__n N_243_0 inst_CLK_030_H a_i_18__n N_93_i \ +# SM_AMIGA_1_ a_i_19__n N_94_i SM_AMIGA_4_ a_i_30__n N_244_0 SM_AMIGA_2_ a_i_31__n \ +# N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n N_246_0 inst_DS_000_ENABLE a_i_29__n \ +# pos_clk_un3_as_030_d0_i_n a_i_26__n pos_clk_un5_bgack_030_int_d_i_n a_i_27__n \ +# N_249_i pos_clk_a0_dma_3_n a_i_24__n N_251_0 a_i_25__n N_71_0 LDS_000_INT_i N_104_i \ +# N_8 DS_030_i N_137_i N_9 UDS_000_INT_i N_10 N_224_i N_160_i N_11 N_225_i N_161_i N_12 \ +# N_226_i N_13 N_159_i N_14 N_157_i N_15 N_158_i N_16 N_91_i N_19 N_90_i N_155_i N_20 \ +# un14_amiga_bus_data_dir_i N_156_i N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 \ +# un6_uds_000_i N_152_i N_25 un6_ds_030_i N_153_i cpu_est_0_0_ un4_as_000_i N_142_0 \ +# AS_000_INT_i N_141_0 un6_as_030_i N_138_0 AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i \ +# DS_030_D0_i un1_as_030_i AS_030_c pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c \ +# size_c_i_1__n N_25_i RW_000_c N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 \ +# ipl_c_i_2__n LDS_000_c N_54_0 ipl_c_i_1__n size_c_0__n N_53_0 ipl_c_i_0__n \ +# size_c_1__n N_52_0 DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_8_i \ +# N_46_0 N_9_i N_45_0 N_10_i SM_AMIGA_i_7_ N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n \ +# N_43_0 pos_clk_size_dma_6_1__n N_13_i G_165 N_42_0 G_166 N_14_i G_167 N_41_0 \ +# un6_uds_000_1 N_15_i N_241 N_40_0 N_242 N_16_i N_243 N_39_0 N_244 N_19_i N_245 N_36_0 \ +# N_246 N_20_i N_78 N_35_0 N_80 N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 \ +# pos_clk_un6_bg_030_i_n N_98 a_c_17__n pos_clk_un8_bg_030_0_n N_99 N_251_0_1 N_249 \ +# a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n \ +# pos_clk_cpu_est_11_0_1_1__n N_136 pos_clk_cpu_est_11_0_2_1__n \ +# pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 \ +# pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 N_155 un8_ciin_2 N_141 un8_ciin_3 N_156 \ +# un8_ciin_4 N_157 a_c_24__n un8_ciin_5 N_138 un8_ciin_6 N_158 a_c_25__n un8_ciin_7 N_159 \ +# un8_ciin_8 N_160 a_c_26__n N_116_1 N_142 N_116_2 N_161 a_c_27__n N_116_3 N_132 N_116_4 \ +# N_104 a_c_28__n un22_berr_1_0 N_76 un21_fpu_cs_1 N_71 a_c_29__n N_123_i_1 N_251 \ +# N_123_i_2 N_93 a_c_30__n N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 N_87 \ +# N_129_i_1 N_86 A0_c pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c \ +# RESET_OUT_0_sqmuxa_7_1 N_116 RESET_OUT_0_sqmuxa_7_2 G_149 nEXP_SPACE_c \ +# RESET_OUT_0_sqmuxa_7_3 G_147 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 G_145 \ +# pos_clk_un27_clk_000_ne_d0_1_n N_211 BG_030_c pos_clk_un27_clk_000_ne_d0_2_n G_143 \ +# pos_clk_un27_clk_000_ne_d0_3_n N_209 BG_000DFFreg pos_clk_un5_clk_000_pe_1_n G_141 \ +# pos_clk_un5_clk_000_pe_2_n G_139 pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c \ +# pos_clk_un9_clk_000_ne_1_n G_137 pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 \ +# pos_clk_un9_clk_000_ne_3_n RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n \ +# RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 \ +# un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n N_165_1 \ +# un1_rst_dly_i_m_6__n CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 \ +# un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 \ +# un1_rst_dly_i_m_2__n N_176_1_0 N_38 IPL_030DFF_0_reg DS_000_DMA_2_sqmuxa_1 N_85 \ +# N_119_i_1 pos_clk_RST_DLY_5_iv_0_x2_0_ IPL_030DFF_1_reg N_115_0_1 N_252 \ +# pos_clk_ipl_1_n N_97 IPL_030DFF_2_reg as_000_dma_0_un3_n \ +# pos_clk_un27_clk_000_ne_d0_n as_000_dma_0_un1_n N_199_1 ipl_c_0__n \ +# as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n ds_000_dma_0_un3_n \ +# pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 ds_000_dma_0_un0_n \ +# N_151 ipl_c_2__n vma_int_0_un3_n N_199 vma_int_0_un1_n N_196 vma_int_0_un0_n N_195 \ +# DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 sm_amiga_srsts_i_0_m2_1__un1_n \ +# pos_clk_cpu_est_11_3__n sm_amiga_srsts_i_0_m2_1__un0_n N_197 cpu_est_0_3__un3_n \ +# N_198 VPA_c cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 \ +# cpu_est_0_2__un3_n N_192 RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF A1.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ @@ -134,357 +145,377 @@ A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF A_15_.BLIF A_14_.BLIF A_13_.BLIF \ A_12_.BLIF A_11_.BLIF A_10_.BLIF A_9_.BLIF A_8_.BLIF A_7_.BLIF A_6_.BLIF \ A_5_.BLIF A_4_.BLIF A_3_.BLIF A_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF \ SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF \ -LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_310.BLIF \ -un1_rst_dly_i_m_i_5__n.BLIF sm_amiga_srsts_i_0_m2_3__un0_n.BLIF N_220.BLIF \ -sm_amiga_srsts_i_0_m2_1__un3_n.BLIF pos_clk_cpu_est_11_1__n.BLIF \ -un1_rst_dly_i_m_i_6__n.BLIF sm_amiga_srsts_i_0_m2_1__un1_n.BLIF N_14.BLIF \ -sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_18.BLIF un1_rst_dly_i_m_i_7__n.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un3_n.BLIF N_22.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un1_n.BLIF inst_BGACK_030_INTreg.BLIF \ -RESET_OUT_0_sqmuxa_1.BLIF un1_rst_dly_i_m_i_8__n.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un0_n.BLIF vcc_n_n.BLIF N_205.BLIF \ -un1_sm_amiga_7_i_m2_un3_n.BLIF cpu_est_3_reg.BLIF N_213.BLIF \ -un1_rst_dly_i_m_i_2__n.BLIF un1_sm_amiga_7_i_m2_un1_n.BLIF \ -inst_VMA_INTreg.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF \ -un1_sm_amiga_7_i_m2_un0_n.BLIF inst_RESET_OUTreg.BLIF N_105.BLIF N_98_i.BLIF \ -size_dma_0_0__un3_n.BLIF gnd_n_n.BLIF N_98.BLIF size_dma_0_0__un1_n.BLIF \ -un1_amiga_bus_enable_low.BLIF RESET_OUT_0_sqmuxa.BLIF N_105_i.BLIF \ -size_dma_0_0__un0_n.BLIF un6_as_030.BLIF un1_rst_dly_i_m_2__n.BLIF \ -size_dma_0_1__un3_n.BLIF un3_size.BLIF G_137.BLIF N_22_i.BLIF \ -size_dma_0_1__un1_n.BLIF un4_size.BLIF un1_rst_dly_i_m_8__n.BLIF N_33_0.BLIF \ -size_dma_0_1__un0_n.BLIF un5_ciin.BLIF G_149.BLIF N_18_i.BLIF \ -ipl_030_0_0__un3_n.BLIF un4_as_000.BLIF RESET_OUT_0_sqmuxa_5.BLIF N_37_0.BLIF \ -ipl_030_0_0__un1_n.BLIF un21_fpu_cs.BLIF RESET_OUT_0_sqmuxa_7.BLIF N_14_i.BLIF \ -ipl_030_0_0__un0_n.BLIF un22_berr.BLIF G_147.BLIF N_41_0.BLIF \ -ipl_030_0_1__un3_n.BLIF un6_ds_030.BLIF G_145.BLIF N_10_i.BLIF \ -ipl_030_0_1__un1_n.BLIF un6_uds_000.BLIF N_211.BLIF N_44_0.BLIF \ -ipl_030_0_1__un0_n.BLIF un6_lds_000.BLIF G_143.BLIF \ -pos_clk_cpu_est_11_0_1__n.BLIF ipl_030_0_2__un3_n.BLIF cpu_est_0_.BLIF \ -N_209.BLIF N_312_i.BLIF ipl_030_0_2__un1_n.BLIF cpu_est_1_.BLIF G_141.BLIF \ -N_90_i.BLIF ipl_030_0_2__un0_n.BLIF inst_AS_000_INT.BLIF G_139.BLIF \ -N_88_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF SM_AMIGA_5_.BLIF \ -un1_rst_dly_i_m_7__n.BLIF N_299_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF un1_rst_dly_i_m_6__n.BLIF N_275_0.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF inst_AS_030_D0.BLIF \ -un1_rst_dly_i_m_5__n.BLIF N_274_0.BLIF bg_000_0_un3_n.BLIF \ -inst_nEXP_SPACE_D0reg.BLIF un1_rst_dly_i_m_4__n.BLIF N_273_i.BLIF \ -bg_000_0_un1_n.BLIF inst_DS_030_D0.BLIF un1_rst_dly_i_m_3__n.BLIF N_272_i.BLIF \ -bg_000_0_un0_n.BLIF inst_AS_030_000_SYNC.BLIF N_71_i.BLIF N_270_i.BLIF \ -ds_000_dma_0_un3_n.BLIF inst_BGACK_030_INT_D.BLIF \ -un1_amiga_bus_enable_low_i.BLIF N_268_i.BLIF ds_000_dma_0_un1_n.BLIF \ -inst_AS_000_DMA.BLIF un21_fpu_cs_i.BLIF N_310_i.BLIF ds_000_dma_0_un0_n.BLIF \ -inst_DS_000_DMA.BLIF RESET_OUT_i.BLIF N_311_i.BLIF as_000_dma_0_un3_n.BLIF \ -CYCLE_DMA_0_.BLIF BGACK_030_INT_i.BLIF N_267_0.BLIF as_000_dma_0_un1_n.BLIF \ -CYCLE_DMA_1_.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_309_i.BLIF \ -as_000_dma_0_un0_n.BLIF SIZE_DMA_0_.BLIF un1_rst_dly_i_3__n.BLIF N_308_i.BLIF \ -a0_dma_0_un3_n.BLIF SIZE_DMA_1_.BLIF un1_rst_dly_i_4__n.BLIF \ -pos_clk_un7_clk_000_pe_0_n.BLIF a0_dma_0_un1_n.BLIF inst_VPA_D.BLIF \ -un1_rst_dly_i_5__n.BLIF N_264_0.BLIF a0_dma_0_un0_n.BLIF inst_UDS_000_INT.BLIF \ -un1_rst_dly_i_6__n.BLIF N_304_i.BLIF dsack1_int_0_un3_n.BLIF \ -inst_LDS_000_INT.BLIF un1_rst_dly_i_7__n.BLIF N_303_i.BLIF \ -dsack1_int_0_un1_n.BLIF inst_CLK_OUT_PRE_D.BLIF un1_rst_dly_i_8__n.BLIF \ -N_186_i.BLIF dsack1_int_0_un0_n.BLIF inst_DTACK_D0.BLIF \ -un1_rst_dly_i_2__n.BLIF VPA_c_i.BLIF as_000_int_0_un3_n.BLIF \ -inst_CLK_OUT_PRE_50.BLIF N_87_i_i.BLIF N_56_0.BLIF as_000_int_0_un1_n.BLIF \ -inst_CLK_000_D1.BLIF cpu_est_i_3__n.BLIF DTACK_c_i.BLIF \ -as_000_int_0_un0_n.BLIF inst_CLK_000_D0.BLIF cpu_est_i_0__n.BLIF N_57_0.BLIF \ -ds_000_enable_0_un3_n.BLIF inst_CLK_000_PE.BLIF VPA_D_i.BLIF N_97_i.BLIF \ -ds_000_enable_0_un1_n.BLIF CLK_000_P_SYNC_9_.BLIF VMA_INT_i.BLIF \ -ds_000_enable_0_un0_n.BLIF inst_CLK_000_NE.BLIF cpu_est_i_1__n.BLIF \ -N_96_i.BLIF as_030_000_sync_0_un3_n.BLIF CLK_000_N_SYNC_11_.BLIF \ -CLK_000_PE_i.BLIF N_95_i.BLIF as_030_000_sync_0_un1_n.BLIF cpu_est_2_.BLIF \ -BERR_i.BLIF N_94_i.BLIF as_030_000_sync_0_un0_n.BLIF IPL_D0_0_.BLIF \ -sm_amiga_i_4__n.BLIF N_313_i.BLIF lds_000_int_0_un3_n.BLIF IPL_D0_1_.BLIF \ -cpu_est_i_2__n.BLIF N_136_i.BLIF lds_000_int_0_un1_n.BLIF IPL_D0_2_.BLIF \ -sm_amiga_i_5__n.BLIF N_81_0.BLIF lds_000_int_0_un0_n.BLIF SM_AMIGA_3_.BLIF \ -DTACK_D0_i.BLIF N_116_i.BLIF rw_000_dma_0_un3_n.BLIF inst_CLK_000_NE_D0.BLIF \ -sm_amiga_i_0__n.BLIF N_77_i.BLIF rw_000_dma_0_un1_n.BLIF \ -pos_clk_un6_bg_030_n.BLIF sm_amiga_i_3__n.BLIF N_73_i.BLIF \ -rw_000_dma_0_un0_n.BLIF SM_AMIGA_0_.BLIF CLK_000_NE_i.BLIF N_101_i.BLIF \ -uds_000_int_0_un3_n.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -sm_amiga_i_6__n.BLIF uds_000_int_0_un1_n.BLIF inst_DSACK1_INTreg.BLIF \ -sm_amiga_i_1__n.BLIF clk_000_n_sync_i_10__n.BLIF uds_000_int_0_un0_n.BLIF \ -CLK_OUT_PRE_D_i.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ -amiga_bus_enable_dma_low_0_un3_n.BLIF pos_clk_ipl_n.BLIF \ -pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_un14_clk_000_n_sync_0_n.BLIF \ -amiga_bus_enable_dma_low_0_un1_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ -LDS_000_i.BLIF pos_clk_un22_bgack_030_int_i_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF SM_AMIGA_6_.BLIF UDS_000_i.BLIF \ -N_86_i.BLIF a_15__n.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF \ -nEXP_SPACE_D0_i.BLIF N_93_i.BLIF RST_DLY_0_.BLIF sm_amiga_i_2__n.BLIF \ -a_14__n.BLIF RST_DLY_1_.BLIF AS_030_i.BLIF N_99_i.BLIF RST_DLY_2_.BLIF \ -A1_i.BLIF pos_clk_size_dma_6_0_1__n.BLIF a_13__n.BLIF RST_DLY_3_.BLIF \ -CLK_000_D1_i.BLIF N_100_i.BLIF RST_DLY_4_.BLIF RW_000_i.BLIF \ -pos_clk_size_dma_6_0_0__n.BLIF a_12__n.BLIF RST_DLY_5_.BLIF CLK_030_H_i.BLIF \ -N_245_0.BLIF RST_DLY_6_.BLIF AS_000_DMA_i.BLIF N_108_i.BLIF a_11__n.BLIF \ -RST_DLY_7_.BLIF AS_000_i.BLIF N_109_i.BLIF pos_clk_un8_bg_030_n.BLIF \ -sm_amiga_i_i_7__n.BLIF N_246_0.BLIF a_10__n.BLIF CLK_000_P_SYNC_0_.BLIF \ -RW_i.BLIF un5_ciin_i.BLIF CLK_000_P_SYNC_1_.BLIF \ -AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF N_247_0.BLIF a_9__n.BLIF \ -CLK_000_P_SYNC_2_.BLIF FPU_SENSE_i.BLIF N_248_0.BLIF CLK_000_P_SYNC_3_.BLIF \ -AS_030_D0_i.BLIF CLK_000_D0_i.BLIF a_8__n.BLIF CLK_000_P_SYNC_4_.BLIF \ -a_i_24__n.BLIF N_249_i.BLIF CLK_000_P_SYNC_5_.BLIF size_dma_i_0__n.BLIF \ -AS_030_000_SYNC_i.BLIF a_7__n.BLIF CLK_000_P_SYNC_6_.BLIF size_dma_i_1__n.BLIF \ -N_251_0.BLIF CLK_000_P_SYNC_7_.BLIF a_i_16__n.BLIF \ -pos_clk_un3_as_030_d0_i_n.BLIF a_6__n.BLIF CLK_000_P_SYNC_8_.BLIF \ -a_i_18__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF CLK_000_N_SYNC_0_.BLIF \ -a_i_19__n.BLIF N_75_i.BLIF a_5__n.BLIF CLK_000_N_SYNC_1_.BLIF a_i_31__n.BLIF \ -N_76_i.BLIF CLK_000_N_SYNC_2_.BLIF a_i_29__n.BLIF N_78_0.BLIF a_4__n.BLIF \ -CLK_000_N_SYNC_3_.BLIF a_i_30__n.BLIF N_80_0.BLIF CLK_000_N_SYNC_4_.BLIF \ -a_i_27__n.BLIF CLK_EXP_c_i.BLIF a_3__n.BLIF CLK_000_N_SYNC_5_.BLIF \ -a_i_28__n.BLIF N_258_0.BLIF CLK_000_N_SYNC_6_.BLIF a_i_25__n.BLIF N_283_i.BLIF \ -a_2__n.BLIF CLK_000_N_SYNC_7_.BLIF a_i_26__n.BLIF N_284_i.BLIF \ -CLK_000_N_SYNC_8_.BLIF UDS_000_INT_i.BLIF CLK_000_N_SYNC_9_.BLIF \ -LDS_000_INT_i.BLIF N_290_i.BLIF CLK_000_N_SYNC_10_.BLIF DS_030_i.BLIF \ -N_291_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF N_224_i.BLIF \ -inst_RW_000_INT.BLIF N_225_i.BLIF N_279_i.BLIF inst_RW_000_DMA.BLIF \ -N_226_i.BLIF N_293_i.BLIF inst_A0_DMA.BLIF inst_CLK_030_H.BLIF N_82_i.BLIF \ -SM_AMIGA_1_.BLIF N_83_i.BLIF SM_AMIGA_4_.BLIF N_104_i.BLIF N_259_0.BLIF \ -SM_AMIGA_2_.BLIF N_103_i.BLIF N_84_i.BLIF pos_clk_un3_as_030_d0_n.BLIF \ -N_282_i.BLIF N_115_0.BLIF inst_DS_000_ENABLE.BLIF N_92_i.BLIF N_85_i.BLIF \ -AS_000_INT_1_sqmuxa.BLIF un6_lds_000_i.BLIF N_294_i.BLIF \ -DS_000_ENABLE_1_sqmuxa_1.BLIF un6_uds_000_i.BLIF N_296_i.BLIF \ -pos_clk_a0_dma_3_n.BLIF un6_ds_030_i.BLIF pos_clk_cpu_est_11_0_3__n.BLIF \ -pos_clk_ds_000_dma_4_n.BLIF DS_000_DMA_i.BLIF N_91_i.BLIF N_3.BLIF \ -un4_as_000_i.BLIF N_260_0.BLIF AS_000_INT_i.BLIF N_301_i.BLIF \ -un6_as_030_i.BLIF pos_clk_ds_000_dma_4_0_n.BLIF N_6.BLIF \ -AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_305_i.BLIF N_8.BLIF DS_030_D0_i.BLIF \ -N_306_i.BLIF N_9.BLIF AS_030_c.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_10.BLIF \ -N_307_i.BLIF N_11.BLIF AS_000_c.BLIF N_12.BLIF N_13.BLIF RW_000_c.BLIF \ -N_15.BLIF N_265_0.BLIF N_16.BLIF DS_030_c.BLIF N_269_i.BLIF N_19.BLIF \ -pos_clk_un24_bgack_030_int_i_0_i_n.BLIF N_20.BLIF UDS_000_c.BLIF N_62_0.BLIF \ -N_21.BLIF N_276_0.BLIF N_23.BLIF LDS_000_c.BLIF N_277_0.BLIF N_24.BLIF \ -N_286_i.BLIF N_25.BLIF size_c_0__n.BLIF N_288_i.BLIF N_289_i.BLIF \ -size_c_1__n.BLIF pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF \ -size_c_i_1__n.BLIF N_25_i.BLIF N_32_0.BLIF N_24_i.BLIF N_31_0.BLIF N_23_i.BLIF \ -N_30_0.BLIF ipl_c_i_2__n.BLIF N_54_0.BLIF ipl_c_i_1__n.BLIF N_53_0.BLIF \ -ipl_c_i_0__n.BLIF N_52_0.BLIF nEXP_SPACE_c_i.BLIF N_55_0.BLIF N_50_0.BLIF \ -N_3_i.BLIF N_49_0.BLIF N_6_i.BLIF N_48_0.BLIF N_8_i.BLIF N_46_0.BLIF \ -N_9_i.BLIF N_45_0.BLIF N_12_i.BLIF SM_AMIGA_i_7_.BLIF N_43_0.BLIF N_115.BLIF \ -N_13_i.BLIF pos_clk_size_dma_6_0__n.BLIF a_c_16__n.BLIF N_42_0.BLIF \ -pos_clk_size_dma_6_1__n.BLIF N_15_i.BLIF pos_clk_cpu_est_11_3__n.BLIF \ -a_c_17__n.BLIF N_40_0.BLIF G_165.BLIF N_16_i.BLIF G_166.BLIF a_c_18__n.BLIF \ -N_39_0.BLIF G_167.BLIF N_19_i.BLIF un6_uds_000_1.BLIF a_c_19__n.BLIF \ -N_36_0.BLIF pos_clk_un24_bgack_030_int_i_0_n.BLIF N_20_i.BLIF N_245.BLIF \ -a_c_20__n.BLIF N_35_0.BLIF N_246.BLIF N_21_i.BLIF N_247.BLIF a_c_21__n.BLIF \ -N_34_0.BLIF N_248.BLIF BG_030_c_i.BLIF N_89.BLIF a_c_22__n.BLIF \ -pos_clk_un6_bg_030_i_n.BLIF N_92.BLIF pos_clk_un8_bg_030_0_n.BLIF N_102.BLIF \ -a_c_23__n.BLIF N_127_i_1.BLIF N_103.BLIF N_127_i_2.BLIF N_104.BLIF \ -a_c_24__n.BLIF pos_clk_un24_bgack_030_int_i_0_i_1_n.BLIF N_112.BLIF \ -N_80_0_1.BLIF N_256.BLIF a_c_25__n.BLIF N_75_i_1.BLIF N_258.BLIF \ -N_251_0_1.BLIF a_c_26__n.BLIF pos_clk_un11_ds_030_d0_i_1_n.BLIF N_260.BLIF \ -N_340_1.BLIF N_265.BLIF a_c_27__n.BLIF N_340_2.BLIF N_282.BLIF N_340_3.BLIF \ -N_71.BLIF a_c_28__n.BLIF N_340_4.BLIF cpu_est_0_0_x2_0_.BLIF un5_ciin_1.BLIF \ -pos_clk_un11_clk_000_n_sync_n.BLIF a_c_29__n.BLIF un5_ciin_2.BLIF N_76.BLIF \ -un5_ciin_3.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF a_c_30__n.BLIF un5_ciin_4.BLIF \ -pos_clk_CYCLE_DMA_5_0_i_x2.BLIF un5_ciin_5.BLIF \ -pos_clk_un24_bgack_030_int_i_0_x2.BLIF a_c_31__n.BLIF un5_ciin_6.BLIF \ -pos_clk_un22_bgack_030_int_n.BLIF un5_ciin_7.BLIF N_268.BLIF A0_c.BLIF \ -un5_ciin_8.BLIF N_270.BLIF un5_ciin_9.BLIF N_73.BLIF A1_c.BLIF \ -un5_ciin_10.BLIF N_75.BLIF un5_ciin_11.BLIF N_251.BLIF nEXP_SPACE_c.BLIF \ -un22_berr_1_0.BLIF un22_berr_1.BLIF un21_fpu_cs_1.BLIF N_95.BLIF BERR_c.BLIF \ -pos_clk_un6_bg_030_1_n.BLIF N_94.BLIF N_131_i_1.BLIF N_288.BLIF BG_030_c.BLIF \ -N_131_i_2.BLIF N_289.BLIF N_131_i_3.BLIF N_286.BLIF BG_000DFFreg.BLIF \ -N_96_1.BLIF N_279.BLIF N_96_2.BLIF N_277.BLIF N_96_3.BLIF N_276.BLIF \ -BGACK_000_c.BLIF pos_clk_cpu_est_11_0_1_1__n.BLIF N_62.BLIF \ -pos_clk_cpu_est_11_0_2_1__n.BLIF N_274.BLIF N_310_1.BLIF N_313.BLIF \ -N_310_2.BLIF N_307.BLIF N_310_3.BLIF N_305.BLIF CLK_OSZI_c.BLIF N_310_4.BLIF \ -N_306.BLIF N_309_1.BLIF N_303.BLIF N_309_2.BLIF N_304.BLIF CLK_EXP_c.BLIF \ -N_308_1.BLIF N_301.BLIF N_308_2.BLIF N_91.BLIF RESET_OUT_0_sqmuxa_5_1.BLIF \ -N_85.BLIF FPU_SENSE_c.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF N_294.BLIF \ -RESET_OUT_0_sqmuxa_7_2.BLIF N_296.BLIF IPL_030DFF_0_reg.BLIF \ -RESET_OUT_0_sqmuxa_7_3.BLIF N_84.BLIF N_94_1.BLIF N_82.BLIF \ -IPL_030DFF_1_reg.BLIF N_95_1.BLIF N_83.BLIF N_119_i_1.BLIF N_293.BLIF \ -IPL_030DFF_2_reg.BLIF N_82_1.BLIF N_290.BLIF N_83_1.BLIF N_291.BLIF \ -ipl_c_0__n.BLIF N_296_1.BLIF N_283.BLIF N_303_1.BLIF N_284.BLIF \ -ipl_c_1__n.BLIF N_304_1.BLIF N_86.BLIF N_306_1.BLIF N_80.BLIF ipl_c_2__n.BLIF \ -N_129_i_1.BLIF N_78.BLIF N_125_i_1.BLIF N_108.BLIF N_123_i_1.BLIF N_109.BLIF \ -DTACK_c.BLIF N_115_0_1.BLIF N_100.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF \ -N_99.BLIF N_260_0_1.BLIF N_93.BLIF N_261_i_1.BLIF \ -pos_clk_un14_clk_000_n_sync_n.BLIF VPA_c.BLIF N_262_i_1.BLIF \ -pos_clk_un9_clk_000_n_sync_n.BLIF N_263_i_1.BLIF N_340.BLIF \ -pos_clk_ipl_1_n.BLIF N_97.BLIF RST_c.BLIF cpu_est_0_3__un3_n.BLIF N_136.BLIF \ -cpu_est_0_3__un1_n.BLIF N_101.BLIF cpu_est_0_3__un0_n.BLIF N_81.BLIF RW_c.BLIF \ -cpu_est_0_2__un3_n.BLIF N_116.BLIF cpu_est_0_2__un1_n.BLIF N_96.BLIF \ -fc_c_0__n.BLIF cpu_est_0_2__un0_n.BLIF N_113.BLIF cpu_est_0_1__un3_n.BLIF \ -N_275.BLIF fc_c_1__n.BLIF cpu_est_0_1__un1_n.BLIF N_273.BLIF \ -cpu_est_0_1__un0_n.BLIF N_88.BLIF bgack_030_int_0_un3_n.BLIF N_272.BLIF \ -AMIGA_BUS_DATA_DIR_c.BLIF bgack_030_int_0_un1_n.BLIF N_299.BLIF \ -bgack_030_int_0_un0_n.BLIF N_90.BLIF vma_int_0_un3_n.BLIF N_311.BLIF \ -vma_int_0_un1_n.BLIF N_312.BLIF vma_int_0_un0_n.BLIF N_267.BLIF \ -un1_as_000_i.BLIF rw_000_int_0_un3_n.BLIF N_264.BLIF \ -un1_rst_dly_i_m_i_3__n.BLIF rw_000_int_0_un1_n.BLIF \ -pos_clk_un7_clk_000_pe_n.BLIF rw_000_int_0_un0_n.BLIF N_308.BLIF \ -un1_rst_dly_i_m_i_4__n.BLIF sm_amiga_srsts_i_0_m2_3__un3_n.BLIF N_309.BLIF \ -sm_amiga_srsts_i_0_m2_3__un1_n.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ -RW_000.PIN.BLIF DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ -SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF +LDS_000.BLIF A0.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF N_193.BLIF \ +cpu_est_0_1__un3_n.BLIF N_190.BLIF RW_c.BLIF cpu_est_0_1__un1_n.BLIF \ +N_189.BLIF cpu_est_0_1__un0_n.BLIF N_140.BLIF fc_c_0__n.BLIF \ +bgack_030_int_0_un3_n.BLIF pos_clk_un29_clk_000_ne_d0_n.BLIF \ +bgack_030_int_0_un1_n.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF fc_c_1__n.BLIF \ +bgack_030_int_0_un0_n.BLIF inst_BGACK_030_INTreg.BLIF \ +pos_clk_un21_clk_000_ne_d0_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF vcc_n_n.BLIF \ +pos_clk_un7_clk_000_pe_n.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF \ +cpu_est_3_reg.BLIF N_18.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF inst_VMA_INTreg.BLIF \ +N_22.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF inst_RESET_OUTreg.BLIF \ +pos_clk_un11_clk_000_n_sync_n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF \ +gnd_n_n.BLIF pos_clk_un9_clk_000_n_sync_n.BLIF \ +ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF un1_amiga_bus_enable_low.BLIF \ +pos_clk_un14_clk_000_n_sync_n.BLIF size_dma_0_0__un3_n.BLIF un6_as_030.BLIF \ +pos_clk_un22_bgack_030_int_n.BLIF N_6_i.BLIF size_dma_0_0__un1_n.BLIF \ +un3_size.BLIF G_161.BLIF N_48_0.BLIF size_dma_0_0__un0_n.BLIF un4_size.BLIF \ +N_220.BLIF N_3_i.BLIF size_dma_0_1__un3_n.BLIF un8_ciin.BLIF G_159.BLIF \ +N_49_0.BLIF size_dma_0_1__un1_n.BLIF un14_amiga_bus_data_dir.BLIF \ +pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF \ +size_dma_0_1__un0_n.BLIF un4_as_000.BLIF CLK_030_H_0_sqmuxa.BLIF \ +pos_clk_un26_bgack_030_int_i_n.BLIF ipl_030_0_0__un3_n.BLIF un21_fpu_cs.BLIF \ +AS_000_DMA_1_sqmuxa.BLIF pos_clk_un27_bgack_030_int_0_n.BLIF \ +ipl_030_0_0__un1_n.BLIF un22_berr.BLIF pos_clk_un24_bgack_030_int_n.BLIF \ +CLK_030_H_0_sqmuxa_i.BLIF ipl_030_0_0__un0_n.BLIF un6_ds_030.BLIF \ +pos_clk_un27_bgack_030_int_n.BLIF N_7_i.BLIF ipl_030_0_1__un3_n.BLIF \ +un6_uds_000.BLIF N_176_1.BLIF N_47_0.BLIF ipl_030_0_1__un1_n.BLIF \ +un6_lds_000.BLIF N_165.BLIF N_133_i.BLIF ipl_030_0_1__un0_n.BLIF \ +cpu_est_0_.BLIF N_133.BLIF N_176_i.BLIF ipl_030_0_2__un3_n.BLIF \ +cpu_est_1_.BLIF N_163.BLIF N_175_i.BLIF ipl_030_0_2__un1_n.BLIF \ +inst_AS_000_INT.BLIF N_162.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF \ +ipl_030_0_2__un0_n.BLIF SM_AMIGA_5_.BLIF N_164.BLIF \ +pos_clk_ds_000_dma_4_f1_0_n.BLIF dsack1_int_0_un3_n.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_176.BLIF N_162_i.BLIF \ +dsack1_int_0_un1_n.BLIF inst_AS_030_D0.BLIF DS_000_DMA_2_sqmuxa.BLIF \ +N_163_i.BLIF dsack1_int_0_un0_n.BLIF inst_nEXP_SPACE_D0reg.BLIF \ +pos_clk_ds_000_dma_4_n.BLIF N_164_i.BLIF as_000_int_0_un3_n.BLIF \ +inst_DS_030_D0.BLIF DS_000_DMA_0_sqmuxa.BLIF N_165_i.BLIF \ +as_000_int_0_un1_n.BLIF inst_AS_030_000_SYNC.BLIF \ +pos_clk_ds_000_dma_4_f1_n.BLIF as_000_int_0_un0_n.BLIF \ +inst_BGACK_030_INT_D.BLIF N_175.BLIF pos_clk_un22_bgack_030_int_0_n.BLIF \ +ds_000_enable_0_un3_n.BLIF inst_AS_000_DMA.BLIF N_47.BLIF \ +pos_clk_un9_clk_000_n_sync_i_n.BLIF ds_000_enable_0_un1_n.BLIF \ +inst_DS_000_DMA.BLIF N_7.BLIF clk_000_n_sync_i_10__n.BLIF \ +ds_000_enable_0_un0_n.BLIF CYCLE_DMA_0_.BLIF un1_rst_2.BLIF \ +pos_clk_un14_clk_000_n_sync_0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ +CYCLE_DMA_1_.BLIF pos_clk_un26_bgack_030_int_n.BLIF N_22_i.BLIF \ +as_030_000_sync_0_un1_n.BLIF SIZE_DMA_0_.BLIF \ +pos_clk_un29_bgack_030_int_n.BLIF N_33_0.BLIF as_030_000_sync_0_un0_n.BLIF \ +SIZE_DMA_1_.BLIF N_3.BLIF N_18_i.BLIF lds_000_int_0_un3_n.BLIF inst_VPA_D.BLIF \ +N_6.BLIF N_37_0.BLIF lds_000_int_0_un1_n.BLIF inst_UDS_000_INT.BLIF \ +un1_amiga_bus_enable_low_i.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ +lds_000_int_0_un0_n.BLIF inst_LDS_000_INT.BLIF un21_fpu_cs_i.BLIF \ +pos_clk_un5_clk_000_pe_i_n.BLIF rw_000_int_0_un3_n.BLIF \ +inst_CLK_OUT_PRE_D.BLIF AS_000_i.BLIF pos_clk_un7_clk_000_pe_0_n.BLIF \ +rw_000_int_0_un1_n.BLIF inst_DTACK_D0.BLIF DS_000_DMA_i.BLIF \ +pos_clk_un27_clk_000_ne_d0_i_n.BLIF rw_000_int_0_un0_n.BLIF \ +inst_CLK_OUT_PRE_50.BLIF pos_clk_un24_bgack_030_int_i_n.BLIF \ +pos_clk_un21_clk_000_ne_d0_i_n.BLIF rw_000_dma_0_un3_n.BLIF \ +inst_CLK_000_D1.BLIF cycle_dma_i_1__n.BLIF pos_clk_un23_clk_000_ne_d0_0_n.BLIF \ +rw_000_dma_0_un1_n.BLIF inst_CLK_000_D0.BLIF cycle_dma_i_0__n.BLIF \ +N_136_i.BLIF rw_000_dma_0_un0_n.BLIF inst_CLK_000_PE.BLIF AS_000_DMA_i.BLIF \ +N_140_0.BLIF uds_000_int_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF CLK_EXP_i.BLIF \ +N_195_i.BLIF uds_000_int_0_un1_n.BLIF inst_CLK_000_NE.BLIF BERR_i.BLIF \ +N_196_i.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_11_.BLIF RW_000_i.BLIF \ +N_186_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF cpu_est_2_.BLIF \ +DS_000_DMA_0_sqmuxa_i.BLIF N_188_i.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF \ +IPL_D0_0_.BLIF pos_clk_un40_bgack_030_int_1_i_n.BLIF N_189_i.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF IPL_D0_1_.BLIF BGACK_030_INT_i.BLIF \ +N_190_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF IPL_D0_2_.BLIF \ +nEXP_SPACE_D0_i.BLIF N_193_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF \ +SM_AMIGA_3_.BLIF CLK_000_PE_i.BLIF N_191_i.BLIF \ +amiga_bus_enable_dma_high_0_un0_n.BLIF inst_CLK_000_NE_D0.BLIF \ +CLK_000_NE_i.BLIF N_192_i.BLIF bg_000_0_un3_n.BLIF pos_clk_un6_bg_030_n.BLIF \ +sm_amiga_i_3__n.BLIF N_194_i.BLIF bg_000_0_un1_n.BLIF SM_AMIGA_0_.BLIF \ +sm_amiga_i_0__n.BLIF pos_clk_cpu_est_11_0_1__n.BLIF bg_000_0_un0_n.BLIF \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF \ +N_198_i.BLIF a0_dma_0_un3_n.BLIF inst_DSACK1_INTreg.BLIF UDS_000_i.BLIF \ +N_197_i.BLIF a0_dma_0_un1_n.BLIF LDS_000_i.BLIF N_199_i.BLIF \ +a0_dma_0_un0_n.BLIF pos_clk_clk_000_n_sync_2_0__n.BLIF \ +pos_clk_un11_clk_000_n_sync_i_n.BLIF pos_clk_cpu_est_11_0_3__n.BLIF \ +a_23__n.BLIF pos_clk_ipl_n.BLIF CLK_OUT_PRE_D_i.BLIF N_151_i.BLIF \ +pos_clk_un3_ds_030_d0_n.BLIF DTACK_D0_i.BLIF N_150_i.BLIF a_22__n.BLIF \ +SM_AMIGA_6_.BLIF sm_amiga_i_2__n.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF \ +pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_135_i.BLIF a_21__n.BLIF RST_DLY_0_.BLIF \ +cpu_est_i_0__n.BLIF N_252_0.BLIF RST_DLY_1_.BLIF cpu_est_i_3__n.BLIF \ +N_85_i.BLIF a_20__n.BLIF RST_DLY_2_.BLIF cpu_est_i_2__n.BLIF RST_DLY_3_.BLIF \ +cpu_est_i_1__n.BLIF N_38_0.BLIF a_15__n.BLIF RST_DLY_4_.BLIF VPA_D_i.BLIF \ +un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_5_.BLIF VMA_INT_i.BLIF a_14__n.BLIF \ +RST_DLY_6_.BLIF sm_amiga_i_1__n.BLIF un1_rst_dly_i_m_i_3__n.BLIF \ +RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_i.BLIF a_13__n.BLIF \ +pos_clk_un8_bg_030_n.BLIF N_77_i_i.BLIF un1_rst_dly_i_m_i_4__n.BLIF \ +CLK_000_P_SYNC_0_.BLIF un1_rst_dly_i_2__n.BLIF a_12__n.BLIF \ +CLK_000_P_SYNC_1_.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_i_5__n.BLIF \ +CLK_000_P_SYNC_2_.BLIF un1_rst_dly_i_4__n.BLIF a_11__n.BLIF \ +CLK_000_P_SYNC_3_.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_i_6__n.BLIF \ +CLK_000_P_SYNC_4_.BLIF un1_rst_dly_i_6__n.BLIF a_10__n.BLIF \ +CLK_000_P_SYNC_5_.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_i_7__n.BLIF \ +CLK_000_P_SYNC_6_.BLIF un1_rst_dly_i_8__n.BLIF a_9__n.BLIF \ +CLK_000_P_SYNC_7_.BLIF RESET_OUT_i.BLIF un1_rst_dly_i_m_i_8__n.BLIF \ +CLK_000_P_SYNC_8_.BLIF AS_030_D0_i.BLIF a_8__n.BLIF CLK_000_N_SYNC_0_.BLIF \ +AS_030_i.BLIF un3_as_030_i.BLIF CLK_000_N_SYNC_1_.BLIF A1_i.BLIF N_76_i.BLIF \ +a_7__n.BLIF CLK_000_N_SYNC_2_.BLIF CLK_000_D1_i.BLIF N_83_i.BLIF \ +CLK_000_N_SYNC_3_.BLIF sm_amiga_i_i_7__n.BLIF a_6__n.BLIF \ +CLK_000_N_SYNC_4_.BLIF N_248_i.BLIF N_84_i.BLIF CLK_000_N_SYNC_5_.BLIF \ +sm_amiga_i_5__n.BLIF N_115_0.BLIF a_5__n.BLIF CLK_000_N_SYNC_6_.BLIF RW_i.BLIF \ +N_86_i.BLIF CLK_000_N_SYNC_7_.BLIF CLK_000_D0_i.BLIF \ +pos_clk_size_dma_6_0_1__n.BLIF a_4__n.BLIF CLK_000_N_SYNC_8_.BLIF \ +AS_030_000_SYNC_i.BLIF N_87_i.BLIF CLK_000_N_SYNC_9_.BLIF sm_amiga_i_6__n.BLIF \ +pos_clk_size_dma_6_0_0__n.BLIF a_3__n.BLIF CLK_000_N_SYNC_10_.BLIF \ +sm_amiga_i_4__n.BLIF N_88_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +FPU_SENSE_i.BLIF a_2__n.BLIF inst_RW_000_INT.BLIF size_dma_i_0__n.BLIF \ +N_241_0.BLIF inst_RW_000_DMA.BLIF size_dma_i_1__n.BLIF N_242_0.BLIF \ +inst_A0_DMA.BLIF a_i_16__n.BLIF N_243_0.BLIF inst_CLK_030_H.BLIF \ +a_i_18__n.BLIF N_93_i.BLIF SM_AMIGA_1_.BLIF a_i_19__n.BLIF N_94_i.BLIF \ +SM_AMIGA_4_.BLIF a_i_30__n.BLIF N_244_0.BLIF SM_AMIGA_2_.BLIF a_i_31__n.BLIF \ +N_245_0.BLIF pos_clk_un3_as_030_d0_n.BLIF a_i_28__n.BLIF N_246_0.BLIF \ +inst_DS_000_ENABLE.BLIF a_i_29__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF \ +a_i_26__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF a_i_27__n.BLIF \ +N_249_i.BLIF pos_clk_a0_dma_3_n.BLIF a_i_24__n.BLIF N_251_0.BLIF \ +a_i_25__n.BLIF N_71_0.BLIF LDS_000_INT_i.BLIF N_104_i.BLIF N_8.BLIF \ +DS_030_i.BLIF N_137_i.BLIF N_9.BLIF UDS_000_INT_i.BLIF N_10.BLIF N_224_i.BLIF \ +N_160_i.BLIF N_11.BLIF N_225_i.BLIF N_161_i.BLIF N_12.BLIF N_226_i.BLIF \ +N_13.BLIF N_159_i.BLIF N_14.BLIF N_157_i.BLIF N_15.BLIF N_158_i.BLIF N_16.BLIF \ +N_91_i.BLIF N_19.BLIF N_90_i.BLIF N_155_i.BLIF N_20.BLIF \ +un14_amiga_bus_data_dir_i.BLIF N_156_i.BLIF N_21.BLIF N_80_i.BLIF N_23.BLIF \ +un6_lds_000_i.BLIF N_154_i.BLIF N_24.BLIF un6_uds_000_i.BLIF N_152_i.BLIF \ +N_25.BLIF un6_ds_030_i.BLIF N_153_i.BLIF cpu_est_0_0_.BLIF un4_as_000_i.BLIF \ +N_142_0.BLIF AS_000_INT_i.BLIF N_141_0.BLIF un6_as_030_i.BLIF N_138_0.BLIF \ +AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_132_i.BLIF DS_030_D0_i.BLIF \ +un1_as_030_i.BLIF AS_030_c.BLIF pos_clk_un11_ds_030_d0_i_n.BLIF A0_c_i.BLIF \ +AS_000_c.BLIF size_c_i_1__n.BLIF N_25_i.BLIF RW_000_c.BLIF N_32_0.BLIF \ +N_24_i.BLIF DS_030_c.BLIF N_31_0.BLIF N_23_i.BLIF UDS_000_c.BLIF N_30_0.BLIF \ +ipl_c_i_2__n.BLIF LDS_000_c.BLIF N_54_0.BLIF ipl_c_i_1__n.BLIF \ +size_c_0__n.BLIF N_53_0.BLIF ipl_c_i_0__n.BLIF size_c_1__n.BLIF N_52_0.BLIF \ +DTACK_c_i.BLIF N_57_0.BLIF VPA_c_i.BLIF N_56_0.BLIF nEXP_SPACE_c_i.BLIF \ +N_55_0.BLIF N_50_0.BLIF N_8_i.BLIF N_46_0.BLIF N_9_i.BLIF N_45_0.BLIF \ +N_10_i.BLIF SM_AMIGA_i_7_.BLIF N_44_0.BLIF N_115.BLIF N_12_i.BLIF \ +pos_clk_size_dma_6_0__n.BLIF N_43_0.BLIF pos_clk_size_dma_6_1__n.BLIF \ +N_13_i.BLIF G_165.BLIF N_42_0.BLIF G_166.BLIF N_14_i.BLIF G_167.BLIF \ +N_41_0.BLIF un6_uds_000_1.BLIF N_15_i.BLIF N_241.BLIF N_40_0.BLIF N_242.BLIF \ +N_16_i.BLIF N_243.BLIF N_39_0.BLIF N_244.BLIF N_19_i.BLIF N_245.BLIF \ +N_36_0.BLIF N_246.BLIF N_20_i.BLIF N_78.BLIF N_35_0.BLIF N_80.BLIF N_21_i.BLIF \ +N_89.BLIF N_34_0.BLIF N_90.BLIF a_c_16__n.BLIF BG_030_c_i.BLIF N_91.BLIF \ +pos_clk_un6_bg_030_i_n.BLIF N_98.BLIF a_c_17__n.BLIF \ +pos_clk_un8_bg_030_0_n.BLIF N_99.BLIF N_251_0_1.BLIF N_249.BLIF a_c_18__n.BLIF \ +N_121_i_1.BLIF N_248.BLIF pos_clk_cpu_est_11_0_1_3__n.BLIF N_135.BLIF \ +a_c_19__n.BLIF pos_clk_cpu_est_11_0_1_1__n.BLIF N_136.BLIF \ +pos_clk_cpu_est_11_0_2_1__n.BLIF pos_clk_un7_clk_000_d0_n.BLIF N_131_i_1.BLIF \ +un22_berr_1.BLIF N_131_i_2.BLIF N_152.BLIF N_131_i_3.BLIF N_153.BLIF \ +pos_clk_un11_ds_030_d0_i_1_n.BLIF N_154.BLIF un8_ciin_1.BLIF N_155.BLIF \ +un8_ciin_2.BLIF N_141.BLIF un8_ciin_3.BLIF N_156.BLIF un8_ciin_4.BLIF \ +N_157.BLIF a_c_24__n.BLIF un8_ciin_5.BLIF N_138.BLIF un8_ciin_6.BLIF \ +N_158.BLIF a_c_25__n.BLIF un8_ciin_7.BLIF N_159.BLIF un8_ciin_8.BLIF \ +N_160.BLIF a_c_26__n.BLIF N_116_1.BLIF N_142.BLIF N_116_2.BLIF N_161.BLIF \ +a_c_27__n.BLIF N_116_3.BLIF N_132.BLIF N_116_4.BLIF N_104.BLIF a_c_28__n.BLIF \ +un22_berr_1_0.BLIF N_76.BLIF un21_fpu_cs_1.BLIF N_71.BLIF a_c_29__n.BLIF \ +N_123_i_1.BLIF N_251.BLIF N_123_i_2.BLIF N_93.BLIF a_c_30__n.BLIF \ +N_125_i_1.BLIF N_94.BLIF N_127_i_1.BLIF N_88.BLIF a_c_31__n.BLIF \ +N_127_i_2.BLIF N_87.BLIF N_129_i_1.BLIF N_86.BLIF A0_c.BLIF \ +pos_clk_un6_bg_030_1_n.BLIF N_84.BLIF pos_clk_un7_clk_000_d0_1_n.BLIF \ +N_83.BLIF A1_c.BLIF RESET_OUT_0_sqmuxa_7_1.BLIF N_116.BLIF \ +RESET_OUT_0_sqmuxa_7_2.BLIF G_149.BLIF nEXP_SPACE_c.BLIF \ +RESET_OUT_0_sqmuxa_7_3.BLIF G_147.BLIF RESET_OUT_0_sqmuxa_5_1.BLIF N_213.BLIF \ +BERR_c.BLIF N_135_i_1.BLIF G_145.BLIF pos_clk_un27_clk_000_ne_d0_1_n.BLIF \ +N_211.BLIF BG_030_c.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF G_143.BLIF \ +pos_clk_un27_clk_000_ne_d0_3_n.BLIF N_209.BLIF BG_000DFFreg.BLIF \ +pos_clk_un5_clk_000_pe_1_n.BLIF G_141.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ +G_139.BLIF pos_clk_un5_clk_000_pe_3_n.BLIF N_205.BLIF BGACK_000_c.BLIF \ +pos_clk_un9_clk_000_ne_1_n.BLIF G_137.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ +RESET_OUT_0_sqmuxa_1.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ +RESET_OUT_0_sqmuxa.BLIF pos_clk_un9_clk_000_ne_4_n.BLIF \ +RESET_OUT_0_sqmuxa_7.BLIF N_196_1.BLIF RESET_OUT_0_sqmuxa_5.BLIF \ +CLK_OSZI_c.BLIF N_195_1.BLIF un1_rst_dly_i_m_8__n.BLIF \ +pos_clk_un24_bgack_030_int_1_n.BLIF un1_rst_dly_i_m_7__n.BLIF N_165_1.BLIF \ +un1_rst_dly_i_m_6__n.BLIF CLK_EXP_c.BLIF N_165_2.BLIF \ +un1_rst_dly_i_m_5__n.BLIF N_165_3.BLIF un1_rst_dly_i_m_4__n.BLIF N_163_1.BLIF \ +un1_rst_dly_i_m_3__n.BLIF FPU_SENSE_c.BLIF N_162_1.BLIF \ +un1_rst_dly_i_m_2__n.BLIF N_176_1_0.BLIF N_38.BLIF IPL_030DFF_0_reg.BLIF \ +DS_000_DMA_2_sqmuxa_1.BLIF N_85.BLIF N_119_i_1.BLIF \ +pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF IPL_030DFF_1_reg.BLIF N_115_0_1.BLIF \ +N_252.BLIF pos_clk_ipl_1_n.BLIF N_97.BLIF IPL_030DFF_2_reg.BLIF \ +as_000_dma_0_un3_n.BLIF pos_clk_un27_clk_000_ne_d0_n.BLIF \ +as_000_dma_0_un1_n.BLIF N_199_1.BLIF ipl_c_0__n.BLIF as_000_dma_0_un0_n.BLIF \ +pos_clk_un5_clk_000_pe_n.BLIF ds_000_dma_0_un3_n.BLIF \ +pos_clk_un9_clk_000_ne_n.BLIF ipl_c_1__n.BLIF ds_000_dma_0_un1_n.BLIF \ +N_150.BLIF ds_000_dma_0_un0_n.BLIF N_151.BLIF ipl_c_2__n.BLIF \ +vma_int_0_un3_n.BLIF N_199.BLIF vma_int_0_un1_n.BLIF N_196.BLIF \ +vma_int_0_un0_n.BLIF N_195.BLIF DTACK_c.BLIF \ +sm_amiga_srsts_i_0_m2_1__un3_n.BLIF N_188.BLIF \ +sm_amiga_srsts_i_0_m2_1__un1_n.BLIF pos_clk_cpu_est_11_3__n.BLIF \ +sm_amiga_srsts_i_0_m2_1__un0_n.BLIF N_197.BLIF cpu_est_0_3__un3_n.BLIF \ +N_198.BLIF VPA_c.BLIF cpu_est_0_3__un1_n.BLIF pos_clk_cpu_est_11_1__n.BLIF \ +cpu_est_0_3__un0_n.BLIF N_194.BLIF cpu_est_0_2__un3_n.BLIF N_192.BLIF \ +RST_c.BLIF cpu_est_0_2__un1_n.BLIF N_191.BLIF cpu_est_0_2__un0_n.BLIF \ +AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF DS_030.PIN.BLIF \ +UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ +A0.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E \ VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_030DFF_2_reg.D \ -IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ -IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C \ -SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D \ -SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C \ -SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D \ -cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C \ -IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C \ -CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D \ -CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C RST_DLY_0_.D \ -RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C RST_DLY_3_.D \ -RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C RST_DLY_6_.D \ -RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ -CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ -SIZE_DMA_1_.C CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ -CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C \ -CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_9_.D \ -CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_2_.D \ -CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C \ -CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_5_.D \ -CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_8_.D \ -CLK_000_N_SYNC_8_.C CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_2_.D \ -CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C inst_CLK_030_H.D inst_CLK_030_H.C \ -inst_RESET_OUTreg.D inst_RESET_OUTreg.C inst_DS_000_ENABLE.D \ -inst_DS_000_ENABLE.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_RW_000_DMA.D \ -inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C inst_LDS_000_INT.D \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ IPL_D0_2_.D IPL_D0_2_.C \ +SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ +SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C \ +SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D \ +SM_AMIGA_0_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D \ +cpu_est_2_.C cpu_est_3_reg.D cpu_est_3_reg.C IPL_030DFF_0_reg.D \ +IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D \ +IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C \ +RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ +RST_DLY_3_.D RST_DLY_3_.C RST_DLY_4_.D RST_DLY_4_.C RST_DLY_5_.D RST_DLY_5_.C \ +RST_DLY_6_.D RST_DLY_6_.C RST_DLY_7_.D RST_DLY_7_.C CYCLE_DMA_0_.D \ +CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C \ +SIZE_DMA_1_.D SIZE_DMA_1_.C CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C \ +CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_N_SYNC_0_.D \ +CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C \ +CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_3_.D \ +CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C \ +CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_6_.D \ +CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ +CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_9_.D \ +CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ +CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_P_SYNC_0_.D \ +CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C \ +CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_3_.D \ +CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C \ +CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_6_.D \ +CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C inst_LDS_000_INT.D \ inst_LDS_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ inst_AS_000_INT.D inst_AS_000_INT.C inst_DSACK1_INTreg.D inst_DSACK1_INTreg.C \ inst_A0_DMA.D inst_A0_DMA.C inst_AS_000_DMA.D inst_AS_000_DMA.C \ inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_030_D0.D inst_DS_030_D0.C \ inst_AS_030_D0.D inst_AS_030_D0.C inst_nEXP_SPACE_D0reg.D \ inst_nEXP_SPACE_D0reg.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D \ -inst_DTACK_D0.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C BG_000DFFreg.D \ -BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_VMA_INTreg.D inst_VMA_INTreg.C \ +inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUTreg.D \ +inst_RESET_OUTreg.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ +inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C BG_000DFFreg.D BG_000DFFreg.C \ +inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ +inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C \ +inst_VMA_INTreg.D inst_VMA_INTreg.C inst_UDS_000_INT.D inst_UDS_000_INT.C \ +inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_INT.D inst_RW_000_INT.C \ inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_CLK_000_PE.D \ inst_CLK_000_PE.C inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_OUT_PRE_50.D \ inst_CLK_OUT_PRE_50.C inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_NE_D0.D \ inst_CLK_000_NE_D0.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C \ inst_CLK_000_D0.D inst_CLK_000_D0.C SIZE_1_ AS_030 AS_000 RW_000 DS_030 \ -UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_310 un1_rst_dly_i_m_i_5__n \ -sm_amiga_srsts_i_0_m2_3__un0_n N_220 sm_amiga_srsts_i_0_m2_1__un3_n \ -pos_clk_cpu_est_11_1__n un1_rst_dly_i_m_i_6__n sm_amiga_srsts_i_0_m2_1__un1_n \ -N_14 sm_amiga_srsts_i_0_m2_1__un0_n N_18 un1_rst_dly_i_m_i_7__n \ -un1_amiga_bus_enable_dma_high_0_m2_0__un3_n N_22 \ -un1_amiga_bus_enable_dma_high_0_m2_0__un1_n RESET_OUT_0_sqmuxa_1 \ -un1_rst_dly_i_m_i_8__n un1_amiga_bus_enable_dma_high_0_m2_0__un0_n vcc_n_n \ -N_205 un1_sm_amiga_7_i_m2_un3_n N_213 un1_rst_dly_i_m_i_2__n \ -un1_sm_amiga_7_i_m2_un1_n un1_sm_amiga_7_i_m2_un0_n N_105 N_98_i \ -size_dma_0_0__un3_n gnd_n_n N_98 size_dma_0_0__un1_n un1_amiga_bus_enable_low \ -RESET_OUT_0_sqmuxa N_105_i size_dma_0_0__un0_n un6_as_030 un1_rst_dly_i_m_2__n \ -size_dma_0_1__un3_n un3_size N_22_i size_dma_0_1__un1_n un4_size \ -un1_rst_dly_i_m_8__n N_33_0 size_dma_0_1__un0_n un5_ciin N_18_i \ -ipl_030_0_0__un3_n un4_as_000 RESET_OUT_0_sqmuxa_5 N_37_0 ipl_030_0_0__un1_n \ -un21_fpu_cs RESET_OUT_0_sqmuxa_7 N_14_i ipl_030_0_0__un0_n un22_berr N_41_0 \ -ipl_030_0_1__un3_n un6_ds_030 N_10_i ipl_030_0_1__un1_n un6_uds_000 N_211 \ -N_44_0 ipl_030_0_1__un0_n un6_lds_000 pos_clk_cpu_est_11_0_1__n \ -ipl_030_0_2__un3_n N_209 N_312_i ipl_030_0_2__un1_n N_90_i ipl_030_0_2__un0_n \ -N_88_i amiga_bus_enable_dma_high_0_un3_n un1_rst_dly_i_m_7__n N_299_i \ -amiga_bus_enable_dma_high_0_un1_n un1_rst_dly_i_m_6__n N_275_0 \ -amiga_bus_enable_dma_high_0_un0_n un1_rst_dly_i_m_5__n N_274_0 bg_000_0_un3_n \ -un1_rst_dly_i_m_4__n N_273_i bg_000_0_un1_n un1_rst_dly_i_m_3__n N_272_i \ -bg_000_0_un0_n N_71_i N_270_i ds_000_dma_0_un3_n un1_amiga_bus_enable_low_i \ -N_268_i ds_000_dma_0_un1_n un21_fpu_cs_i N_310_i ds_000_dma_0_un0_n \ -RESET_OUT_i N_311_i as_000_dma_0_un3_n BGACK_030_INT_i N_267_0 \ -as_000_dma_0_un1_n RESET_OUT_0_sqmuxa_i N_309_i as_000_dma_0_un0_n \ -un1_rst_dly_i_3__n N_308_i a0_dma_0_un3_n un1_rst_dly_i_4__n \ -pos_clk_un7_clk_000_pe_0_n a0_dma_0_un1_n un1_rst_dly_i_5__n N_264_0 \ -a0_dma_0_un0_n un1_rst_dly_i_6__n N_304_i dsack1_int_0_un3_n \ -un1_rst_dly_i_7__n N_303_i dsack1_int_0_un1_n un1_rst_dly_i_8__n N_186_i \ -dsack1_int_0_un0_n un1_rst_dly_i_2__n VPA_c_i as_000_int_0_un3_n N_87_i_i \ -N_56_0 as_000_int_0_un1_n cpu_est_i_3__n DTACK_c_i as_000_int_0_un0_n \ -cpu_est_i_0__n N_57_0 ds_000_enable_0_un3_n VPA_D_i N_97_i \ -ds_000_enable_0_un1_n VMA_INT_i ds_000_enable_0_un0_n cpu_est_i_1__n N_96_i \ -as_030_000_sync_0_un3_n CLK_000_PE_i N_95_i as_030_000_sync_0_un1_n BERR_i \ -N_94_i as_030_000_sync_0_un0_n sm_amiga_i_4__n N_313_i lds_000_int_0_un3_n \ -cpu_est_i_2__n N_136_i lds_000_int_0_un1_n sm_amiga_i_5__n N_81_0 \ -lds_000_int_0_un0_n DTACK_D0_i N_116_i rw_000_dma_0_un3_n sm_amiga_i_0__n \ -N_77_i rw_000_dma_0_un1_n pos_clk_un6_bg_030_n sm_amiga_i_3__n N_73_i \ -rw_000_dma_0_un0_n CLK_000_NE_i N_101_i uds_000_int_0_un3_n sm_amiga_i_6__n \ -uds_000_int_0_un1_n sm_amiga_i_1__n clk_000_n_sync_i_10__n uds_000_int_0_un0_n \ -CLK_OUT_PRE_D_i pos_clk_un9_clk_000_n_sync_i_n \ -amiga_bus_enable_dma_low_0_un3_n pos_clk_ipl_n pos_clk_un11_clk_000_n_sync_i_n \ -pos_clk_un14_clk_000_n_sync_0_n amiga_bus_enable_dma_low_0_un1_n \ -pos_clk_un3_ds_030_d0_n LDS_000_i pos_clk_un22_bgack_030_int_i_n \ -amiga_bus_enable_dma_low_0_un0_n UDS_000_i N_86_i a_15__n \ -AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa nEXP_SPACE_D0_i N_93_i sm_amiga_i_2__n \ -a_14__n AS_030_i N_99_i A1_i pos_clk_size_dma_6_0_1__n a_13__n CLK_000_D1_i \ -N_100_i RW_000_i pos_clk_size_dma_6_0_0__n a_12__n CLK_030_H_i N_245_0 \ -AS_000_DMA_i N_108_i a_11__n AS_000_i N_109_i pos_clk_un8_bg_030_n \ -sm_amiga_i_i_7__n N_246_0 a_10__n RW_i un5_ciin_i AMIGA_BUS_ENABLE_DMA_HIGH_i \ -N_247_0 a_9__n FPU_SENSE_i N_248_0 AS_030_D0_i CLK_000_D0_i a_8__n a_i_24__n \ -N_249_i size_dma_i_0__n AS_030_000_SYNC_i a_7__n size_dma_i_1__n N_251_0 \ -a_i_16__n pos_clk_un3_as_030_d0_i_n a_6__n a_i_18__n \ -pos_clk_un5_bgack_030_int_d_i_n a_i_19__n N_75_i a_5__n a_i_31__n N_76_i \ -a_i_29__n N_78_0 a_4__n a_i_30__n N_80_0 a_i_27__n CLK_EXP_c_i a_3__n \ -a_i_28__n N_258_0 a_i_25__n N_283_i a_2__n a_i_26__n N_284_i UDS_000_INT_i \ -LDS_000_INT_i N_290_i DS_030_i N_291_i pos_clk_un5_bgack_030_int_d_n N_224_i \ -N_225_i N_279_i N_226_i N_293_i N_82_i N_83_i N_104_i N_259_0 N_103_i N_84_i \ -pos_clk_un3_as_030_d0_n N_282_i N_115_0 N_92_i N_85_i AS_000_INT_1_sqmuxa \ -un6_lds_000_i N_294_i DS_000_ENABLE_1_sqmuxa_1 un6_uds_000_i N_296_i \ -pos_clk_a0_dma_3_n un6_ds_030_i pos_clk_cpu_est_11_0_3__n \ -pos_clk_ds_000_dma_4_n DS_000_DMA_i N_91_i N_3 un4_as_000_i N_260_0 \ -AS_000_INT_i N_301_i un6_as_030_i pos_clk_ds_000_dma_4_0_n N_6 \ -AMIGA_BUS_ENABLE_DMA_LOW_i N_305_i N_8 DS_030_D0_i N_306_i N_9 AS_030_c \ -AMIGA_BUS_DATA_DIR_c_0 N_10 N_307_i N_11 AS_000_c N_12 N_13 RW_000_c N_15 \ -N_265_0 N_16 DS_030_c N_269_i N_19 pos_clk_un24_bgack_030_int_i_0_i_n N_20 \ -UDS_000_c N_62_0 N_21 N_276_0 N_23 LDS_000_c N_277_0 N_24 N_286_i N_25 \ -size_c_0__n N_288_i N_289_i size_c_1__n pos_clk_un11_ds_030_d0_i_n A0_c_i \ -size_c_i_1__n N_25_i N_32_0 N_24_i N_31_0 N_23_i N_30_0 ipl_c_i_2__n N_54_0 \ -ipl_c_i_1__n N_53_0 ipl_c_i_0__n N_52_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_3_i \ -N_49_0 N_6_i N_48_0 N_8_i N_46_0 N_9_i N_45_0 N_12_i N_43_0 N_115 N_13_i \ -pos_clk_size_dma_6_0__n a_c_16__n N_42_0 pos_clk_size_dma_6_1__n N_15_i \ -pos_clk_cpu_est_11_3__n a_c_17__n N_40_0 N_16_i a_c_18__n N_39_0 N_19_i \ -un6_uds_000_1 a_c_19__n N_36_0 pos_clk_un24_bgack_030_int_i_0_n N_20_i N_245 \ -a_c_20__n N_35_0 N_246 N_21_i N_247 a_c_21__n N_34_0 N_248 BG_030_c_i N_89 \ -a_c_22__n pos_clk_un6_bg_030_i_n N_92 pos_clk_un8_bg_030_0_n N_102 a_c_23__n \ -N_127_i_1 N_103 N_127_i_2 N_104 a_c_24__n pos_clk_un24_bgack_030_int_i_0_i_1_n \ -N_112 N_80_0_1 N_256 a_c_25__n N_75_i_1 N_258 N_251_0_1 a_c_26__n \ -pos_clk_un11_ds_030_d0_i_1_n N_260 N_340_1 N_265 a_c_27__n N_340_2 N_282 \ -N_340_3 N_71 a_c_28__n N_340_4 un5_ciin_1 pos_clk_un11_clk_000_n_sync_n \ -a_c_29__n un5_ciin_2 N_76 un5_ciin_3 a_c_30__n un5_ciin_4 un5_ciin_5 a_c_31__n \ -un5_ciin_6 pos_clk_un22_bgack_030_int_n un5_ciin_7 N_268 A0_c un5_ciin_8 N_270 \ -un5_ciin_9 N_73 A1_c un5_ciin_10 N_75 un5_ciin_11 N_251 nEXP_SPACE_c \ -un22_berr_1_0 un22_berr_1 un21_fpu_cs_1 N_95 BERR_c pos_clk_un6_bg_030_1_n \ -N_94 N_131_i_1 N_288 BG_030_c N_131_i_2 N_289 N_131_i_3 N_286 N_96_1 N_279 \ -N_96_2 N_277 N_96_3 N_276 BGACK_000_c pos_clk_cpu_est_11_0_1_1__n N_62 \ -pos_clk_cpu_est_11_0_2_1__n N_274 N_310_1 N_313 N_310_2 N_307 N_310_3 N_305 \ -CLK_OSZI_c N_310_4 N_306 N_309_1 N_303 N_309_2 N_304 CLK_EXP_c N_308_1 N_301 \ -N_308_2 N_91 RESET_OUT_0_sqmuxa_5_1 N_85 FPU_SENSE_c RESET_OUT_0_sqmuxa_7_1 \ -N_294 RESET_OUT_0_sqmuxa_7_2 N_296 RESET_OUT_0_sqmuxa_7_3 N_84 N_94_1 N_82 \ -N_95_1 N_83 N_119_i_1 N_293 N_82_1 N_290 N_83_1 N_291 ipl_c_0__n N_296_1 N_283 \ -N_303_1 N_284 ipl_c_1__n N_304_1 N_86 N_306_1 N_80 ipl_c_2__n N_129_i_1 N_78 \ -N_125_i_1 N_108 N_123_i_1 N_109 DTACK_c N_115_0_1 N_100 \ -pos_clk_cpu_est_11_0_1_3__n N_99 N_260_0_1 N_93 N_261_i_1 \ -pos_clk_un14_clk_000_n_sync_n VPA_c N_262_i_1 pos_clk_un9_clk_000_n_sync_n \ -N_263_i_1 N_340 pos_clk_ipl_1_n N_97 RST_c cpu_est_0_3__un3_n N_136 \ -cpu_est_0_3__un1_n N_101 cpu_est_0_3__un0_n N_81 RW_c cpu_est_0_2__un3_n N_116 \ -cpu_est_0_2__un1_n N_96 fc_c_0__n cpu_est_0_2__un0_n N_113 cpu_est_0_1__un3_n \ -N_275 fc_c_1__n cpu_est_0_1__un1_n N_273 cpu_est_0_1__un0_n N_88 \ -bgack_030_int_0_un3_n N_272 AMIGA_BUS_DATA_DIR_c bgack_030_int_0_un1_n N_299 \ -bgack_030_int_0_un0_n N_90 vma_int_0_un3_n N_311 vma_int_0_un1_n N_312 \ -vma_int_0_un0_n N_267 un1_as_000_i rw_000_int_0_un3_n N_264 \ -un1_rst_dly_i_m_i_3__n rw_000_int_0_un1_n pos_clk_un7_clk_000_pe_n \ -rw_000_int_0_un0_n N_308 un1_rst_dly_i_m_i_4__n sm_amiga_srsts_i_0_m2_3__un3_n \ -N_309 sm_amiga_srsts_i_0_m2_3__un1_n AS_030.OE AS_000.OE RW_000.OE DS_030.OE \ -UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE \ -DSACK1.OE CIIN.OE pos_clk_RST_DLY_5_iv_0_x2_0_ G_137 G_149 G_147 G_145 G_143 \ -G_141 G_139 G_165 G_166 G_167 cpu_est_0_0_x2_0_ pos_clk_CYCLE_DMA_5_1_i_x2 \ -pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 -.names N_32_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names N_52_0.BLIF IPL_D0_0_.D -0 1 -.names N_53_0.BLIF IPL_D0_1_.D -0 1 +UDS_000 LDS_000 A0 BERR RW SIZE_0_ N_193 cpu_est_0_1__un3_n N_190 RW_c \ +cpu_est_0_1__un1_n N_189 cpu_est_0_1__un0_n N_140 fc_c_0__n \ +bgack_030_int_0_un3_n pos_clk_un29_clk_000_ne_d0_n bgack_030_int_0_un1_n \ +pos_clk_un23_clk_000_ne_d0_n fc_c_1__n bgack_030_int_0_un0_n \ +pos_clk_un21_clk_000_ne_d0_n un1_amiga_bus_enable_dma_high_i_m4_0__un3_n \ +vcc_n_n pos_clk_un7_clk_000_pe_n un1_amiga_bus_enable_dma_high_i_m4_0__un1_n \ +N_18 AMIGA_BUS_DATA_DIR_c un1_amiga_bus_enable_dma_high_i_m4_0__un0_n N_22 \ +ds_000_enable_1_sqmuxa_1_i_m4_un3_n pos_clk_un11_clk_000_n_sync_n \ +ds_000_enable_1_sqmuxa_1_i_m4_un1_n gnd_n_n pos_clk_un9_clk_000_n_sync_n \ +ds_000_enable_1_sqmuxa_1_i_m4_un0_n un1_amiga_bus_enable_low \ +pos_clk_un14_clk_000_n_sync_n size_dma_0_0__un3_n un6_as_030 \ +pos_clk_un22_bgack_030_int_n N_6_i size_dma_0_0__un1_n un3_size N_48_0 \ +size_dma_0_0__un0_n un4_size N_220 N_3_i size_dma_0_1__un3_n un8_ciin N_49_0 \ +size_dma_0_1__un1_n un14_amiga_bus_data_dir pos_clk_un40_bgack_030_int_1_n \ +pos_clk_un29_bgack_030_int_i_n size_dma_0_1__un0_n un4_as_000 \ +CLK_030_H_0_sqmuxa pos_clk_un26_bgack_030_int_i_n ipl_030_0_0__un3_n \ +un21_fpu_cs AS_000_DMA_1_sqmuxa pos_clk_un27_bgack_030_int_0_n \ +ipl_030_0_0__un1_n un22_berr pos_clk_un24_bgack_030_int_n CLK_030_H_0_sqmuxa_i \ +ipl_030_0_0__un0_n un6_ds_030 pos_clk_un27_bgack_030_int_n N_7_i \ +ipl_030_0_1__un3_n un6_uds_000 N_176_1 N_47_0 ipl_030_0_1__un1_n un6_lds_000 \ +N_165 N_133_i ipl_030_0_1__un0_n N_133 N_176_i ipl_030_0_2__un3_n N_163 \ +N_175_i ipl_030_0_2__un1_n N_162 AMIGA_BUS_DATA_DIR_c_0 ipl_030_0_2__un0_n \ +N_164 pos_clk_ds_000_dma_4_f1_0_n dsack1_int_0_un3_n N_176 N_162_i \ +dsack1_int_0_un1_n DS_000_DMA_2_sqmuxa N_163_i dsack1_int_0_un0_n \ +pos_clk_ds_000_dma_4_n N_164_i as_000_int_0_un3_n DS_000_DMA_0_sqmuxa N_165_i \ +as_000_int_0_un1_n pos_clk_ds_000_dma_4_f1_n as_000_int_0_un0_n N_175 \ +pos_clk_un22_bgack_030_int_0_n ds_000_enable_0_un3_n N_47 \ +pos_clk_un9_clk_000_n_sync_i_n ds_000_enable_0_un1_n N_7 \ +clk_000_n_sync_i_10__n ds_000_enable_0_un0_n un1_rst_2 \ +pos_clk_un14_clk_000_n_sync_0_n as_030_000_sync_0_un3_n \ +pos_clk_un26_bgack_030_int_n N_22_i as_030_000_sync_0_un1_n \ +pos_clk_un29_bgack_030_int_n N_33_0 as_030_000_sync_0_un0_n N_3 N_18_i \ +lds_000_int_0_un3_n N_6 N_37_0 lds_000_int_0_un1_n un1_amiga_bus_enable_low_i \ +pos_clk_un9_clk_000_ne_i_n lds_000_int_0_un0_n un21_fpu_cs_i \ +pos_clk_un5_clk_000_pe_i_n rw_000_int_0_un3_n AS_000_i \ +pos_clk_un7_clk_000_pe_0_n rw_000_int_0_un1_n DS_000_DMA_i \ +pos_clk_un27_clk_000_ne_d0_i_n rw_000_int_0_un0_n \ +pos_clk_un24_bgack_030_int_i_n pos_clk_un21_clk_000_ne_d0_i_n \ +rw_000_dma_0_un3_n cycle_dma_i_1__n pos_clk_un23_clk_000_ne_d0_0_n \ +rw_000_dma_0_un1_n cycle_dma_i_0__n N_136_i rw_000_dma_0_un0_n AS_000_DMA_i \ +N_140_0 uds_000_int_0_un3_n CLK_EXP_i N_195_i uds_000_int_0_un1_n BERR_i \ +N_196_i uds_000_int_0_un0_n RW_000_i N_186_i amiga_bus_enable_dma_low_0_un3_n \ +DS_000_DMA_0_sqmuxa_i N_188_i amiga_bus_enable_dma_low_0_un1_n \ +pos_clk_un40_bgack_030_int_1_i_n N_189_i amiga_bus_enable_dma_low_0_un0_n \ +BGACK_030_INT_i N_190_i amiga_bus_enable_dma_high_0_un3_n nEXP_SPACE_D0_i \ +N_193_i amiga_bus_enable_dma_high_0_un1_n CLK_000_PE_i N_191_i \ +amiga_bus_enable_dma_high_0_un0_n CLK_000_NE_i N_192_i bg_000_0_un3_n \ +pos_clk_un6_bg_030_n sm_amiga_i_3__n N_194_i bg_000_0_un1_n sm_amiga_i_0__n \ +pos_clk_cpu_est_11_0_1__n bg_000_0_un0_n pos_clk_un7_clk_000_d0_i_n N_198_i \ +a0_dma_0_un3_n UDS_000_i N_197_i a0_dma_0_un1_n LDS_000_i N_199_i \ +a0_dma_0_un0_n pos_clk_clk_000_n_sync_2_0__n pos_clk_un11_clk_000_n_sync_i_n \ +pos_clk_cpu_est_11_0_3__n a_23__n pos_clk_ipl_n CLK_OUT_PRE_D_i N_151_i \ +pos_clk_un3_ds_030_d0_n DTACK_D0_i N_150_i a_22__n sm_amiga_i_2__n \ +AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa pos_clk_un29_clk_000_ne_d0_i_n N_135_i \ +a_21__n cpu_est_i_0__n N_252_0 cpu_est_i_3__n N_85_i a_20__n cpu_est_i_2__n \ +cpu_est_i_1__n N_38_0 a_15__n VPA_D_i un1_rst_dly_i_m_i_2__n VMA_INT_i a_14__n \ +sm_amiga_i_1__n un1_rst_dly_i_m_i_3__n RESET_OUT_0_sqmuxa_i a_13__n \ +pos_clk_un8_bg_030_n N_77_i_i un1_rst_dly_i_m_i_4__n un1_rst_dly_i_2__n \ +a_12__n un1_rst_dly_i_3__n un1_rst_dly_i_m_i_5__n un1_rst_dly_i_4__n a_11__n \ +un1_rst_dly_i_5__n un1_rst_dly_i_m_i_6__n un1_rst_dly_i_6__n a_10__n \ +un1_rst_dly_i_7__n un1_rst_dly_i_m_i_7__n un1_rst_dly_i_8__n a_9__n \ +RESET_OUT_i un1_rst_dly_i_m_i_8__n AS_030_D0_i a_8__n AS_030_i un3_as_030_i \ +A1_i N_76_i a_7__n CLK_000_D1_i N_83_i sm_amiga_i_i_7__n a_6__n N_248_i N_84_i \ +sm_amiga_i_5__n N_115_0 a_5__n RW_i N_86_i CLK_000_D0_i \ +pos_clk_size_dma_6_0_1__n a_4__n AS_030_000_SYNC_i N_87_i sm_amiga_i_6__n \ +pos_clk_size_dma_6_0_0__n a_3__n sm_amiga_i_4__n N_88_i \ +pos_clk_un5_bgack_030_int_d_n FPU_SENSE_i a_2__n size_dma_i_0__n N_241_0 \ +size_dma_i_1__n N_242_0 a_i_16__n N_243_0 a_i_18__n N_93_i a_i_19__n N_94_i \ +a_i_30__n N_244_0 a_i_31__n N_245_0 pos_clk_un3_as_030_d0_n a_i_28__n N_246_0 \ +a_i_29__n pos_clk_un3_as_030_d0_i_n a_i_26__n pos_clk_un5_bgack_030_int_d_i_n \ +a_i_27__n N_249_i pos_clk_a0_dma_3_n a_i_24__n N_251_0 a_i_25__n N_71_0 \ +LDS_000_INT_i N_104_i N_8 DS_030_i N_137_i N_9 UDS_000_INT_i N_10 N_224_i \ +N_160_i N_11 N_225_i N_161_i N_12 N_226_i N_13 N_159_i N_14 N_157_i N_15 \ +N_158_i N_16 N_91_i N_19 N_90_i N_155_i N_20 un14_amiga_bus_data_dir_i N_156_i \ +N_21 N_80_i N_23 un6_lds_000_i N_154_i N_24 un6_uds_000_i N_152_i N_25 \ +un6_ds_030_i N_153_i un4_as_000_i N_142_0 AS_000_INT_i N_141_0 un6_as_030_i \ +N_138_0 AMIGA_BUS_ENABLE_DMA_LOW_i N_132_i DS_030_D0_i un1_as_030_i AS_030_c \ +pos_clk_un11_ds_030_d0_i_n A0_c_i AS_000_c size_c_i_1__n N_25_i RW_000_c \ +N_32_0 N_24_i DS_030_c N_31_0 N_23_i UDS_000_c N_30_0 ipl_c_i_2__n LDS_000_c \ +N_54_0 ipl_c_i_1__n size_c_0__n N_53_0 ipl_c_i_0__n size_c_1__n N_52_0 \ +DTACK_c_i N_57_0 VPA_c_i N_56_0 nEXP_SPACE_c_i N_55_0 N_50_0 N_8_i N_46_0 \ +N_9_i N_45_0 N_10_i N_44_0 N_115 N_12_i pos_clk_size_dma_6_0__n N_43_0 \ +pos_clk_size_dma_6_1__n N_13_i N_42_0 N_14_i N_41_0 un6_uds_000_1 N_15_i N_241 \ +N_40_0 N_242 N_16_i N_243 N_39_0 N_244 N_19_i N_245 N_36_0 N_246 N_20_i N_78 \ +N_35_0 N_80 N_21_i N_89 N_34_0 N_90 a_c_16__n BG_030_c_i N_91 \ +pos_clk_un6_bg_030_i_n N_98 a_c_17__n pos_clk_un8_bg_030_0_n N_99 N_251_0_1 \ +N_249 a_c_18__n N_121_i_1 N_248 pos_clk_cpu_est_11_0_1_3__n N_135 a_c_19__n \ +pos_clk_cpu_est_11_0_1_1__n N_136 pos_clk_cpu_est_11_0_2_1__n \ +pos_clk_un7_clk_000_d0_n N_131_i_1 un22_berr_1 N_131_i_2 N_152 N_131_i_3 N_153 \ +pos_clk_un11_ds_030_d0_i_1_n N_154 un8_ciin_1 N_155 un8_ciin_2 N_141 \ +un8_ciin_3 N_156 un8_ciin_4 N_157 a_c_24__n un8_ciin_5 N_138 un8_ciin_6 N_158 \ +a_c_25__n un8_ciin_7 N_159 un8_ciin_8 N_160 a_c_26__n N_116_1 N_142 N_116_2 \ +N_161 a_c_27__n N_116_3 N_132 N_116_4 N_104 a_c_28__n un22_berr_1_0 N_76 \ +un21_fpu_cs_1 N_71 a_c_29__n N_123_i_1 N_251 N_123_i_2 N_93 a_c_30__n \ +N_125_i_1 N_94 N_127_i_1 N_88 a_c_31__n N_127_i_2 N_87 N_129_i_1 N_86 A0_c \ +pos_clk_un6_bg_030_1_n N_84 pos_clk_un7_clk_000_d0_1_n N_83 A1_c \ +RESET_OUT_0_sqmuxa_7_1 N_116 RESET_OUT_0_sqmuxa_7_2 nEXP_SPACE_c \ +RESET_OUT_0_sqmuxa_7_3 RESET_OUT_0_sqmuxa_5_1 N_213 BERR_c N_135_i_1 \ +pos_clk_un27_clk_000_ne_d0_1_n N_211 BG_030_c pos_clk_un27_clk_000_ne_d0_2_n \ +pos_clk_un27_clk_000_ne_d0_3_n N_209 pos_clk_un5_clk_000_pe_1_n \ +pos_clk_un5_clk_000_pe_2_n pos_clk_un5_clk_000_pe_3_n N_205 BGACK_000_c \ +pos_clk_un9_clk_000_ne_1_n pos_clk_un9_clk_000_ne_2_n RESET_OUT_0_sqmuxa_1 \ +pos_clk_un9_clk_000_ne_3_n RESET_OUT_0_sqmuxa pos_clk_un9_clk_000_ne_4_n \ +RESET_OUT_0_sqmuxa_7 N_196_1 RESET_OUT_0_sqmuxa_5 CLK_OSZI_c N_195_1 \ +un1_rst_dly_i_m_8__n pos_clk_un24_bgack_030_int_1_n un1_rst_dly_i_m_7__n \ +N_165_1 un1_rst_dly_i_m_6__n CLK_EXP_c N_165_2 un1_rst_dly_i_m_5__n N_165_3 \ +un1_rst_dly_i_m_4__n N_163_1 un1_rst_dly_i_m_3__n FPU_SENSE_c N_162_1 \ +un1_rst_dly_i_m_2__n N_176_1_0 N_38 DS_000_DMA_2_sqmuxa_1 N_85 N_119_i_1 \ +N_115_0_1 N_252 pos_clk_ipl_1_n N_97 as_000_dma_0_un3_n \ +pos_clk_un27_clk_000_ne_d0_n as_000_dma_0_un1_n N_199_1 ipl_c_0__n \ +as_000_dma_0_un0_n pos_clk_un5_clk_000_pe_n ds_000_dma_0_un3_n \ +pos_clk_un9_clk_000_ne_n ipl_c_1__n ds_000_dma_0_un1_n N_150 \ +ds_000_dma_0_un0_n N_151 ipl_c_2__n vma_int_0_un3_n N_199 vma_int_0_un1_n \ +N_196 vma_int_0_un0_n N_195 DTACK_c sm_amiga_srsts_i_0_m2_1__un3_n N_188 \ +sm_amiga_srsts_i_0_m2_1__un1_n pos_clk_cpu_est_11_3__n \ +sm_amiga_srsts_i_0_m2_1__un0_n N_197 cpu_est_0_3__un3_n N_198 VPA_c \ +cpu_est_0_3__un1_n pos_clk_cpu_est_11_1__n cpu_est_0_3__un0_n N_194 \ +cpu_est_0_2__un3_n N_192 RST_c cpu_est_0_2__un1_n N_191 cpu_est_0_2__un0_n \ +AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE \ +SIZE_1_.OE A0.OE BERR.OE RW.OE CLK_DIV_OUT.OE DSACK1.OE CIIN.OE G_161 G_159 \ +cpu_est_0_0_ G_165 G_166 G_167 G_149 G_147 G_145 G_143 G_141 G_139 G_137 \ +pos_clk_RST_DLY_5_iv_0_x2_0_ .names N_54_0.BLIF IPL_D0_2_.D 0 1 -.names N_131_i_3.BLIF N_96_i.BLIF SM_AMIGA_i_7_.D +.names N_131_i_3.BLIF N_165_i.BLIF SM_AMIGA_i_7_.D 11 1 .names N_129_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D 11 1 @@ -492,13 +523,13 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 11 1 .names N_125_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D 11 1 -.names N_123_i_1.BLIF RST_c.BLIF SM_AMIGA_3_.D +.names N_123_i_1.BLIF N_123_i_2.BLIF SM_AMIGA_3_.D +11 1 +.names N_121_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D 11 1 -.names N_259_0.BLIF SM_AMIGA_2_.D -0 1 .names N_119_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D 11 1 -.names N_77_i.BLIF N_101_i.BLIF SM_AMIGA_0_.D +.names N_88_i.BLIF N_137_i.BLIF SM_AMIGA_0_.D 11 1 .names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D 1- 1 @@ -513,7 +544,13 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 0 1 .names N_31_0.BLIF IPL_030DFF_1_reg.D 0 1 -.names N_98_i.BLIF RST_c.BLIF RST_DLY_0_.D +.names N_32_0.BLIF IPL_030DFF_2_reg.D +0 1 +.names N_52_0.BLIF IPL_D0_0_.D +0 1 +.names N_53_0.BLIF IPL_D0_1_.D +0 1 +.names N_85_i.BLIF RST_c.BLIF RST_DLY_0_.D 11 1 .names RST_c.BLIF un1_rst_dly_i_m_i_2__n.BLIF RST_DLY_1_.D 11 1 @@ -529,9 +566,9 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 11 1 .names RST_c.BLIF un1_rst_dly_i_m_i_8__n.BLIF RST_DLY_7_.D 11 1 -.names N_263_i_1.BLIF RST_c.BLIF CYCLE_DMA_0_.D +.names G_159.BLIF un1_rst_2.BLIF CYCLE_DMA_0_.D 11 1 -.names N_262_i_1.BLIF RST_c.BLIF CYCLE_DMA_1_.D +.names G_161.BLIF un1_rst_2.BLIF CYCLE_DMA_1_.D 11 1 .names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 @@ -541,18 +578,6 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 -1 1 .names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF CLK_000_P_SYNC_0_.D 11 1 -.names N_261_i_1.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF inst_CLK_030_H.D -11 1 -.names N_105_i.BLIF RST_c.BLIF inst_RESET_OUTreg.D -11 1 -.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D -11 1 -.names N_39_0.BLIF inst_UDS_000_INT.D -0 1 -.names N_40_0.BLIF inst_RW_000_DMA.D -0 1 -.names N_41_0.BLIF inst_RW_000_INT.D -0 1 .names N_42_0.BLIF inst_LDS_000_INT.D 0 1 .names N_43_0.BLIF inst_AS_030_000_SYNC.D @@ -569,7 +594,7 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 0 1 .names N_50_0.BLIF inst_DS_030_D0.D 0 1 -.names N_102.BLIF inst_AS_030_D0.D +.names N_89.BLIF inst_AS_030_D0.D 0 1 .names N_55_0.BLIF inst_nEXP_SPACE_D0reg.D 0 1 @@ -577,6 +602,12 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 0 1 .names N_57_0.BLIF inst_DTACK_D0.D 0 1 +.names N_47.BLIF RST_c.BLIF inst_CLK_030_H.D +11 1 +.names N_38.BLIF RST_c.BLIF inst_RESET_OUTreg.D +11 1 +.names N_11.BLIF RST_c.BLIF inst_DS_000_ENABLE.D +11 1 .names N_33_0.BLIF inst_BGACK_030_INTreg.D 0 1 .names N_34_0.BLIF BG_000DFFreg.D @@ -587,688 +618,726 @@ pos_clk_CYCLE_DMA_5_0_i_x2 pos_clk_un24_bgack_030_int_i_0_x2 0 1 .names N_37_0.BLIF inst_VMA_INTreg.D 0 1 +.names N_39_0.BLIF inst_UDS_000_INT.D +0 1 +.names N_40_0.BLIF inst_RW_000_DMA.D +0 1 +.names N_41_0.BLIF inst_RW_000_INT.D +0 1 .names AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF inst_BGACK_030_INT_D.D 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names N_310_4.BLIF N_310_3.BLIF N_310 +.names N_189_i.BLIF cpu_est_0_.BLIF N_193 11 1 -.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n 0 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_3__un3_n.BLIF \ -sm_amiga_srsts_i_0_m2_3__un0_n +.names N_190_i.BLIF N_190 +0 1 +.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n 11 1 -.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 +.names N_189_i.BLIF N_189 +0 1 +.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n 11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n +.names N_140_0.BLIF N_140 0 1 -.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n +.names N_97.BLIF bgack_030_int_0_un3_n 0 1 -.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n -0 1 -.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n +.names inst_CLK_000_NE_D0.BLIF pos_clk_un23_clk_000_ne_d0_n.BLIF \ +pos_clk_un29_clk_000_ne_d0_n 11 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_14 -1- 1 --1 1 -.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF \ -sm_amiga_srsts_i_0_m2_1__un0_n +.names inst_BGACK_030_INTreg.BLIF N_97.BLIF bgack_030_int_0_un1_n +11 1 +.names pos_clk_un23_clk_000_ne_d0_0_n.BLIF pos_clk_un23_clk_000_ne_d0_n +0 1 +.names BGACK_000_c.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n +11 1 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF pos_clk_un21_clk_000_ne_d0_n +11 1 +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m4_0__un3_n +0 1 +.names vcc_n_n + 1 +.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n +0 1 +.names sm_amiga_i_i_7__n.BLIF inst_BGACK_030_INTreg.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un1_n 11 1 .names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 1- 1 -1 1 -.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n -0 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_0_m2_0__un3_n +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c 0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un3_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un0_n +11 1 .names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_22 1- 1 -1 1 -.names SM_AMIGA_i_7_.BLIF inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un1_n -11 1 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 -11 1 -.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n +.names SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n 0 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_i.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un3_n.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un0_n +.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n 11 1 -.names vcc_n_n - 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 +.names RW_i.BLIF SM_AMIGA_5_.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un1_n 11 1 -.names SM_AMIGA_5_.BLIF un1_sm_amiga_7_i_m2_un3_n -0 1 -.names N_211.BLIF RST_DLY_5_.BLIF N_213 -11 1 -.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF un1_sm_amiga_7_i_m2_un1_n -11 1 -.names sm_amiga_i_3__n.BLIF un1_sm_amiga_7_i_m2_un3_n.BLIF \ -un1_sm_amiga_7_i_m2_un0_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_105 -11 1 -.names N_98.BLIF N_98_i -0 1 -.names N_248.BLIF size_dma_0_0__un3_n -0 1 .names gnd_n_n -.names N_87_i_i.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_98 +.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF \ +pos_clk_un9_clk_000_n_sync_n 11 1 -.names pos_clk_size_dma_6_0__n.BLIF N_248.BLIF size_dma_0_0__un1_n +.names sm_amiga_i_3__n.BLIF ds_000_enable_1_sqmuxa_1_i_m4_un3_n.BLIF \ +ds_000_enable_1_sqmuxa_1_i_m4_un0_n 11 1 .names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ un1_amiga_bus_enable_low 11 1 -.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa -11 1 -.names N_105.BLIF N_105_i +.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n +0 1 +.names N_246.BLIF size_dma_0_0__un3_n 0 1 -.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n -11 1 .names AS_000_DMA_i.BLIF AS_000_i.BLIF un6_as_030 11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n -11 1 -.names N_248.BLIF size_dma_0_1__un3_n +.names pos_clk_un22_bgack_030_int_0_n.BLIF pos_clk_un22_bgack_030_int_n 0 1 +.names N_6.BLIF N_6_i +0 1 +.names pos_clk_size_dma_6_0__n.BLIF N_246.BLIF size_dma_0_0__un1_n +11 1 .names SIZE_DMA_1_.BLIF size_dma_i_0__n.BLIF un3_size 11 1 -.names N_22.BLIF N_22_i -0 1 -.names pos_clk_size_dma_6_1__n.BLIF N_248.BLIF size_dma_0_1__un1_n +.names N_6_i.BLIF RST_c.BLIF N_48_0 +11 1 +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n 11 1 .names SIZE_DMA_0_.BLIF size_dma_i_1__n.BLIF un4_size 11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n +.names inst_CLK_000_PE.BLIF CYCLE_DMA_0_.BLIF N_220 11 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 +.names N_3.BLIF N_3_i +0 1 +.names N_246.BLIF size_dma_0_1__un3_n +0 1 +.names un8_ciin_8.BLIF un8_ciin_5.BLIF un8_ciin 11 1 +.names N_3_i.BLIF RST_c.BLIF N_49_0 +11 1 +.names pos_clk_size_dma_6_1__n.BLIF N_246.BLIF size_dma_0_1__un1_n +11 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF un14_amiga_bus_data_dir +11 1 +.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF pos_clk_un40_bgack_030_int_1_n +11 1 +.names pos_clk_un29_bgack_030_int_n.BLIF pos_clk_un29_bgack_030_int_i_n +0 1 .names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n 11 1 -.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin +.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 11 1 -.names N_18.BLIF N_18_i +.names AS_000_DMA_1_sqmuxa.BLIF AS_000_DMA_i.BLIF CLK_030_H_0_sqmuxa +11 1 +.names pos_clk_un26_bgack_030_int_n.BLIF pos_clk_un26_bgack_030_int_i_n 0 1 .names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n 0 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF un4_as_000 +.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs 11 1 -.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 +.names CLK_EXP_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF AS_000_DMA_1_sqmuxa 11 1 -.names N_18_i.BLIF RST_c.BLIF N_37_0 +.names pos_clk_un26_bgack_030_int_i_n.BLIF pos_clk_un29_bgack_030_int_i_n.BLIF \ +pos_clk_un27_bgack_030_int_0_n 11 1 .names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n 11 1 -.names un21_fpu_cs_1.BLIF un22_berr_1.BLIF un21_fpu_cs +.names un22_berr_1_0.BLIF N_116.BLIF un22_berr 11 1 -.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 +.names pos_clk_un24_bgack_030_int_1_n.BLIF pos_clk_un27_bgack_030_int_n.BLIF \ +pos_clk_un24_bgack_030_int_n 11 1 -.names N_14.BLIF N_14_i +.names CLK_030_H_0_sqmuxa.BLIF CLK_030_H_0_sqmuxa_i 0 1 .names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n 11 1 -.names un22_berr_1_0.BLIF N_340.BLIF un22_berr -11 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n -0 1 .names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 11 1 -.names N_10.BLIF N_10_i +.names pos_clk_un27_bgack_030_int_0_n.BLIF pos_clk_un27_bgack_030_int_n +0 1 +.names N_7.BLIF N_7_i +0 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n 0 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n -11 1 .names UDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_uds_000 11 1 -.names N_209.BLIF RST_DLY_4_.BLIF N_211 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_176_1 11 1 -.names N_10_i.BLIF RST_c.BLIF N_44_0 +.names CLK_030_H_0_sqmuxa_i.BLIF N_7_i.BLIF N_47_0 11 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n 11 1 .names LDS_000_INT_i.BLIF un6_uds_000_1.BLIF un6_lds_000 11 1 -.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF \ -pos_clk_cpu_est_11_0_1__n +.names N_165_3.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_165 11 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_133_i +11 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names N_133_i.BLIF N_133 +0 1 +.names N_176.BLIF N_176_i +0 1 .names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n 0 1 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 +.names N_163_1.BLIF CLK_000_NE_i.BLIF N_163 11 1 -.names N_312.BLIF N_312_i +.names N_175.BLIF N_175_i 0 1 .names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n 11 1 -.names N_90.BLIF N_90_i -0 1 +.names N_162_1.BLIF CLK_000_PE_i.BLIF N_162 +11 1 +.names N_175_i.BLIF N_176_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 .names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n 11 1 -.names N_88.BLIF N_88_i -0 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n +.names BERR_i.BLIF N_136_i.BLIF N_164 11 1 -.names N_299.BLIF N_299_i +.names AS_000_DMA_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF \ +pos_clk_ds_000_dma_4_f1_0_n +11 1 +.names N_242.BLIF dsack1_int_0_un3_n 0 1 -.names N_104_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -amiga_bus_enable_dma_high_0_un1_n +.names N_176_1_0.BLIF nEXP_SPACE_D0_i.BLIF N_176 11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n -11 1 -.names N_268_i.BLIF SM_AMIGA_4_.BLIF N_275_0 -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n -11 1 -.names N_268.BLIF sm_amiga_i_3__n.BLIF N_274_0 -11 1 -.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n +.names N_162.BLIF N_162_i 0 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n +.names N_80_i.BLIF N_242.BLIF dsack1_int_0_un1_n 11 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_273_i +.names DS_000_DMA_2_sqmuxa_1.BLIF pos_clk_un40_bgack_030_int_1_i_n.BLIF \ +DS_000_DMA_2_sqmuxa 11 1 -.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n -11 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_272_i -11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names N_71.BLIF N_71_i +.names N_163.BLIF N_163_i 0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_270_i -11 1 -.names N_260.BLIF ds_000_dma_0_un3_n -0 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names BERR_c.BLIF CLK_000_PE_i.BLIF N_268_i -11 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_260.BLIF ds_000_dma_0_un1_n -11 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i -0 1 -.names N_310.BLIF N_310_i -0 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names inst_RESET_OUTreg.BLIF RESET_OUT_i -0 1 -.names N_311.BLIF N_311_i -0 1 -.names N_258.BLIF as_000_dma_0_un3_n -0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names N_310_i.BLIF N_311_i.BLIF N_267_0 -11 1 -.names pos_clk_un24_bgack_030_int_i_0_n.BLIF N_258.BLIF as_000_dma_0_un1_n -11 1 -.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i -0 1 -.names N_309.BLIF N_309_i -0 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names G_139.BLIF un1_rst_dly_i_3__n -0 1 -.names N_308.BLIF N_308_i -0 1 -.names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n -0 1 -.names G_141.BLIF un1_rst_dly_i_4__n -0 1 -.names N_308_i.BLIF N_309_i.BLIF pos_clk_un7_clk_000_pe_0_n -11 1 -.names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ -a0_dma_0_un1_n -11 1 -.names G_143.BLIF un1_rst_dly_i_5__n -0 1 -.names RW_i.BLIF SM_AMIGA_5_.BLIF N_264_0 -11 1 -.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names G_145.BLIF un1_rst_dly_i_6__n -0 1 -.names N_304.BLIF N_304_i -0 1 -.names N_245.BLIF dsack1_int_0_un3_n -0 1 -.names G_147.BLIF un1_rst_dly_i_7__n -0 1 -.names N_303.BLIF N_303_i -0 1 -.names N_92_i.BLIF N_245.BLIF dsack1_int_0_un1_n -11 1 -.names G_149.BLIF un1_rst_dly_i_8__n -0 1 -.names N_303_i.BLIF N_304_i.BLIF N_186_i -11 1 .names inst_DSACK1_INTreg.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n 11 1 -.names G_137.BLIF un1_rst_dly_i_2__n -0 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n -0 1 -.names pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF N_87_i_i -0 1 -.names RST_c.BLIF VPA_c_i.BLIF N_56_0 +.names DS_000_DMA_0_sqmuxa_i.BLIF pos_clk_ds_000_dma_4_f1_n.BLIF \ +pos_clk_ds_000_dma_4_n 11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n +.names N_164.BLIF N_164_i +0 1 +.names N_243.BLIF as_000_int_0_un3_n +0 1 +.names RW_000_c.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_0_sqmuxa 11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n +.names N_165.BLIF N_165_i 0 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names sm_amiga_i_5__n.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +.names sm_amiga_i_5__n.BLIF N_243.BLIF as_000_int_0_un1_n 11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n +.names pos_clk_ds_000_dma_4_f1_0_n.BLIF pos_clk_ds_000_dma_4_f1_n 0 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 +.names inst_AS_000_INT.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n 11 1 -.names DS_000_ENABLE_1_sqmuxa_1.BLIF ds_000_enable_0_un3_n -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names N_97.BLIF N_97_i -0 1 -.names inst_DS_000_ENABLE.BLIF DS_000_ENABLE_1_sqmuxa_1.BLIF \ -ds_000_enable_0_un1_n +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_175 11 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names N_282_i.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n +.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un22_bgack_030_int_0_n 11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n +.names N_241.BLIF ds_000_enable_0_un3_n 0 1 -.names N_96.BLIF N_96_i +.names N_47_0.BLIF N_47 0 1 -.names N_246.BLIF as_030_000_sync_0_un3_n +.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n 0 1 -.names inst_CLK_000_PE.BLIF CLK_000_PE_i -0 1 -.names N_95.BLIF N_95_i -0 1 -.names inst_AS_030_000_SYNC.BLIF N_246.BLIF as_030_000_sync_0_un1_n +.names N_248_i.BLIF N_241.BLIF ds_000_enable_0_un1_n 11 1 -.names BERR_c.BLIF BERR_i +.names inst_CLK_030_H.BLIF pos_clk_un24_bgack_030_int_n.BLIF N_7 +11 1 +.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n 0 1 -.names N_94.BLIF N_94_i +.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ +ds_000_enable_0_un0_n +11 1 +.names N_176_1.BLIF RST_c.BLIF un1_rst_2 +11 1 +.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ +pos_clk_un14_clk_000_n_sync_0_n +11 1 +.names N_244.BLIF as_030_000_sync_0_un3_n 0 1 +.names CYCLE_DMA_1_.BLIF cycle_dma_i_0__n.BLIF pos_clk_un26_bgack_030_int_n +11 1 +.names N_22.BLIF N_22_i +0 1 +.names inst_AS_030_000_SYNC.BLIF N_244.BLIF as_030_000_sync_0_un1_n +11 1 +.names CYCLE_DMA_0_.BLIF cycle_dma_i_1__n.BLIF pos_clk_un29_bgack_030_int_n +11 1 +.names N_22_i.BLIF RST_c.BLIF N_33_0 +11 1 .names pos_clk_un3_as_030_d0_n.BLIF as_030_000_sync_0_un3_n.BLIF \ as_030_000_sync_0_un0_n 11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names N_313.BLIF N_313_i +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 +1- 1 +-1 1 +.names N_18.BLIF N_18_i 0 1 .names pos_clk_un3_ds_030_d0_n.BLIF lds_000_int_0_un3_n 0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names N_313_i.BLIF SM_AMIGA_3_.BLIF N_136_i +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 +1- 1 +-1 1 +.names N_18_i.BLIF RST_c.BLIF N_37_0 11 1 .names pos_clk_un11_ds_030_d0_i_n.BLIF pos_clk_un3_ds_030_d0_n.BLIF \ lds_000_int_0_un1_n 11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +0 1 +.names pos_clk_un9_clk_000_ne_n.BLIF pos_clk_un9_clk_000_ne_i_n 0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_81_0 -11 1 .names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n 11 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i +.names un21_fpu_cs.BLIF un21_fpu_cs_i 0 1 -.names N_116.BLIF N_116_i +.names pos_clk_un5_clk_000_pe_n.BLIF pos_clk_un5_clk_000_pe_i_n +0 1 +.names N_115.BLIF rw_000_int_0_un3_n +0 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names pos_clk_un5_clk_000_pe_i_n.BLIF pos_clk_un9_clk_000_ne_i_n.BLIF \ +pos_clk_un7_clk_000_pe_0_n +11 1 +.names N_245.BLIF N_115.BLIF rw_000_int_0_un1_n +11 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names pos_clk_un27_clk_000_ne_d0_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n +0 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names pos_clk_un24_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_i_n +0 1 +.names pos_clk_un21_clk_000_ne_d0_n.BLIF pos_clk_un21_clk_000_ne_d0_i_n 0 1 .names pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un3_n 0 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +.names CYCLE_DMA_1_.BLIF cycle_dma_i_1__n 0 1 -.names N_116_i.BLIF RST_c.BLIF N_77_i +.names pos_clk_un21_clk_000_ne_d0_i_n.BLIF pos_clk_un27_clk_000_ne_d0_i_n.BLIF \ +pos_clk_un23_clk_000_ne_d0_0_n 11 1 -.names N_265.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF rw_000_dma_0_un1_n +.names un14_amiga_bus_data_dir_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +rw_000_dma_0_un1_n 11 1 -.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n 0 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_73_i +.names SM_AMIGA_3_.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_136_i 11 1 .names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n 11 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names N_101.BLIF N_101_i +.names inst_AS_000_DMA.BLIF AS_000_DMA_i 0 1 +.names N_132_i.BLIF SM_AMIGA_2_.BLIF N_140_0 +11 1 .names pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un3_n 0 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +.names CLK_EXP_c.BLIF CLK_EXP_i +0 1 +.names N_195.BLIF N_195_i 0 1 .names A0_c.BLIF pos_clk_un3_ds_030_d0_n.BLIF uds_000_int_0_un1_n 11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +.names BERR_c.BLIF BERR_i 0 1 -.names CLK_000_N_SYNC_10_.BLIF clk_000_n_sync_i_10__n +.names N_196.BLIF N_196_i 0 1 .names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n 11 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i -0 1 -.names pos_clk_un9_clk_000_n_sync_n.BLIF pos_clk_un9_clk_000_n_sync_i_n +.names RW_000_c.BLIF RW_000_i 0 1 +.names N_195_i.BLIF N_196_i.BLIF N_186_i +11 1 .names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_low_0_un3_n 0 1 -.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n -11 1 -.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n +.names DS_000_DMA_0_sqmuxa.BLIF DS_000_DMA_0_sqmuxa_i 0 1 -.names clk_000_n_sync_i_10__n.BLIF pos_clk_un9_clk_000_n_sync_i_n.BLIF \ -pos_clk_un14_clk_000_n_sync_0_n +.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_188_i 11 1 -.names N_103_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +.names N_90_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ amiga_bus_enable_dma_low_0_un1_n 11 1 -.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n -11 1 -.names LDS_000_c.BLIF LDS_000_i +.names pos_clk_un40_bgack_030_int_1_n.BLIF pos_clk_un40_bgack_030_int_1_i_n 0 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un22_bgack_030_int_i_n +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF N_189_i 11 1 .names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n 11 1 -.names UDS_000_c.BLIF UDS_000_i +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i 0 1 -.names N_86.BLIF N_86_i -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_190_i 11 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 .names inst_nEXP_SPACE_D0reg.BLIF nEXP_SPACE_D0_i 0 1 -.names N_93.BLIF N_93_i +.names N_193.BLIF N_193_i +0 1 +.names N_91_i.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +amiga_bus_enable_dma_high_0_un1_n +11 1 +.names inst_CLK_000_PE.BLIF CLK_000_PE_i +0 1 +.names N_191.BLIF N_191_i +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names inst_CLK_000_NE.BLIF CLK_000_NE_i +0 1 +.names N_192.BLIF N_192_i +0 1 +.names pos_clk_un8_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names pos_clk_un6_bg_030_1_n.BLIF inst_CLK_000_D0.BLIF pos_clk_un6_bg_030_n +11 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names N_194.BLIF N_194_i +0 1 +.names BG_030_c.BLIF pos_clk_un8_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names pos_clk_cpu_est_11_0_1_1__n.BLIF pos_clk_cpu_est_11_0_2_1__n.BLIF \ +pos_clk_cpu_est_11_0_1__n +11 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names pos_clk_un7_clk_000_d0_n.BLIF pos_clk_un7_clk_000_d0_i_n +0 1 +.names N_198.BLIF N_198_i +0 1 +.names pos_clk_un5_bgack_030_int_d_n.BLIF a0_dma_0_un3_n +0 1 +.names UDS_000_c.BLIF UDS_000_i +0 1 +.names N_197.BLIF N_197_i +0 1 +.names pos_clk_a0_dma_3_n.BLIF pos_clk_un5_bgack_030_int_d_n.BLIF \ +a0_dma_0_un1_n +11 1 +.names LDS_000_c.BLIF LDS_000_i +0 1 +.names N_199.BLIF N_199_i +0 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF pos_clk_clk_000_n_sync_2_0__n +11 1 +.names pos_clk_un11_clk_000_n_sync_n.BLIF pos_clk_un11_clk_000_n_sync_i_n +0 1 +.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_198_i.BLIF pos_clk_cpu_est_11_0_3__n +11 1 +.names pos_clk_ipl_1_n.BLIF N_225_i.BLIF pos_clk_ipl_n +11 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_PRE_D_i +0 1 +.names N_151.BLIF N_151_i +0 1 +.names DS_030_D0_i.BLIF SM_AMIGA_6_.BLIF pos_clk_un3_ds_030_d0_n +11 1 +.names inst_DTACK_D0.BLIF DTACK_D0_i +0 1 +.names N_150.BLIF N_150_i 0 1 .names SM_AMIGA_2_.BLIF sm_amiga_i_2__n 0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names N_99.BLIF N_99_i -0 1 -.names A1_c.BLIF A1_i -0 1 -.names N_99_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa 11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i +.names pos_clk_un29_clk_000_ne_d0_n.BLIF pos_clk_un29_clk_000_ne_d0_i_n 0 1 -.names N_100.BLIF N_100_i -0 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_100_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +.names N_135_i_1.BLIF sm_amiga_i_6__n.BLIF N_135_i 11 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i +.names cpu_est_0_.BLIF cpu_est_i_0__n 0 1 -.names N_92_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_245_0 +.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_252_0 11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i +.names cpu_est_3_reg.BLIF cpu_est_i_3__n 0 1 -.names N_108.BLIF N_108_i +.names N_85.BLIF N_85_i 0 1 -.names AS_000_c.BLIF AS_000_i +.names cpu_est_2_.BLIF cpu_est_i_2__n 0 1 -.names N_109.BLIF N_109_i +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names RESET_OUT_0_sqmuxa_i.BLIF RESET_OUT_i.BLIF N_38_0 +11 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names un1_rst_dly_i_m_2__n.BLIF un1_rst_dly_i_m_i_2__n +0 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n +0 1 +.names RESET_OUT_0_sqmuxa.BLIF RESET_OUT_0_sqmuxa_i 0 1 .names pos_clk_un8_bg_030_0_n.BLIF pos_clk_un8_bg_030_n 0 1 +.names pos_clk_RST_DLY_5_iv_0_x2_0_.BLIF N_77_i_i +0 1 +.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n +0 1 +.names G_137.BLIF un1_rst_dly_i_2__n +0 1 +.names G_139.BLIF un1_rst_dly_i_3__n +0 1 +.names un1_rst_dly_i_m_5__n.BLIF un1_rst_dly_i_m_i_5__n +0 1 +.names G_141.BLIF un1_rst_dly_i_4__n +0 1 +.names G_143.BLIF un1_rst_dly_i_5__n +0 1 +.names un1_rst_dly_i_m_6__n.BLIF un1_rst_dly_i_m_i_6__n +0 1 +.names G_145.BLIF un1_rst_dly_i_6__n +0 1 +.names G_147.BLIF un1_rst_dly_i_7__n +0 1 +.names un1_rst_dly_i_m_7__n.BLIF un1_rst_dly_i_m_i_7__n +0 1 +.names G_149.BLIF un1_rst_dly_i_8__n +0 1 +.names inst_RESET_OUTreg.BLIF RESET_OUT_i +0 1 +.names un1_rst_dly_i_m_8__n.BLIF un1_rst_dly_i_m_i_8__n +0 1 +.names inst_AS_030_D0.BLIF AS_030_D0_i +0 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names inst_RESET_OUTreg.BLIF un1_as_030_i.BLIF un3_as_030_i +11 1 +.names A1_c.BLIF A1_i +0 1 +.names N_76.BLIF N_76_i +0 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names N_83.BLIF N_83_i +0 1 .names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n 0 1 -.names N_108_i.BLIF N_109_i.BLIF N_246_0 +.names N_248.BLIF N_248_i +0 1 +.names N_84.BLIF N_84_i +0 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 11 1 .names RW_c.BLIF RW_i 0 1 -.names un5_ciin.BLIF un5_ciin_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_i -0 1 -.names nEXP_SPACE_D0_i.BLIF un5_ciin_i.BLIF N_247_0 -11 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_248_0 -11 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i +.names N_86.BLIF N_86_i 0 1 .names inst_CLK_000_D0.BLIF CLK_000_D0_i 0 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF N_249_i +.names N_86_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n 11 1 -.names SIZE_DMA_0_.BLIF size_dma_i_0__n -0 1 .names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i 0 1 +.names N_87.BLIF N_87_i +0 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names N_87_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names N_88.BLIF N_88_i +0 1 +.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n +0 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names SIZE_DMA_0_.BLIF size_dma_i_0__n +0 1 +.names N_248.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_241_0 +11 1 .names SIZE_DMA_1_.BLIF size_dma_i_1__n 0 1 -.names N_251_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_251_0 +.names N_80_i.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_242_0 11 1 .names a_c_16__n.BLIF a_i_16__n 0 1 -.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n +.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_243_0 11 1 .names a_c_18__n.BLIF a_i_18__n 0 1 +.names N_93.BLIF N_93_i +0 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names N_94.BLIF N_94_i +0 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names N_93_i.BLIF N_94_i.BLIF N_244_0 +11 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names RW_i.BLIF SM_AMIGA_5_.BLIF N_245_0 +11 1 +.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n +0 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names RST_c.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_246_0 +11 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names AS_030_D0_i.BLIF BERR_c.BLIF pos_clk_un3_as_030_d0_i_n +11 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 .names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ pos_clk_un5_bgack_030_int_d_i_n 11 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names N_75_i_1.BLIF sm_amiga_i_4__n.BLIF N_75_i -11 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF N_76_i -11 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names CLK_000_NE_i.BLIF SM_AMIGA_1_.BLIF N_78_0 -11 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names N_80_0_1.BLIF sm_amiga_i_i_7__n.BLIF N_80_0 -11 1 .names a_c_27__n.BLIF a_i_27__n 0 1 -.names CLK_EXP_c.BLIF CLK_EXP_c_i +.names LDS_000_i.BLIF UDS_000_i.BLIF N_249_i +11 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 +.names a_c_24__n.BLIF a_i_24__n 0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names CLK_EXP_c_i.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF N_258_0 +.names N_251_0_1.BLIF inst_nEXP_SPACE_D0reg.BLIF N_251_0 11 1 .names a_c_25__n.BLIF a_i_25__n 0 1 -.names N_283.BLIF N_283_i -0 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names N_284.BLIF N_284_i -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 +.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_71_0 +11 1 .names inst_LDS_000_INT.BLIF LDS_000_INT_i 0 1 -.names N_290.BLIF N_290_i -0 1 -.names DS_030_c.BLIF DS_030_i -0 1 -.names N_291.BLIF N_291_i -0 1 -.names pos_clk_un5_bgack_030_int_d_i_n.BLIF pos_clk_un5_bgack_030_int_d_n -0 1 -.names G_165.BLIF N_224_i -0 1 -.names G_166.BLIF N_225_i -0 1 -.names N_279.BLIF N_279_i -0 1 -.names G_167.BLIF N_226_i -0 1 -.names N_293.BLIF N_293_i -0 1 -.names N_82.BLIF N_82_i -0 1 -.names N_83.BLIF N_83_i -0 1 .names N_104.BLIF N_104_i 0 1 -.names N_82_i.BLIF N_83_i.BLIF N_259_0 -11 1 -.names N_103.BLIF N_103_i -0 1 -.names N_84.BLIF N_84_i -0 1 -.names pos_clk_un3_as_030_d0_i_n.BLIF pos_clk_un3_as_030_d0_n -0 1 -.names N_282.BLIF N_282_i -0 1 -.names N_115_0_1.BLIF SM_AMIGA_i_7_.BLIF N_115_0 -11 1 -.names N_92.BLIF N_92_i -0 1 -.names N_85.BLIF N_85_i -0 1 -.names sm_amiga_i_5__n.BLIF pos_clk_un3_as_030_d0_i_n.BLIF AS_000_INT_1_sqmuxa -11 1 -.names un6_lds_000.BLIF un6_lds_000_i -0 1 -.names N_294.BLIF N_294_i -0 1 -.names N_282.BLIF pos_clk_un3_as_030_d0_i_n.BLIF DS_000_ENABLE_1_sqmuxa_1 -11 1 -.names un6_uds_000.BLIF un6_uds_000_i -0 1 -.names N_296.BLIF N_296_i -0 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names pos_clk_cpu_est_11_0_1_3__n.BLIF N_294_i.BLIF pos_clk_cpu_est_11_0_3__n -11 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n -0 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names N_91.BLIF N_91_i -0 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names un4_as_000.BLIF un4_as_000_i -0 1 -.names N_260_0_1.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF N_260_0 -11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names N_301.BLIF N_301_i -0 1 -.names un6_as_030.BLIF un6_as_030_i -0 1 -.names N_301_i.BLIF pos_clk_un24_bgack_030_int_i_0_i_n.BLIF \ -pos_clk_ds_000_dma_4_0_n -11 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_6 -1- 1 --1 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names N_305.BLIF N_305_i -0 1 .names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_8 1- 1 -1 1 -.names inst_DS_030_D0.BLIF DS_030_D0_i -0 1 -.names N_306.BLIF N_306_i +.names DS_030_c.BLIF DS_030_i 0 1 +.names N_104_i.BLIF RST_c.BLIF N_137_i +11 1 .names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF N_9 1- 1 -1 1 -.names N_305_i.BLIF N_306_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 .names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF N_10 1- 1 -1 1 -.names N_307.BLIF N_307_i +.names G_165.BLIF N_224_i +0 1 +.names N_160.BLIF N_160_i 0 1 .names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_11 1- 1 -1 1 +.names G_166.BLIF N_225_i +0 1 +.names N_161.BLIF N_161_i +0 1 .names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_12 1- 1 -1 1 +.names G_167.BLIF N_226_i +0 1 .names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_13 1- 1 -1 1 +.names N_159.BLIF N_159_i +0 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_14 +1- 1 +-1 1 +.names N_157.BLIF N_157_i +0 1 .names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_15 1- 1 -1 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_265_0 -11 1 +.names N_158.BLIF N_158_i +0 1 .names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_16 1- 1 -1 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_269_i -11 1 +.names N_91.BLIF N_91_i +0 1 .names amiga_bus_enable_dma_low_0_un1_n.BLIF \ amiga_bus_enable_dma_low_0_un0_n.BLIF N_19 1- 1 -1 1 -.names pos_clk_un24_bgack_030_int_i_0_i_1_n.BLIF \ -pos_clk_un22_bgack_030_int_n.BLIF pos_clk_un24_bgack_030_int_i_0_i_n -11 1 +.names N_90.BLIF N_90_i +0 1 +.names N_155.BLIF N_155_i +0 1 .names amiga_bus_enable_dma_high_0_un1_n.BLIF \ amiga_bus_enable_dma_high_0_un0_n.BLIF N_20 1- 1 -1 1 -.names N_268_i.BLIF SM_AMIGA_6_.BLIF N_62_0 -11 1 +.names un14_amiga_bus_data_dir.BLIF un14_amiga_bus_data_dir_i +0 1 +.names N_156.BLIF N_156_i +0 1 .names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_21 1- 1 -1 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_6_.BLIF N_276_0 -11 1 +.names N_80.BLIF N_80_i +0 1 .names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_23 1- 1 -1 1 -.names AS_000_DMA_i.BLIF CLK_EXP_c_i.BLIF N_277_0 -11 1 +.names un6_lds_000.BLIF un6_lds_000_i +0 1 +.names N_154.BLIF N_154_i +0 1 .names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_24 1- 1 -1 1 -.names N_286.BLIF N_286_i +.names un6_uds_000.BLIF un6_uds_000_i +0 1 +.names N_152.BLIF N_152_i 0 1 .names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_25 1- 1 -1 1 -.names N_288.BLIF N_288_i +.names un6_ds_030.BLIF un6_ds_030_i 0 1 -.names N_289.BLIF N_289_i +.names N_153.BLIF N_153_i 0 1 +.names un4_as_000.BLIF un4_as_000_i +0 1 +.names N_132_i.BLIF SM_AMIGA_6_.BLIF N_142_0 +11 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names N_132_i.BLIF SM_AMIGA_4_.BLIF N_141_0 +11 1 +.names un6_as_030.BLIF un6_as_030_i +0 1 +.names CLK_000_NE_i.BLIF SM_AMIGA_5_.BLIF N_138_0 +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names BERR_c.BLIF CLK_000_PE_i.BLIF N_132_i +11 1 +.names inst_DS_030_D0.BLIF DS_030_D0_i +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF un1_as_030_i +11 1 .names pos_clk_un11_ds_030_d0_i_1_n.BLIF size_c_0__n.BLIF \ pos_clk_un11_ds_030_d0_i_n 11 1 @@ -1300,20 +1369,20 @@ pos_clk_un11_ds_030_d0_i_n 0 1 .names ipl_c_i_0__n.BLIF RST_c.BLIF N_52_0 11 1 +.names DTACK_c.BLIF DTACK_c_i +0 1 +.names DTACK_c_i.BLIF RST_c.BLIF N_57_0 +11 1 +.names VPA_c.BLIF VPA_c_i +0 1 +.names RST_c.BLIF VPA_c_i.BLIF N_56_0 +11 1 .names nEXP_SPACE_c.BLIF nEXP_SPACE_c_i 0 1 .names RST_c.BLIF nEXP_SPACE_c_i.BLIF N_55_0 11 1 .names DS_030_i.BLIF RST_c.BLIF N_50_0 11 1 -.names N_3.BLIF N_3_i -0 1 -.names N_3_i.BLIF RST_c.BLIF N_49_0 -11 1 -.names N_6.BLIF N_6_i -0 1 -.names N_6_i.BLIF RST_c.BLIF N_48_0 -11 1 .names N_8.BLIF N_8_i 0 1 .names N_8_i.BLIF RST_c.BLIF N_46_0 @@ -1322,409 +1391,389 @@ pos_clk_un11_ds_030_d0_i_n 0 1 .names N_9_i.BLIF RST_c.BLIF N_45_0 11 1 -.names N_12.BLIF N_12_i +.names N_10.BLIF N_10_i 0 1 -.names N_12_i.BLIF RST_c.BLIF N_43_0 +.names N_10_i.BLIF RST_c.BLIF N_44_0 11 1 .names N_115_0.BLIF N_115 0 1 -.names N_13.BLIF N_13_i +.names N_12.BLIF N_12_i 0 1 .names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n 0 1 -.names N_13_i.BLIF RST_c.BLIF N_42_0 +.names N_12_i.BLIF RST_c.BLIF N_43_0 11 1 .names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n 0 1 +.names N_13.BLIF N_13_i +0 1 +.names N_13_i.BLIF RST_c.BLIF N_42_0 +11 1 +.names N_14.BLIF N_14_i +0 1 +.names N_14_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 +11 1 .names N_15.BLIF N_15_i 0 1 -.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n +.names N_241_0.BLIF N_241 0 1 .names N_15_i.BLIF RST_c.BLIF N_40_0 11 1 +.names N_242_0.BLIF N_242 +0 1 .names N_16.BLIF N_16_i 0 1 +.names N_243_0.BLIF N_243 +0 1 .names N_16_i.BLIF RST_c.BLIF N_39_0 11 1 +.names N_244_0.BLIF N_244 +0 1 .names N_19.BLIF N_19_i 0 1 -.names inst_DS_000_ENABLE.BLIF DS_030_i.BLIF un6_uds_000_1 -11 1 -.names N_19_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names pos_clk_un24_bgack_030_int_i_0_i_n.BLIF \ -pos_clk_un24_bgack_030_int_i_0_n -0 1 -.names N_20.BLIF N_20_i -0 1 .names N_245_0.BLIF N_245 0 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 +.names N_19_i.BLIF RST_c.BLIF N_36_0 11 1 .names N_246_0.BLIF N_246 0 1 +.names N_20.BLIF N_20_i +0 1 +.names un1_amiga_bus_enable_dma_high_i_m4_0__un1_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m4_0__un0_n.BLIF N_78 +1- 1 +-1 1 +.names N_20_i.BLIF RST_c.BLIF N_35_0 +11 1 +.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF N_80 +11 1 .names N_21.BLIF N_21_i 0 1 -.names N_247_0.BLIF N_247 -0 1 +.names AS_030_i.BLIF RST_c.BLIF N_89 +11 1 .names N_21_i.BLIF RST_c.BLIF N_34_0 11 1 -.names N_248_0.BLIF N_248 -0 1 +.names A1_c.BLIF BGACK_030_INT_i.BLIF N_90 +11 1 .names BG_030_c.BLIF BG_030_c_i 0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_D0_i.BLIF N_89 +.names A1_i.BLIF BGACK_030_INT_i.BLIF N_91 11 1 .names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n 0 1 -.names SM_AMIGA_1_.BLIF pos_clk_un14_clk_000_n_sync_n.BLIF N_92 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF N_98 11 1 .names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un8_bg_030_0_n 11 1 -.names AS_030_i.BLIF RST_c.BLIF N_102 +.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_99 11 1 -.names N_286_i.BLIF RST_c.BLIF N_127_i_1 +.names sm_amiga_i_i_7__n.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF N_251_0_1 11 1 -.names A1_c.BLIF BGACK_030_INT_i.BLIF N_103 -11 1 -.names N_288_i.BLIF N_289_i.BLIF N_127_i_2 -11 1 -.names A1_i.BLIF BGACK_030_INT_i.BLIF N_104 -11 1 -.names pos_clk_un24_bgack_030_int_i_0_x2.BLIF N_269_i.BLIF \ -pos_clk_un24_bgack_030_int_i_0_i_1_n -11 1 -.names N_256.BLIF nEXP_SPACE_D0_i.BLIF N_112 -11 1 -.names inst_nEXP_SPACE_D0reg.BLIF pos_clk_un5_bgack_030_int_d_i_n.BLIF \ -N_80_0_1 -11 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUTreg.BLIF N_256 -11 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_6__n.BLIF N_75_i_1 -11 1 -.names N_258_0.BLIF N_258 +.names N_249_i.BLIF N_249 0 1 -.names N_249_i.BLIF AS_030_000_SYNC_i.BLIF N_251_0_1 +.names N_150_i.BLIF N_151_i.BLIF N_121_i_1 11 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n -11 1 -.names N_260_0.BLIF N_260 -0 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_340_1 -11 1 -.names N_265_0.BLIF N_265 -0 1 -.names a_c_17__n.BLIF a_i_16__n.BLIF N_340_2 -11 1 -.names un1_sm_amiga_7_i_m2_un1_n.BLIF un1_sm_amiga_7_i_m2_un0_n.BLIF N_282 +.names ds_000_enable_1_sqmuxa_1_i_m4_un1_n.BLIF \ +ds_000_enable_1_sqmuxa_1_i_m4_un0_n.BLIF N_248 1- 1 -1 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_340_3 +.names N_199_i.BLIF N_197_i.BLIF pos_clk_cpu_est_11_0_1_3__n 11 1 -.names un1_amiga_bus_enable_dma_high_0_m2_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_0_m2_0__un0_n.BLIF N_71 -1- 1 --1 1 -.names N_340_1.BLIF N_340_2.BLIF N_340_4 -11 1 -.names AS_030_D0_i.BLIF a_c_20__n.BLIF un5_ciin_1 -11 1 -.names CLK_EXP_c.BLIF CLK_OUT_PRE_D_i.BLIF pos_clk_un11_clk_000_n_sync_n -11 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 -11 1 -.names N_76_i.BLIF N_76 +.names N_135_i.BLIF N_135 0 1 -.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 +.names N_194_i.BLIF N_192_i.BLIF pos_clk_cpu_est_11_0_1_1__n 11 1 -.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 -11 1 -.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 -11 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 -11 1 -.names pos_clk_un22_bgack_030_int_i_n.BLIF pos_clk_un22_bgack_030_int_n +.names N_136_i.BLIF N_136 0 1 -.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 +.names N_191_i.BLIF N_193_i.BLIF pos_clk_cpu_est_11_0_2_1__n 11 1 -.names N_268_i.BLIF N_268 -0 1 -.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 +.names pos_clk_un7_clk_000_d0_1_n.BLIF inst_nEXP_SPACE_D0reg.BLIF \ +pos_clk_un7_clk_000_d0_n 11 1 -.names N_270_i.BLIF N_270 -0 1 -.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 -11 1 -.names N_73_i.BLIF N_73 -0 1 -.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 -11 1 -.names N_75_i.BLIF N_75 -0 1 -.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 -11 1 -.names N_251_0.BLIF N_251 -0 1 -.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 +.names N_163_i.BLIF N_137_i.BLIF N_131_i_1 11 1 .names AS_030_i.BLIF BGACK_000_c.BLIF un22_berr_1 11 1 -.names FPU_SENSE_i.BLIF N_340.BLIF un21_fpu_cs_1 +.names N_162_i.BLIF N_164_i.BLIF N_131_i_2 11 1 -.names N_95_1.BLIF CLK_000_NE_i.BLIF N_95 -11 1 -.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n -11 1 -.names N_94_1.BLIF CLK_000_PE_i.BLIF N_94 -11 1 -.names N_97_i.BLIF N_77_i.BLIF N_131_i_1 -11 1 -.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_288 -11 1 -.names N_94_i.BLIF N_95_i.BLIF N_131_i_2 -11 1 -.names inst_CLK_000_NE.BLIF sm_amiga_i_6__n.BLIF N_289 +.names N_136.BLIF sm_amiga_i_4__n.BLIF N_152 11 1 .names N_131_i_1.BLIF N_131_i_2.BLIF N_131_i_3 11 1 -.names N_276.BLIF sm_amiga_i_5__n.BLIF N_286 +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_153 11 1 -.names N_73_i.BLIF N_75_i.BLIF N_96_1 +.names size_c_i_1__n.BLIF A0_c_i.BLIF pos_clk_un11_ds_030_d0_i_1_n 11 1 -.names sm_amiga_srsts_i_0_m2_3__un1_n.BLIF sm_amiga_srsts_i_0_m2_3__un0_n.BLIF \ -N_279 +.names CLK_000_PE_i.BLIF sm_amiga_i_3__n.BLIF N_154 +11 1 +.names a_i_31__n.BLIF inst_nEXP_SPACE_D0reg.BLIF un8_ciin_1 +11 1 +.names N_141.BLIF sm_amiga_i_5__n.BLIF N_155 +11 1 +.names AS_030_D0_i.BLIF a_i_24__n.BLIF un8_ciin_2 +11 1 +.names N_141_0.BLIF N_141 +0 1 +.names a_i_25__n.BLIF a_i_26__n.BLIF un8_ciin_3 +11 1 +.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_156 +11 1 +.names a_i_27__n.BLIF a_i_28__n.BLIF un8_ciin_4 +11 1 +.names N_138.BLIF sm_amiga_i_6__n.BLIF N_157 +11 1 +.names a_i_29__n.BLIF a_i_30__n.BLIF un8_ciin_5 +11 1 +.names N_138_0.BLIF N_138 +0 1 +.names un8_ciin_1.BLIF un8_ciin_2.BLIF un8_ciin_6 +11 1 +.names BERR_i.BLIF SM_AMIGA_5_.BLIF N_158 +11 1 +.names un8_ciin_3.BLIF un8_ciin_4.BLIF un8_ciin_7 +11 1 +.names CLK_000_PE_i.BLIF sm_amiga_i_5__n.BLIF N_159 +11 1 +.names un8_ciin_6.BLIF un8_ciin_7.BLIF un8_ciin_8 +11 1 +.names N_142.BLIF SM_AMIGA_i_7_.BLIF N_160 +11 1 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_116_1 +11 1 +.names N_142_0.BLIF N_142 +0 1 +.names a_c_17__n.BLIF a_i_16__n.BLIF N_116_2 +11 1 +.names sm_amiga_i_6__n.BLIF pos_clk_un7_clk_000_d0_i_n.BLIF N_161 +11 1 +.names a_i_18__n.BLIF a_i_19__n.BLIF N_116_3 +11 1 +.names N_132_i.BLIF N_132 +0 1 +.names N_116_1.BLIF N_116_2.BLIF N_116_4 +11 1 +.names N_132.BLIF SM_AMIGA_0_.BLIF N_104 +11 1 +.names un22_berr_1.BLIF FPU_SENSE_c.BLIF un22_berr_1_0 +11 1 +.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF \ +N_76 1- 1 -1 1 -.names N_251.BLIF sm_amiga_i_0__n.BLIF N_96_2 +.names FPU_SENSE_i.BLIF N_116.BLIF un21_fpu_cs_1 11 1 -.names N_277_0.BLIF N_277 +.names N_71_0.BLIF N_71 0 1 -.names N_96_1.BLIF N_96_2.BLIF N_96_3 +.names N_152_i.BLIF N_153_i.BLIF N_123_i_1 11 1 -.names N_276_0.BLIF N_276 +.names N_251_0.BLIF N_251 0 1 -.names N_88_i.BLIF N_90_i.BLIF pos_clk_cpu_est_11_0_1_1__n +.names N_154_i.BLIF RST_c.BLIF N_123_i_2 11 1 -.names N_62_0.BLIF N_62 -0 1 -.names N_299_i.BLIF N_312_i.BLIF pos_clk_cpu_est_11_0_2_1__n +.names N_251.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_93 11 1 -.names N_274_0.BLIF N_274 -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_310_1 +.names N_155_i.BLIF N_156_i.BLIF N_125_i_1 11 1 -.names inst_CLK_000_NE_D0.BLIF N_267.BLIF N_313 +.names N_116.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_94 11 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_310_2 +.names N_157_i.BLIF N_158_i.BLIF N_127_i_1 11 1 -.names CLK_030_H_i.BLIF N_277.BLIF N_307 +.names N_71.BLIF sm_amiga_i_0__n.BLIF N_88 11 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_310_3 +.names N_159_i.BLIF RST_c.BLIF N_127_i_2 11 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_305 +.names BGACK_030_INT_i.BLIF N_249.BLIF N_87 11 1 -.names N_310_1.BLIF N_310_2.BLIF N_310_4 +.names N_160_i.BLIF N_161_i.BLIF N_129_i_1 11 1 -.names N_306_1.BLIF nEXP_SPACE_D0_i.BLIF N_306 +.names BGACK_030_INT_i.BLIF N_249_i.BLIF N_86 11 1 -.names inst_CLK_000_NE.BLIF N_312.BLIF N_309_1 +.names inst_nEXP_SPACE_D0reg.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n 11 1 -.names N_303_1.BLIF cpu_est_i_3__n.BLIF N_303 +.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_84 11 1 -.names VPA_D_i.BLIF cpu_est_2_.BLIF N_309_2 +.names pos_clk_clk_000_n_sync_2_0__n.BLIF AS_030_000_SYNC_i.BLIF \ +pos_clk_un7_clk_000_d0_1_n 11 1 -.names N_304_1.BLIF cpu_est_i_2__n.BLIF N_304 -11 1 -.names inst_CLK_000_PE.BLIF N_270_i.BLIF N_308_1 -11 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_301 -11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_308_2 -11 1 -.names inst_CLK_030_H.BLIF CLK_EXP_c.BLIF N_91 -11 1 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 -11 1 -.names N_273.BLIF cpu_est_3_reg.BLIF N_85 +.names N_252.BLIF sm_amiga_i_2__n.BLIF N_83 11 1 .names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_7_1 11 1 -.names N_273_i.BLIF cpu_est_i_2__n.BLIF N_294 +.names N_116_4.BLIF N_116_3.BLIF N_116 11 1 .names RST_DLY_4_.BLIF RST_DLY_5_.BLIF RESET_OUT_0_sqmuxa_7_2 11 1 -.names N_296_1.BLIF cpu_est_i_2__n.BLIF N_296 -11 1 .names RESET_OUT_0_sqmuxa_7_1.BLIF RESET_OUT_0_sqmuxa_7_2.BLIF \ RESET_OUT_0_sqmuxa_7_3 11 1 -.names inst_CLK_000_PE.BLIF SM_AMIGA_0_.BLIF N_84 +.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_5_1 11 1 -.names N_75.BLIF BERR_i.BLIF N_94_1 +.names N_211.BLIF RST_DLY_5_.BLIF N_213 11 1 -.names N_82_1.BLIF SM_AMIGA_2_.BLIF N_82 +.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_135_i_1 11 1 -.names N_73.BLIF BERR_i.BLIF N_95_1 +.names cpu_est_3_reg.BLIF N_199_1.BLIF pos_clk_un27_clk_000_ne_d0_1_n 11 1 -.names N_83_1.BLIF SM_AMIGA_3_.BLIF N_83 +.names N_209.BLIF RST_DLY_4_.BLIF N_211 11 1 -.names N_86_i.BLIF N_93_i.BLIF N_119_i_1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF pos_clk_un27_clk_000_ne_d0_2_n 11 1 -.names N_136.BLIF sm_amiga_i_4__n.BLIF N_293 +.names pos_clk_un27_clk_000_ne_d0_1_n.BLIF pos_clk_un27_clk_000_ne_d0_2_n.BLIF \ +pos_clk_un27_clk_000_ne_d0_3_n 11 1 -.names N_274.BLIF RST_c.BLIF N_82_1 +.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF N_209 11 1 -.names N_275.BLIF sm_amiga_i_5__n.BLIF N_290 +.names cpu_est_i_3__n.BLIF inst_CLK_000_PE.BLIF pos_clk_un5_clk_000_pe_1_n 11 1 -.names N_313.BLIF RST_c.BLIF N_83_1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF pos_clk_un5_clk_000_pe_2_n 11 1 -.names CLK_000_NE_i.BLIF sm_amiga_i_4__n.BLIF N_291 +.names pos_clk_un5_clk_000_pe_1_n.BLIF pos_clk_un5_clk_000_pe_2_n.BLIF \ +pos_clk_un5_clk_000_pe_3_n 11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_296_1 +.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF N_205 11 1 -.names N_62.BLIF SM_AMIGA_i_7_.BLIF N_283 +.names cpu_est_i_1__n.BLIF cpu_est_i_3__n.BLIF pos_clk_un9_clk_000_ne_1_n 11 1 -.names N_270.BLIF cpu_est_0_.BLIF N_303_1 +.names inst_CLK_000_NE.BLIF VPA_D_i.BLIF pos_clk_un9_clk_000_ne_2_n 11 1 -.names N_251.BLIF sm_amiga_i_6__n.BLIF N_284 +.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF RESET_OUT_0_sqmuxa_1 11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_304_1 +.names cpu_est_0_.BLIF cpu_est_2_.BLIF pos_clk_un9_clk_000_ne_3_n 11 1 -.names sm_amiga_srsts_i_0_m2_1__un1_n.BLIF sm_amiga_srsts_i_0_m2_1__un0_n.BLIF \ -N_86 -1- 1 --1 1 -.names N_269_i.BLIF RW_000_c.BLIF N_306_1 +.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa 11 1 -.names N_80_0.BLIF N_80 +.names pos_clk_un9_clk_000_ne_1_n.BLIF pos_clk_un9_clk_000_ne_2_n.BLIF \ +pos_clk_un9_clk_000_ne_4_n +11 1 +.names RESET_OUT_0_sqmuxa_7_3.BLIF RST_DLY_6_.BLIF RESET_OUT_0_sqmuxa_7 +11 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_196_1 +11 1 +.names RESET_OUT_0_sqmuxa_5_1.BLIF RST_DLY_2_.BLIF RESET_OUT_0_sqmuxa_5 +11 1 +.names N_188.BLIF cpu_est_0_.BLIF N_195_1 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_8__n.BLIF un1_rst_dly_i_m_8__n +11 1 +.names N_176_1.BLIF pos_clk_un22_bgack_030_int_n.BLIF \ +pos_clk_un24_bgack_030_int_1_n +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_7__n.BLIF un1_rst_dly_i_m_7__n +11 1 +.names N_133_i.BLIF N_135_i.BLIF N_165_1 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_6__n.BLIF un1_rst_dly_i_m_6__n +11 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_3__n.BLIF N_165_2 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_5__n.BLIF un1_rst_dly_i_m_5__n +11 1 +.names N_165_1.BLIF N_165_2.BLIF N_165_3 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_4__n.BLIF un1_rst_dly_i_m_4__n +11 1 +.names N_133.BLIF BERR_i.BLIF N_163_1 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_3__n.BLIF un1_rst_dly_i_m_3__n +11 1 +.names N_135.BLIF BERR_i.BLIF N_162_1 +11 1 +.names RESET_OUT_0_sqmuxa_i.BLIF un1_rst_dly_i_2__n.BLIF un1_rst_dly_i_m_2__n +11 1 +.names N_176_1.BLIF RW_000_c.BLIF N_176_1_0 +11 1 +.names N_38_0.BLIF N_38 0 1 -.names N_283_i.BLIF N_284_i.BLIF N_129_i_1 +.names RW_000_i.BLIF pos_clk_un24_bgack_030_int_n.BLIF DS_000_DMA_2_sqmuxa_1 11 1 -.names N_78_0.BLIF N_78 -0 1 -.names N_290_i.BLIF N_291_i.BLIF N_125_i_1 +.names N_77_i_i.BLIF RESET_OUT_0_sqmuxa_i.BLIF N_85 11 1 -.names N_80.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_108 -11 1 -.names N_279_i.BLIF N_293_i.BLIF N_123_i_1 -11 1 -.names N_340.BLIF pos_clk_un3_as_030_d0_i_n.BLIF N_109 +.names N_76_i.BLIF N_83_i.BLIF N_119_i_1 11 1 .names N_84_i.BLIF sm_amiga_i_5__n.BLIF N_115_0_1 11 1 -.names BGACK_030_INT_i.BLIF N_76.BLIF N_100 -11 1 -.names N_296_i.BLIF N_85_i.BLIF pos_clk_cpu_est_11_0_1_3__n -11 1 -.names BGACK_030_INT_i.BLIF N_76_i.BLIF N_99 -11 1 -.names N_91_i.BLIF RW_000_i.BLIF N_260_0_1 -11 1 -.names N_78.BLIF sm_amiga_i_2__n.BLIF N_93 -11 1 -.names N_307_i.BLIF RST_c.BLIF N_261_i_1 -11 1 -.names pos_clk_un14_clk_000_n_sync_0_n.BLIF pos_clk_un14_clk_000_n_sync_n +.names N_252_0.BLIF N_252 0 1 -.names pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_269_i.BLIF N_262_i_1 -11 1 -.names CLK_000_N_SYNC_9_.BLIF pos_clk_un11_clk_000_n_sync_i_n.BLIF \ -pos_clk_un9_clk_000_n_sync_n -11 1 -.names pos_clk_CYCLE_DMA_5_0_i_x2.BLIF N_269_i.BLIF N_263_i_1 -11 1 -.names N_340_4.BLIF N_340_3.BLIF N_340 -11 1 .names N_226_i.BLIF N_224_i.BLIF pos_clk_ipl_1_n 11 1 -.names BERR_i.BLIF N_136_i.BLIF N_97 +.names BGACK_000_c.BLIF CLK_000_PE_i.BLIF N_97 11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n +.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n 0 1 -.names N_136_i.BLIF N_136 +.names pos_clk_un27_clk_000_ne_d0_3_n.BLIF cpu_est_2_.BLIF \ +pos_clk_un27_clk_000_ne_d0_n +11 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n +11 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_199_1 +11 1 +.names pos_clk_un24_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF \ +as_000_dma_0_un0_n +11 1 +.names pos_clk_un5_clk_000_pe_3_n.BLIF cpu_est_i_0__n.BLIF \ +pos_clk_un5_clk_000_pe_n +11 1 +.names DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un3_n 0 1 -.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n +.names pos_clk_un9_clk_000_ne_4_n.BLIF pos_clk_un9_clk_000_ne_3_n.BLIF \ +pos_clk_un9_clk_000_ne_n 11 1 -.names N_81.BLIF sm_amiga_i_0__n.BLIF N_101 +.names inst_DS_000_DMA.BLIF DS_000_DMA_2_sqmuxa.BLIF ds_000_dma_0_un1_n 11 1 -.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +.names N_140.BLIF sm_amiga_i_3__n.BLIF N_150 11 1 -.names N_81_0.BLIF N_81 -0 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n -0 1 -.names N_268.BLIF SM_AMIGA_0_.BLIF N_116 +.names pos_clk_ds_000_dma_4_n.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n 11 1 -.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n -11 1 -.names N_96_3.BLIF sm_amiga_i_3__n.BLIF N_96 -11 1 -.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 -.names BGACK_000_c.BLIF CLK_000_PE_i.BLIF N_113 -11 1 -.names inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un3_n -0 1 -.names N_275_0.BLIF N_275 -0 1 -.names pos_clk_cpu_est_11_1__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_1__un1_n -11 1 -.names N_273_i.BLIF N_273 -0 1 -.names cpu_est_1_.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names N_272.BLIF cpu_est_i_0__n.BLIF N_88 -11 1 -.names N_113.BLIF bgack_030_int_0_un3_n -0 1 -.names N_272_i.BLIF N_272 -0 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names inst_BGACK_030_INTreg.BLIF N_113.BLIF bgack_030_int_0_un1_n -11 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_299 -11 1 -.names BGACK_000_c.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n -11 1 -.names N_270_i.BLIF cpu_est_3_reg.BLIF N_90 +.names sm_amiga_i_2__n.BLIF pos_clk_un29_clk_000_ne_d0_i_n.BLIF N_151 11 1 .names pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un3_n 0 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_311 +.names N_199_1.BLIF cpu_est_i_2__n.BLIF N_199 11 1 .names cpu_est_1_.BLIF pos_clk_un7_clk_000_pe_n.BLIF vma_int_0_un1_n 11 1 -.names N_272_i.BLIF cpu_est_0_.BLIF N_312 +.names N_196_1.BLIF cpu_est_i_2__n.BLIF N_196 11 1 .names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n 11 1 -.names N_267_0.BLIF N_267 -0 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUTreg.BLIF un1_as_000_i +.names N_195_1.BLIF cpu_est_i_3__n.BLIF N_195 11 1 -.names N_115.BLIF rw_000_int_0_un3_n +.names SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un3_n 0 1 -.names N_264_0.BLIF N_264 +.names N_188_i.BLIF N_188 0 1 -.names un1_rst_dly_i_m_3__n.BLIF un1_rst_dly_i_m_i_3__n -0 1 -.names N_264.BLIF N_115.BLIF rw_000_int_0_un1_n +.names BERR_i.BLIF SM_AMIGA_1_.BLIF sm_amiga_srsts_i_0_m2_1__un1_n 11 1 -.names pos_clk_un7_clk_000_pe_0_n.BLIF pos_clk_un7_clk_000_pe_n +.names pos_clk_cpu_est_11_0_3__n.BLIF pos_clk_cpu_est_11_3__n 0 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +.names CLK_000_PE_i.BLIF sm_amiga_srsts_i_0_m2_1__un3_n.BLIF \ +sm_amiga_srsts_i_0_m2_1__un0_n 11 1 -.names N_308_1.BLIF N_308_2.BLIF N_308 +.names N_190.BLIF cpu_est_3_reg.BLIF N_197 11 1 -.names un1_rst_dly_i_m_4__n.BLIF un1_rst_dly_i_m_i_4__n +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un3_n 0 1 -.names SM_AMIGA_3_.BLIF sm_amiga_srsts_i_0_m2_3__un3_n -0 1 -.names N_309_1.BLIF N_309_2.BLIF N_309 +.names N_190_i.BLIF cpu_est_i_2__n.BLIF N_198 11 1 -.names BERR_i.BLIF SM_AMIGA_3_.BLIF sm_amiga_srsts_i_0_m2_3__un1_n +.names pos_clk_cpu_est_11_3__n.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_3__un1_n +11 1 +.names pos_clk_cpu_est_11_0_1__n.BLIF pos_clk_cpu_est_11_1__n +0 1 +.names cpu_est_3_reg.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names N_188_i.BLIF cpu_est_3_reg.BLIF N_194 +11 1 +.names inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un3_n +0 1 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_192 +11 1 +.names N_186_i.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_2__un1_n +11 1 +.names N_189.BLIF cpu_est_i_0__n.BLIF N_191 +11 1 +.names cpu_est_2_.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n 11 1 .names IPL_030DFF_2_reg.BLIF IPL_030_2_ 1 1 @@ -1768,10 +1817,10 @@ pos_clk_un9_clk_000_n_sync_n .names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_71_i.BLIF AMIGA_BUS_ENABLE_HIGH +.names N_78.BLIF AMIGA_BUS_ENABLE_HIGH 1 1 0 0 -.names un5_ciin.BLIF CIIN +.names vcc_n_n.BLIF CIIN 1 1 0 0 .names IPL_030DFF_1_reg.BLIF IPL_030_1_ @@ -1780,15 +1829,6 @@ pos_clk_un9_clk_000_n_sync_n .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C -1 1 -0 0 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C -1 1 -0 0 .names CLK_OSZI_c.BLIF IPL_D0_2_.C 1 1 0 0 @@ -1816,7 +1856,7 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF SM_AMIGA_0_.C 1 1 0 0 -.names cpu_est_0_0_x2_0_.BLIF cpu_est_0_.D +.names cpu_est_0_0_.BLIF cpu_est_0_.D 1 1 0 0 .names CLK_OSZI_c.BLIF cpu_est_0_.C @@ -1837,22 +1877,13 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C 1 1 0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D +.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C +.names CLK_OSZI_c.BLIF IPL_D0_0_.C 1 1 0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C +.names CLK_OSZI_c.BLIF IPL_D0_1_.C 1 1 0 0 .names CLK_OSZI_c.BLIF RST_DLY_0_.C @@ -1891,24 +1922,6 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF SIZE_DMA_1_.C 1 1 0 0 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C -1 1 -0 0 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C -1 1 -0 0 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C -1 1 -0 0 .names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D 1 1 0 0 @@ -1921,7 +1934,7 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C 1 1 0 0 -.names N_249_i.BLIF CLK_000_N_SYNC_0_.D +.names pos_clk_clk_000_n_sync_2_0__n.BLIF CLK_000_N_SYNC_0_.D 1 1 0 0 .names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C @@ -1975,6 +1988,24 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C 1 1 0 0 +.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C +1 1 +0 0 +.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C +1 1 +0 0 +.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C 1 1 0 0 @@ -2002,22 +2033,22 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C +.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_LDS_000_INT.C @@ -2056,6 +2087,15 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF inst_DTACK_D0.C 1 1 0 0 +.names CLK_OSZI_c.BLIF inst_CLK_030_H.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_RESET_OUTreg.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C 1 1 0 0 @@ -2071,6 +2111,15 @@ pos_clk_un9_clk_000_n_sync_n .names CLK_OSZI_c.BLIF inst_VMA_INTreg.C 1 1 0 0 +.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +1 1 +0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -2146,6 +2195,27 @@ pos_clk_un9_clk_000_n_sync_n .names un4_size.BLIF SIZE_0_ 1 1 0 0 +.names RW.PIN.BLIF RW_c +1 1 +0 0 +.names FC_0_.BLIF fc_c_0__n +1 1 +0 0 +.names FC_1_.BLIF fc_c_1__n +1 1 +0 0 +.names A_23_.BLIF a_23__n +1 1 +0 0 +.names A_22_.BLIF a_22__n +1 1 +0 0 +.names A_21_.BLIF a_21__n +1 1 +0 0 +.names A_20_.BLIF a_20__n +1 1 +0 0 .names A_15_.BLIF a_15__n 1 1 0 0 @@ -2224,18 +2294,6 @@ pos_clk_un9_clk_000_n_sync_n .names A_19_.BLIF a_c_19__n 1 1 0 0 -.names A_20_.BLIF a_c_20__n -1 1 -0 0 -.names A_21_.BLIF a_c_21__n -1 1 -0 0 -.names A_22_.BLIF a_c_22__n -1 1 -0 0 -.names A_23_.BLIF a_c_23__n -1 1 -0 0 .names A_24_.BLIF a_c_24__n 1 1 0 0 @@ -2305,46 +2363,37 @@ pos_clk_un9_clk_000_n_sync_n .names RST.BLIF RST_c 1 1 0 0 -.names RW.PIN.BLIF RW_c +.names un3_as_030_i.BLIF AS_030.OE 1 1 0 0 -.names FC_0_.BLIF fc_c_0__n +.names N_98.BLIF AS_000.OE 1 1 0 0 -.names FC_1_.BLIF fc_c_1__n +.names N_98.BLIF RW_000.OE 1 1 0 0 -.names N_112.BLIF AS_030.OE +.names un3_as_030_i.BLIF DS_030.OE 1 1 0 0 -.names un1_as_000_i.BLIF AS_000.OE +.names N_98.BLIF UDS_000.OE 1 1 0 0 -.names un1_as_000_i.BLIF RW_000.OE +.names N_98.BLIF LDS_000.OE 1 1 0 0 -.names N_112.BLIF DS_030.OE +.names un1_as_030_i.BLIF SIZE_0_.OE 1 1 0 0 -.names un1_as_000_i.BLIF UDS_000.OE +.names un1_as_030_i.BLIF SIZE_1_.OE 1 1 0 0 -.names un1_as_000_i.BLIF LDS_000.OE -1 1 -0 0 -.names N_89.BLIF SIZE_0_.OE -1 1 -0 0 -.names N_89.BLIF SIZE_1_.OE -1 1 -0 0 -.names N_112.BLIF A0.OE +.names un3_as_030_i.BLIF A0.OE 1 1 0 0 .names un22_berr.BLIF BERR.OE 1 1 0 0 -.names N_256.BLIF RW.OE +.names N_99.BLIF RW.OE 1 1 0 0 .names gnd_n_n.BLIF CLK_DIV_OUT.OE @@ -2353,45 +2402,20 @@ pos_clk_un9_clk_000_n_sync_n .names inst_nEXP_SPACE_D0reg.BLIF DSACK1.OE 1 1 0 0 -.names N_247.BLIF CIIN.OE +.names un8_ciin.BLIF CIIN.OE 1 1 0 0 -.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_ +.names N_220.BLIF CYCLE_DMA_1_.BLIF G_161 01 1 10 1 11 0 00 0 -.names RESET_OUT_0_sqmuxa_1.BLIF RST_DLY_1_.BLIF G_137 +.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF G_159 01 1 10 1 11 0 00 0 -.names RESET_OUT_0_sqmuxa_7.BLIF RST_DLY_7_.BLIF G_149 -01 1 -10 1 -11 0 -00 0 -.names N_213.BLIF RST_DLY_6_.BLIF G_147 -01 1 -10 1 -11 0 -00 0 -.names N_211.BLIF RST_DLY_5_.BLIF G_145 -01 1 -10 1 -11 0 -00 0 -.names N_209.BLIF RST_DLY_4_.BLIF G_143 -01 1 -10 1 -11 0 -00 0 -.names RESET_OUT_0_sqmuxa_5.BLIF RST_DLY_3_.BLIF G_141 -01 1 -10 1 -11 0 -00 0 -.names N_205.BLIF RST_DLY_2_.BLIF G_139 +.names cpu_est_0_.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_0_ 01 1 10 1 11 0 @@ -2411,22 +2435,42 @@ pos_clk_un9_clk_000_n_sync_n 10 1 11 0 00 0 -.names cpu_est_0_.BLIF inst_CLK_000_NE_D0.BLIF cpu_est_0_0_x2_0_ +.names RST_DLY_7_.BLIF RESET_OUT_0_sqmuxa_7.BLIF G_149 01 1 10 1 11 0 00 0 -.names N_220.BLIF CYCLE_DMA_1_.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 +.names RST_DLY_6_.BLIF N_213.BLIF G_147 01 1 10 1 11 0 00 0 -.names CYCLE_DMA_0_.BLIF inst_CLK_000_PE.BLIF pos_clk_CYCLE_DMA_5_0_i_x2 +.names RST_DLY_5_.BLIF N_211.BLIF G_145 01 1 10 1 11 0 00 0 -.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF pos_clk_un24_bgack_030_int_i_0_x2 +.names RST_DLY_4_.BLIF N_209.BLIF G_143 +01 1 +10 1 +11 0 +00 0 +.names RST_DLY_3_.BLIF RESET_OUT_0_sqmuxa_5.BLIF G_141 +01 1 +10 1 +11 0 +00 0 +.names RST_DLY_2_.BLIF N_205.BLIF G_139 +01 1 +10 1 +11 0 +00 0 +.names RST_DLY_1_.BLIF RESET_OUT_0_sqmuxa_1.BLIF G_137 +01 1 +10 1 +11 0 +00 0 +.names inst_CLK_000_NE.BLIF RST_DLY_0_.BLIF pos_clk_RST_DLY_5_iv_0_x2_0_ 01 1 10 1 11 0 diff --git a/Logic/BUS68030.cmd b/Logic/BUS68030.cmd deleted file mode 100644 index e7c37a0..0000000 --- a/Logic/BUS68030.cmd +++ /dev/null @@ -1,8 +0,0 @@ -STYFILENAME: 68030_tk.sty -PROJECT: BUS68030 -WORKING_PATH: "c:/users/matze/documents/github/68030tk/logic" -MODULE: BUS68030 -VHDL_FILE_LIST: 68030-68000-bus.vhd -OUTPUT_FILE_NAME: BUS68030 -SUFFIX_NAME: edi -PART: M4A5-128/64-10VC diff --git a/Logic/BUS68030.edi b/Logic/BUS68030.edi index dc02bf2..3ba2a70 100644 --- a/Logic/BUS68030.edi +++ b/Logic/BUS68030.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2015 5 13 22 59 16) + (timeStamp 2015 7 9 18 48 54) (author "Synopsys, Inc.") (program "Synplify Pro" (version "I-2014.03LC , mapper maplat, Build 923R")) ) @@ -140,12 +140,6 @@ (port CIIN (direction OUTPUT)) ) (contents - (instance (rename IPL_030DFF_2 "IPL_030DFF[2]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename IPL_D0_0 "IPL_D0[0]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename IPL_D0_1 "IPL_D0[1]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance (rename IPL_D0_2 "IPL_D0[2]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -176,11 +170,11 @@ ) (instance (rename IPL_030DFF_1 "IPL_030DFF[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename IPL_030DFF_2 "IPL_030DFF[2]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_10 "CLK_000_N_SYNC[10]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename IPL_D0_0 "IPL_D0[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_11 "CLK_000_N_SYNC[11]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename IPL_D0_1 "IPL_D0[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename RST_DLY_0 "RST_DLY[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -206,12 +200,6 @@ ) (instance (rename SIZE_DMA_1 "SIZE_DMA[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_P_SYNC_5 "CLK_000_P_SYNC[5]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_6 "CLK_000_P_SYNC[6]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance (rename CLK_000_P_SYNC_7 "CLK_000_P_SYNC[7]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance (rename CLK_000_P_SYNC_8 "CLK_000_P_SYNC[8]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename CLK_000_P_SYNC_9 "CLK_000_P_SYNC[9]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -234,6 +222,12 @@ ) (instance (rename CLK_000_N_SYNC_8 "CLK_000_N_SYNC[8]") (viewRef prim (cellRef DFF (libraryRef mach))) ) + (instance (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename CLK_000_N_SYNC_10 "CLK_000_N_SYNC[10]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance (rename CLK_000_N_SYNC_11 "CLK_000_N_SYNC[11]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance (rename CLK_000_P_SYNC_0 "CLK_000_P_SYNC[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename CLK_000_P_SYNC_1 "CLK_000_P_SYNC[1]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -244,17 +238,11 @@ ) (instance (rename CLK_000_P_SYNC_4 "CLK_000_P_SYNC[4]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance CLK_030_H (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_P_SYNC_5 "CLK_000_P_SYNC[5]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance RESET_OUT (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_P_SYNC_6 "CLK_000_P_SYNC[6]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance DS_000_ENABLE (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance UDS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance RW_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) - ) - (instance RW_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_P_SYNC_7 "CLK_000_P_SYNC[7]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance LDS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -280,6 +268,12 @@ ) (instance DTACK_D0 (viewRef prim (cellRef DFF (libraryRef mach))) ) + (instance CLK_030_H (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance RESET_OUT (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance DS_000_ENABLE (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance BGACK_030_INT (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance BG_000DFF (viewRef prim (cellRef DFF (libraryRef mach))) @@ -290,6 +284,12 @@ ) (instance VMA_INT (viewRef prim (cellRef DFF (libraryRef mach))) ) + (instance UDS_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance RW_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) + ) + (instance RW_000_INT (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance BGACK_030_INT_D (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance CLK_000_PE (viewRef prim (cellRef DFF (libraryRef mach))) @@ -381,110 +381,94 @@ (instance AMIGA_BUS_ENABLE_LOW (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_HIGH (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance CIIN (viewRef prim (cellRef BUFTH (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_3 "pos_clk.cpu_est_11_0_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_1 "pos_clk.CYCLE_DMA_5_1_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i "pos_clk.CYCLE_DMA_5_1_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i_1 "pos_clk.CYCLE_DMA_5_0_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i "pos_clk.CYCLE_DMA_5_0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_168_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_168 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_0_a2_1_2 "pos_clk.cpu_est_11_i_0_a2_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_0_a2_2 "pos_clk.cpu_est_11_i_0_a2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_0_a2_0_1_2 "pos_clk.cpu_est_11_i_0_a2_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_0_a2_0_2 "pos_clk.cpu_est_11_i_0_a2_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_a2_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_6 "SM_AMIGA_srsts_i_0_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_6 "SM_AMIGA_srsts_i_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_4 "SM_AMIGA_srsts_i_0_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_4 "SM_AMIGA_srsts_i_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_3 "SM_AMIGA_srsts_i_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_3 "SM_AMIGA_srsts_i_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_1_3 "pos_clk.cpu_est_11_0_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a2_1_0_0 "SM_AMIGA_nss_i_i_0_a2_1_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a2_0 "SM_AMIGA_nss_i_i_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a2_0_1_0 "SM_AMIGA_nss_i_i_0_a2_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a2_0_0 "SM_AMIGA_nss_i_i_0_a2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_0_0 "SM_AMIGA_nss_i_i_a6_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_1_0_0 "SM_AMIGA_nss_i_i_a6_1_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_0 "SM_AMIGA_nss_i_i_a6[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_a3_0_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_0_1_1 "SM_AMIGA_srsts_i_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_0_1 "SM_AMIGA_srsts_i_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_a2_1_0_2 "SM_AMIGA_srsts_i_i_a2_1_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_a2_2 "SM_AMIGA_srsts_i_i_a2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_a2_0_1_2 "SM_AMIGA_srsts_i_i_a2_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_a2_0_2 "SM_AMIGA_srsts_i_i_a2_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_a2_1_1_3 "pos_clk.cpu_est_11_0_0_a2_1_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_a2_1_3 "pos_clk.cpu_est_11_0_0_a2_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_1 "pos_clk.cpu_est_11_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_a2_2_1_2 "SM_AMIGA_srsts_i_i_a2_2_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_a2_2_2_2 "SM_AMIGA_srsts_i_i_a2_2_2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_a2_2_3_2 "SM_AMIGA_srsts_i_i_a2_2_3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_a2_2_4_2 "SM_AMIGA_srsts_i_i_a2_2_4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_a2_2_2 "SM_AMIGA_srsts_i_i_a2_2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_a2_0_1 "pos_clk.un7_clk_000_pe_0_a2_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_a2_0_2 "pos_clk.un7_clk_000_pe_0_a2_0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_a2_0 "pos_clk.un7_clk_000_pe_0_a2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_a2_1 "pos_clk.un7_clk_000_pe_0_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_a2_2 "pos_clk.un7_clk_000_pe_0_a2_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_a2 "pos_clk.un7_clk_000_pe_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_168_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_168 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne_2 "pos_clk.un9_clk_000_ne_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne_3 "pos_clk.un9_clk_000_ne_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne_4 "pos_clk.un9_clk_000_ne_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne "pos_clk.un9_clk_000_ne") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_a4_0_1_2 "pos_clk.cpu_est_11_i_a4_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_a4_0_2 "pos_clk.cpu_est_11_i_a4_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_a4_1_2 "pos_clk.cpu_est_11_i_a4_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_a4_2 "pos_clk.cpu_est_11_i_a4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_1 "pos_clk.un24_bgack_030_int_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int "pos_clk.un24_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_2_1_0 "SM_AMIGA_nss_i_i_a6_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_2_2_0 "SM_AMIGA_nss_i_i_a6_2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_2_3_0 "SM_AMIGA_nss_i_i_a6_2_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_2_0 "SM_AMIGA_nss_i_i_a6_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_0_1_0 "SM_AMIGA_nss_i_i_a6_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_7_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RESET_OUT_0_sqmuxa_5_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RESET_OUT_0_sqmuxa_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_7_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_fpu_cs_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_fpu_cs_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_o3_0_1_0 "SM_AMIGA_nss_i_i_o3_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_o3_0_0 "SM_AMIGA_nss_i_i_o3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0_1 "pos_clk.un27_clk_000_ne_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0_2_0 "pos_clk.un27_clk_000_ne_d0_2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0_3 "pos_clk.un27_clk_000_ne_d0_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0 "pos_clk.un27_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un5_clk_000_pe_1 "pos_clk.un5_clk_000_pe_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un5_clk_000_pe_2 "pos_clk.un5_clk_000_pe_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un5_clk_000_pe_3 "pos_clk.un5_clk_000_pe_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un5_clk_000_pe "pos_clk.un5_clk_000_pe") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne_1 "pos_clk.un9_clk_000_ne_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_2_3 "SM_AMIGA_srsts_i_2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_3 "SM_AMIGA_srsts_i[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_1_4 "SM_AMIGA_srsts_i_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_4 "SM_AMIGA_srsts_i[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_1_5 "SM_AMIGA_srsts_i_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_2_5 "SM_AMIGA_srsts_i_2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_5 "SM_AMIGA_srsts_i[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_1_6 "SM_AMIGA_srsts_i_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_6 "SM_AMIGA_srsts_i[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un6_bg_030_1 "pos_clk.un6_bg_030_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un6_bg_030 "pos_clk.un6_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_1_0 "SM_AMIGA_nss_i_i_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_2_0 "SM_AMIGA_nss_i_i_0_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_3_0 "SM_AMIGA_nss_i_i_0_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0 "SM_AMIGA_nss_i_i_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a2_1_1_0 "SM_AMIGA_nss_i_i_0_a2_1_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a2_1_2_0 "SM_AMIGA_nss_i_i_0_a2_1_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a2_1_3_0 "SM_AMIGA_nss_i_i_0_a2_1_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a2_1_0 "SM_AMIGA_nss_i_i_0_a2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_1_1 "pos_clk.cpu_est_11_0_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_2_1 "pos_clk.cpu_est_11_0_0_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1_4 "pos_clk.un37_as_030_d0_i_a2_1_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_a2_1 "pos_clk.un37_as_030_d0_i_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_9 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_10 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2_11 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_ciin_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un22_berr_0_a2_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_2_5 "SM_AMIGA_srsts_i_0_2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_5 "SM_AMIGA_srsts_i_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_o2_1 "pos_clk.un24_bgack_030_int_i_0_o2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_o2 "pos_clk.un24_bgack_030_int_i_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_o4_1 "pos_clk.un37_as_030_d0_i_o4_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_o4 "pos_clk.un37_as_030_d0_i_o4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o4_1_1_0 "SM_AMIGA_nss_i_i_0_o4_1_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o4_1_0 "SM_AMIGA_nss_i_i_0_o4_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o4_1_0_0 "SM_AMIGA_nss_i_i_0_o4_1_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o4_0 "SM_AMIGA_nss_i_i_0_o4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un11_ds_030_d0_1 "pos_clk.un11_ds_030_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un11_ds_030_d0 "pos_clk.un11_ds_030_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_d0_1 "pos_clk.un7_clk_000_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_d0 "pos_clk.un7_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_7_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_7_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un37_as_030_d0_i_a2_1_1 "pos_clk.un37_as_030_d0_i_a2_1_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un37_as_030_d0_i_a2_1_2 "pos_clk.un37_as_030_d0_i_a2_1_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un37_as_030_d0_i_a2_1_3 "pos_clk.un37_as_030_d0_i_a2_1_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance LDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_15_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_000_DMA_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_a2_1_4 "pos_clk.un37_as_030_d0_i_a2_1_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_a2_1 "pos_clk.un37_as_030_d0_i_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un22_berr_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_fpu_cs_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_fpu_cs_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_1_3 "SM_AMIGA_srsts_i_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_1_3 "pos_clk.cpu_est_11_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_3 "pos_clk.cpu_est_11_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_1_1 "pos_clk.cpu_est_11_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_2_1 "pos_clk.cpu_est_11_0_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_1 "pos_clk.cpu_est_11_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_1_0 "SM_AMIGA_nss_i_i_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_2_0 "SM_AMIGA_nss_i_i_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_3_0 "SM_AMIGA_nss_i_i_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0 "SM_AMIGA_nss_i_i[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un11_ds_030_d0_1 "pos_clk.un11_ds_030_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un11_ds_030_d0 "pos_clk.un11_ds_030_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un8_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance N_16_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance UDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_19_i (viewRef prim (cellRef INV (libraryRef mach))) ) @@ -496,29 +480,25 @@ (instance BG_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_un6_bg_030_i "pos_clk.un6_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_un8_bg_030_i "pos_clk.un8_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_5 "SM_AMIGA_srsts_i_0_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_0 "IPL_D0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance nEXP_SPACE_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance nEXP_SPACE_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_o4_1 "pos_clk.un37_as_030_d0_i_o4_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_o4 "pos_clk.un37_as_030_d0_i_o4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_1_2 "SM_AMIGA_srsts_i_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_2 "SM_AMIGA_srsts_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance DS_030_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_3_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_6_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_8_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance A0_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_9_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance DSACK1_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_10_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_12_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_030_000_SYNC_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_13_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_288_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_289_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance A0_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_25_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_2 "IPL_030_1_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_24_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_14_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RW_000_INT_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_15_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RW_000_DMA_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_030_1_i_1 "IPL_030_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_23_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_030_1_i_0 "IPL_030_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) @@ -527,156 +507,199 @@ (instance (rename IPL_c_i_1 "IPL_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_D0_0_i_1 "IPL_D0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_c_i_0 "IPL_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_i_3 "pos_clk.cpu_est_11_0_0_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_91_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_301_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0_i "pos_clk.DS_000_DMA_4_f0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_305_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_306_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_307_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un14_amiga_bus_data_dir_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_o2_i "pos_clk.un24_bgack_030_int_i_0_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_i_6 "SM_AMIGA_srsts_i_0_o2_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_i_5 "SM_AMIGA_srsts_i_0_o2_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_286_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_283_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_284_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_290_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_291_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_279_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_293_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_82_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_83_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_i_2 "SM_AMIGA_srsts_i_i_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_84_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_85_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_294_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_296_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_109_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_i "pos_clk.un37_as_030_d0_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un5_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un8_ciin_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o4_i_0 "SM_AMIGA_nss_i_i_0_o4_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un3_as_030_d0_i_i_o2_i "pos_clk.un3_as_030_d0_i_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o4_1_i_0 "SM_AMIGA_nss_i_i_0_o4_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_o4_i_0 "pos_clk.SIZE_DMA_6_0_0_o4_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o4_i_1 "SM_AMIGA_srsts_i_0_o4_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i_o4_i "pos_clk.un37_as_030_d0_i_o4_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_EXP_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_116_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o4_0_i_0 "SM_AMIGA_nss_i_i_0_o4_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_101_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_n_sync_i "pos_clk.un9_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un14_clk_000_n_sync_i "pos_clk.un14_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un22_bgack_030_int_i_0 "pos_clk.un22_bgack_030_int_i_0") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_86_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_93_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_99_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_i_1 "pos_clk.SIZE_DMA_6_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_100_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_i_0 "pos_clk.SIZE_DMA_6_0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_108_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0_i "pos_clk.un7_clk_000_pe_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_304_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_303_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VPA_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VPA_D_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_D0_0_i_0 "IPL_D0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance DTACK_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance DTACK_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_97_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_96_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_95_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VPA_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VPA_D_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance nEXP_SPACE_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance nEXP_SPACE_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_158_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_155_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_156_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_154_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_152_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_153_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_6 "SM_AMIGA_srsts_i_o3_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_4 "SM_AMIGA_srsts_i_o3_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_5 "SM_AMIGA_srsts_i_o3_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_0_i_0 "SM_AMIGA_srsts_i_o3_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance A0_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_25_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_1_i_2 "IPL_030_1_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_24_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_93_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_94_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_313_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_0_o2_i_3 "SM_AMIGA_srsts_i_o3_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_i "pos_clk.un37_as_030_d0_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un3_as_030_d0_0_o2_i "pos_clk.un3_as_030_d0_0_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_o4_i_0 "pos_clk.SIZE_DMA_6_0_0_o4_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i_o4_i "pos_clk.un37_as_030_d0_i_o4_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_srsts_i_0_o4_i_0 "SM_AMIGA_srsts_i_0_o4_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_312_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_90_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_88_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_299_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_i_4 "SM_AMIGA_srsts_i_0_o2_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_o2_i_2 "SM_AMIGA_srsts_i_i_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_o2_i_3 "pos_clk.cpu_est_11_0_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_o2_i_1 "pos_clk.cpu_est_11_0_0_o2_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_0_o2_i_2 "pos_clk.cpu_est_11_i_0_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_0_i_o2_i_0 "SM_AMIGA_srsts_i_o3_0_i_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_310_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_311_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_o2_0_i_2 "SM_AMIGA_srsts_i_i_o2_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_309_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_308_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_104_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_160_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_161_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_159_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_157_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_m_i_6 "un1_RST_DLY_i_m_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_m_i_7 "un1_RST_DLY_i_m_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_m_i_8 "un1_RST_DLY_i_m_i[8]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_76_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_83_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_84_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_86_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_i_1 "pos_clk.SIZE_DMA_6_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_87_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_i_0 "pos_clk.SIZE_DMA_6_0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_88_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_1_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DSACK1_INT_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_INT_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_i_1 "pos_clk.cpu_est_11_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_198_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_197_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_199_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_i_3 "pos_clk.cpu_est_11_0_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_151_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_150_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_o3_0_i_0 "SM_AMIGA_nss_i_i_o3_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o4_i_1 "SM_AMIGA_srsts_i_0_o4_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_85_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RESET_OUT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename un1_RST_DLY_i_m_i_2 "un1_RST_DLY_i_m_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_98_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_105_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_i_3 "un1_RST_DLY_i_m_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_i_4 "un1_RST_DLY_i_m_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_i_5 "un1_RST_DLY_i_m_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe_i "pos_clk.un7_clk_000_pe_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0_i "pos_clk.un27_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un21_clk_000_ne_d0_i "pos_clk.un21_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un23_clk_000_ne_d0_i "pos_clk.un23_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_3 "SM_AMIGA_srsts_i_o3_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_i_2 "SM_AMIGA_srsts_i_o3_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_195_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_196_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_o4_i_2 "pos_clk.cpu_est_11_i_o4_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_o4_i_1 "pos_clk.cpu_est_11_0_o4_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_o4_i_3 "pos_clk.cpu_est_11_0_o4_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_193_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_191_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_192_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_194_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f1_i "pos_clk.DS_000_DMA_4_f1_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_162_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_163_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_164_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_165_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un22_bgack_030_int_i "pos_clk.un22_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_n_sync_i "pos_clk.un9_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un14_clk_000_n_sync_i "pos_clk.un14_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_22_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance BGACK_030_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_18_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance VMA_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_14_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_000_INT_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_10_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_i_1 "pos_clk.cpu_est_11_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_3 "un1_RST_DLY_i_m_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_4 "un1_RST_DLY_i_m_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_i_5 "un1_RST_DLY_i_m_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_3 "un1_RST_DLY_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_3 "un1_RST_DLY_i_m[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_as_000_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_146 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_144 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_142 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_71_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_amiga_bus_enable_low_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_ne_i "pos_clk.un9_clk_000_ne_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un5_clk_000_pe_i "pos_clk.un5_clk_000_pe_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_6_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_3_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un29_bgack_030_int_i "pos_clk.un29_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un26_bgack_030_int_i "pos_clk.un26_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un27_bgack_030_int_i "pos_clk.un27_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_7_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_o3_i_0 "SM_AMIGA_nss_i_i_o3_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_176_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_175_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un21_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance G_141 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_139 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_6 "pos_clk.RST_DLY_5_iv[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_7 "un1_RST_DLY_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_7 "un1_RST_DLY_i_m[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_5 "pos_clk.RST_DLY_5_iv[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_6 "un1_RST_DLY_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_6 "un1_RST_DLY_i_m[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_4 "pos_clk.RST_DLY_5_iv[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_5 "un1_RST_DLY_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_5 "un1_RST_DLY_i_m[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_3 "pos_clk.RST_DLY_5_iv[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_4 "un1_RST_DLY_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_4 "un1_RST_DLY_i_m[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_2 "pos_clk.RST_DLY_5_iv[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_87_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_0_a2_0 "pos_clk.RST_DLY_5_iv_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_2 "un1_RST_DLY_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_2 "un1_RST_DLY_i_m[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_1 "pos_clk.RST_DLY_5_iv[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_8 "un1_RST_DLY_i[8]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_RST_DLY_i_m_8 "un1_RST_DLY_i_m[8]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_7 "pos_clk.RST_DLY_5_iv[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_137 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_149 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_147 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_145 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_143 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance un6_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename CYCLE_DMA_i_0 "CYCLE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un26_bgack_030_int "pos_clk.un26_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename CYCLE_DMA_i_1 "CYCLE_DMA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un29_bgack_030_int "pos_clk.un29_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_160 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un24_bgack_030_int_i "pos_clk.un24_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance I_234 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_ds_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_amiga_bus_enable_low_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_o3_0 "SM_AMIGA_nss_i_i_o3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_235 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_a6_1_0 "SM_AMIGA_nss_i_i_a6_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1 "pos_clk.CYCLE_DMA_5_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0 "pos_clk.CYCLE_DMA_5_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_EXP_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_rst_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un27_bgack_030_int "pos_clk.un27_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_d0_i "pos_clk.un7_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_000_NE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_000_PE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance nEXP_SPACE_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un40_bgack_030_int_1_i "pos_clk.un40_bgack_030_int_1_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0 "pos_clk.DS_000_DMA_4_f0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f1 "pos_clk.DS_000_DMA_4_f1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_236 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance CLK_OUT_PRE_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un11_clk_000_n_sync "pos_clk.un11_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un11_clk_000_n_sync_i "pos_clk.un11_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_n_sync "pos_clk.un9_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un14_clk_000_n_sync "pos_clk.un14_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_237 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_238 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un22_bgack_030_int "pos_clk.un22_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa_i_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_1__r "SM_AMIGA_srsts_i_0_m2_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_1__m "SM_AMIGA_srsts_i_0_m2_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_1__n "SM_AMIGA_srsts_i_0_m2_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_m2_1__p "SM_AMIGA_srsts_i_0_m2_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance G_161 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_159 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename pos_clk_un40_bgack_030_int_1 "pos_clk.un40_bgack_030_int_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un29_clk_000_ne_d0_i "pos_clk.un29_clk_000_ne_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_0_2 "SM_AMIGA_srsts_i_a6_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_2 "SM_AMIGA_srsts_i_a6[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un29_clk_000_ne_d0 "pos_clk.un29_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un23_clk_000_ne_d0 "pos_clk.un23_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un7_clk_000_pe "pos_clk.un7_clk_000_pe") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DTACK_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un21_clk_000_ne_d0 "pos_clk.un21_clk_000_ne_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_3__r "cpu_est_0_3_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename cpu_est_0_3__m "cpu_est_0_3_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_3__n "cpu_est_0_3_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -689,178 +712,157 @@ (instance (rename cpu_est_0_1__m "cpu_est_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_1__n "cpu_est_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_1__p "cpu_est_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance AS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RW_000_INT_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance VMA_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance BGACK_030_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_r "RW_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance G_136 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_138 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_0_x2_0 "pos_clk.RST_DLY_5_iv_0_x2[0]") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance RESET_OUT_2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RST_DLY_5_iv_0_0 "pos_clk.RST_DLY_5_iv_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_o2_2 "SM_AMIGA_srsts_i_i_o2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_4 "SM_AMIGA_srsts_i_0_o2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_0_x2_0 "cpu_est_0_0_x2[0]") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance CLK_000_PE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_234 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_3__r "SM_AMIGA_srsts_i_0_m2_3_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_3__m "SM_AMIGA_srsts_i_0_m2_3_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_3__n "SM_AMIGA_srsts_i_0_m2_3_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_3__p "SM_AMIGA_srsts_i_0_m2_3_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_x2 "pos_clk.CYCLE_DMA_5_1_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i_x2 "pos_clk.CYCLE_DMA_5_0_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_o4_1 "pos_clk.cpu_est_11_0_o4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_o4_2 "pos_clk.cpu_est_11_i_o4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_i_2 "pos_clk.cpu_est_11_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_0_3 "pos_clk.cpu_est_11_0_a4_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_3 "pos_clk.cpu_est_11_0_a4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_2_1 "pos_clk.cpu_est_11_0_a4_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_1_1 "pos_clk.cpu_est_11_0_a4_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance G_160 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_a2_1_1 "pos_clk.cpu_est_11_0_0_a2_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DTACK_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_a2_3_2 "SM_AMIGA_srsts_i_i_a2_3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_a2_2_1 "pos_clk.cpu_est_11_0_0_a2_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_a2_1_2 "SM_AMIGA_srsts_i_i_a2_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_0_2 "pos_clk.cpu_est_11_i_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un7_clk_000_pe_0 "pos_clk.un7_clk_000_pe_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_o2_0_2 "SM_AMIGA_srsts_i_i_o2_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_0_i_o2_0 "SM_AMIGA_srsts_i_o3_0_i_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_i_0_o2_2 "pos_clk.cpu_est_11_i_0_o2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_o2_1 "pos_clk.cpu_est_11_0_0_o2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_o2_3 "pos_clk.cpu_est_11_0_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_3 "SM_AMIGA_srsts_i_0_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_0_o2_3 "SM_AMIGA_srsts_i_o3_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DTACK_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VPA_D_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_0_1 "pos_clk.cpu_est_11_0_a4_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_1 "pos_clk.cpu_est_11_0_a4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_2 "SM_AMIGA_srsts_i_o3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_3 "SM_AMIGA_srsts_i_o3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_146 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_144 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_142 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_138 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_136 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_a2_1 "SM_AMIGA_srsts_i_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un4_bgack_000_i_a2 "pos_clk.un4_bgack_000_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_1__r "SM_AMIGA_srsts_i_0_m2_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_1__m "SM_AMIGA_srsts_i_0_m2_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_1__n "SM_AMIGA_srsts_i_0_m2_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_m2_1__p "SM_AMIGA_srsts_i_0_m2_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_4 "SM_AMIGA_srsts_i_0_a2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_5_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_a2_3 "pos_clk.cpu_est_11_0_0_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_a2_0_3 "pos_clk.cpu_est_11_0_0_a2_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_a2_1 "pos_clk.cpu_est_11_0_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_cpu_est_11_0_0_a2_0_1 "pos_clk.cpu_est_11_0_0_a2_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_n_sync "pos_clk.un9_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_OUT_PRE_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un11_clk_000_n_sync "pos_clk.un11_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a2_2_0 "SM_AMIGA_nss_i_i_0_a2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_0 "SM_AMIGA_srsts_i_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a4_0 "SM_AMIGA_nss_i_i_0_a4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0 "SM_AMIGA_srsts_i_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o4_0_0 "SM_AMIGA_nss_i_i_0_o4_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o2_0 "SM_AMIGA_nss_i_i_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o4_0 "SM_AMIGA_srsts_i_0_o4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_0_6 "SM_AMIGA_srsts_i_0_a2_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_000_NE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_0_4 "SM_AMIGA_srsts_i_0_a2_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_237 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o4_1 "SM_AMIGA_srsts_i_0_o4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_0 "cpu_est_0[0]") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un27_clk_000_ne_d0_2 "pos_clk.un27_clk_000_ne_d0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_a4_1_3 "pos_clk.cpu_est_11_0_a4_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_cpu_est_11_0_o4_3 "pos_clk.cpu_est_11_0_o4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_4 "un1_RST_DLY_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_4 "un1_RST_DLY_i_m[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_2 "pos_clk.RST_DLY_5_iv[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_3 "un1_RST_DLY_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_3 "un1_RST_DLY_i_m[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_1 "pos_clk.RST_DLY_5_iv[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_2 "un1_RST_DLY_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_2 "un1_RST_DLY_i_m[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_77_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_0_a2_0 "pos_clk.RST_DLY_5_iv_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_0_0 "pos_clk.RST_DLY_5_iv_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_0_x2_0 "pos_clk.RST_DLY_5_iv_0_x2[0]") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_137 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance RESET_OUT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_7 "pos_clk.RST_DLY_5_iv[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_8 "un1_RST_DLY_i[8]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_8 "un1_RST_DLY_i_m[8]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_6 "pos_clk.RST_DLY_5_iv[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_7 "un1_RST_DLY_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_7 "un1_RST_DLY_i_m[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_5 "pos_clk.RST_DLY_5_iv[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_6 "un1_RST_DLY_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_6 "un1_RST_DLY_i_m[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_4 "pos_clk.RST_DLY_5_iv[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_5 "un1_RST_DLY_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_RST_DLY_i_m_5 "un1_RST_DLY_i_m[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RST_DLY_5_iv_3 "pos_clk.RST_DLY_5_iv[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_239 (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_030_D0_0_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_a2_0 "SM_AMIGA_srsts_i_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_SIZE_DMA_6_0_0_a2_0 "pos_clk.SIZE_DMA_6_0_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_SIZE_DMA_6_0_0_a2_1 "pos_clk.SIZE_DMA_6_0_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_1 "SM_AMIGA_srsts_i_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_0_sqmuxa_i_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance nEXP_SPACE_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_as_030_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_235 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_236 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un22_bgack_030_int "pos_clk.un22_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un14_clk_000_n_sync "pos_clk.un14_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un11_clk_000_n_sync_i "pos_clk.un11_clk_000_n_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un37_as_030_d0_i "pos_clk.un37_as_030_d0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_5_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RESET_OUT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un3_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_149 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_147 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_145 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_143 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_141 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_139 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_1_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0 "SM_AMIGA_srsts_i_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_SIZE_DMA_6_0_0_0 "pos_clk.SIZE_DMA_6_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_SIZE_DMA_6_0_0_1 "pos_clk.SIZE_DMA_6_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un2_rw_i_a4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance CLK_000_D1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_CLK_000_P_SYNC_2_0_a2_0 "pos_clk.CLK_000_P_SYNC_2_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un3_as_030_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un2_rw_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_as_000_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un37_as_030_d0_i_a2_0 "pos_clk.un37_as_030_d0_i_a2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un37_as_030_d0_i_a2 "pos_clk.un37_as_030_d0_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_1_sqmuxa_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_A0_DMA_3_0_a2 "pos_clk.A0_DMA_3_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance A1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_238 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0_a2 "pos_clk.DS_000_DMA_4_f0_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_1_5 "SM_AMIGA_srsts_i_0_a2_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_0_5 "SM_AMIGA_srsts_i_0_a2_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_5 "SM_AMIGA_srsts_i_0_a2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_6 "SM_AMIGA_srsts_i_0_a2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o4_1 "SM_AMIGA_srsts_i_0_o4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o6_0_a4_0 "SM_AMIGA_srsts_i_o6_0_a4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__r "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__m "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__n "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__p "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_o4_0 "SM_AMIGA_srsts_i_0_o4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_SIZE_DMA_6_0_0_o4_0 "pos_clk.SIZE_DMA_6_0_0_o4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance SIZE_DMA_3_sqmuxa_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un3_as_030_d0_i_i_o2 "pos_clk.un3_as_030_d0_i_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CLK_000_N_SYNC_2_0_o4_0 "pos_clk.CLK_000_N_SYNC_2_0_o4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_240 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_r "DS_000_ENABLE_1_sqmuxa_1_i_m4.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_m "DS_000_ENABLE_1_sqmuxa_1_i_m4.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_n "DS_000_ENABLE_1_sqmuxa_1_i_m4.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_p "DS_000_ENABLE_1_sqmuxa_1_i_m4.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename pos_clk_un3_as_030_d0_0_o2 "pos_clk.un3_as_030_d0_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance SIZE_DMA_3_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un8_ciin_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_5 "SM_AMIGA_srsts_i_0_o2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_6 "SM_AMIGA_srsts_i_0_o2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_o2 "pos_clk.CYCLE_DMA_5_1_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un24_bgack_030_int_i_0_x2 "pos_clk.un24_bgack_030_int_i_0_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance un14_amiga_bus_data_dir_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0 "pos_clk.DS_000_DMA_4_f0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_i_2 "SM_AMIGA_srsts_i_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_239 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_as_030_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un37_as_030_d0_i "pos_clk.un37_as_030_d0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_248_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_5 "SM_AMIGA_srsts_i_a6[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_0_5 "SM_AMIGA_srsts_i_a6_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_1_5 "SM_AMIGA_srsts_i_a6_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_6 "SM_AMIGA_srsts_i_a6[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_0_6 "SM_AMIGA_srsts_i_a6_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_0_0 "SM_AMIGA_srsts_i_o3_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_5 "SM_AMIGA_srsts_i_o3[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_4 "SM_AMIGA_srsts_i_o3[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_6 "SM_AMIGA_srsts_i_o3[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_000_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_CLK_000_N_SYNC_2_0_a4_0 "pos_clk.CLK_000_N_SYNC_2_0_a4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o6_0_o2_0 "SM_AMIGA_srsts_i_o6_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_167 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SIZE_DMA_i_1 "SIZE_DMA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un4_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un3_size (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_24 "A_i[24]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un22_berr_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance FPU_SENSE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__r "un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__m "un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__n "un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__p "un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance I_240 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_SM_AMIGA_7_i_m2_r "un1_SM_AMIGA_7_i_m2.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_SM_AMIGA_7_i_m2_m "un1_SM_AMIGA_7_i_m2.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_SM_AMIGA_7_i_m2_n "un1_SM_AMIGA_7_i_m2.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_SM_AMIGA_7_i_m2_p "un1_SM_AMIGA_7_i_m2.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance CLK_030_H_2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un14_amiga_bus_data_dir (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_3 "SM_AMIGA_srsts_i_a6[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_0_3 "SM_AMIGA_srsts_i_a6_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_1_3 "SM_AMIGA_srsts_i_a6_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_4 "SM_AMIGA_srsts_i_a6[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_a6_0_4 "SM_AMIGA_srsts_i_a6_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un6_lds_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un6_lds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_uds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_24 "A_i[24]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_25 "A_i[25]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_26 "A_i[26]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_27 "A_i[27]") (viewRef prim (cellRef INV (libraryRef mach))) ) @@ -872,16 +874,16 @@ (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance G_165 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) (instance G_166 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance CLK_OUT_PRE_50_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_224_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_225_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_226_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_uds_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance I_242 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_lds_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_102_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_030_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance nEXP_SPACE_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_89_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DTACK_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_D0_0_0 "IPL_D0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_D0_0_1 "IPL_D0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_D0_0_2 "IPL_D0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -908,41 +910,22 @@ (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_104_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_r "AMIGA_BUS_ENABLE_DMA_HIGH_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_m "AMIGA_BUS_ENABLE_DMA_HIGH_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_n "AMIGA_BUS_ENABLE_DMA_HIGH_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_p "AMIGA_BUS_ENABLE_DMA_HIGH_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance DS_000_ENABLE_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance BG_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_DMA_HIGH_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_DMA_LOW_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance UDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance RW_000_DMA_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RW_000_INT_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance LDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AS_030_000_SYNC_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance DSACK1_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance A0_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un6_lds_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_r "A0_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A0_DMA_0_m "A0_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_n "A0_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_p "A0_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_92_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_030_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance nEXP_SPACE_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_D_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_80_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename DSACK1_INT_0_r "DSACK1_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename DSACK1_INT_0_m "DSACK1_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename DSACK1_INT_0_n "DSACK1_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -951,7 +934,6 @@ (instance (rename AS_000_INT_0_m "AS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_000_INT_0_n "AS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_000_INT_0_p "AS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_282_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -964,6 +946,11 @@ (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename RW_000_INT_0_r "RW_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance un14_amiga_bus_data_dir_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -972,11 +959,20 @@ (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename UDS_000_INT_0_p "UDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_103_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_90_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_r "AMIGA_BUS_ENABLE_DMA_LOW_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_m "AMIGA_BUS_ENABLE_DMA_LOW_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_n "AMIGA_BUS_ENABLE_DMA_LOW_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_p "AMIGA_BUS_ENABLE_DMA_LOW_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance N_91_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_r "AMIGA_BUS_ENABLE_DMA_HIGH_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_m "AMIGA_BUS_ENABLE_DMA_HIGH_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_n "AMIGA_BUS_ENABLE_DMA_HIGH_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_p "AMIGA_BUS_ENABLE_DMA_HIGH_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance DS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename pos_clk_un3_ds_030_d0 "pos_clk.un3_ds_030_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_DMA_LOW_i (viewRef prim (cellRef INV (libraryRef mach))) ) @@ -986,31 +982,35 @@ (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un4_as_000 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un4_as_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_ds_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un6_ds_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un6_uds_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_lds_000_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A0_DMA_0_r "A0_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A0_DMA_0_m "A0_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_n "A0_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_p "A0_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (net BGACK_030_INT (joined (portRef Q (instanceRef BGACK_030_INT)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__m)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__r)) (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__m)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__r)) + (portRef I0 (instanceRef un1_as_000_i_a2)) (portRef I0 (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef un1_as_000_0)) (portRef I0 (instanceRef BGACK_030_INT_i)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) (portRef I0 (instanceRef BGACK_030)) )) (net VCC (joined (portRef I0 (instanceRef AVEC)) + (portRef I0 (instanceRef CIIN)) )) (net (rename cpu_est_3 "cpu_est[3]") (joined (portRef Q (instanceRef cpu_est_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_a2_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_a2_1_1)) (portRef I0 (instanceRef cpu_est_i_3)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_3)) (portRef I0 (instanceRef cpu_est_0_3__n)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_2_3_2)) + (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_1)) (portRef I0 (instanceRef E)) )) (net VMA_INT (joined @@ -1021,8 +1021,9 @@ )) (net RESET_OUT (joined (portRef Q (instanceRef RESET_OUT)) - (portRef I1 (instanceRef un2_rw_i_a4)) - (portRef I1 (instanceRef un1_as_000_0)) + (portRef I1 (instanceRef un1_as_000_i_a2)) + (portRef I1 (instanceRef un2_rw_i_a2)) + (portRef I0 (instanceRef un3_as_030)) (portRef I0 (instanceRef RESET_OUT_i)) (portRef I0 (instanceRef RESET)) )) @@ -1037,7 +1038,7 @@ (portRef I0 (instanceRef un1_amiga_bus_enable_low_i)) )) (net un6_as_030 (joined - (portRef O (instanceRef un6_as_030_0_a2)) + (portRef O (instanceRef un6_as_030)) (portRef I0 (instanceRef un6_as_030_i)) )) (net un3_size (joined @@ -1048,10 +1049,13 @@ (portRef O (instanceRef un4_size)) (portRef I0 (instanceRef SIZE_0)) )) - (net un5_ciin (joined - (portRef O (instanceRef un5_ciin_0_a2)) - (portRef I0 (instanceRef un5_ciin_i)) - (portRef I0 (instanceRef CIIN)) + (net un8_ciin (joined + (portRef O (instanceRef un8_ciin)) + (portRef OE (instanceRef CIIN)) + )) + (net un14_amiga_bus_data_dir (joined + (portRef O (instanceRef un14_amiga_bus_data_dir)) + (portRef I0 (instanceRef un14_amiga_bus_data_dir_i)) )) (net un4_as_000 (joined (portRef O (instanceRef un4_as_000)) @@ -1079,33 +1083,36 @@ )) (net (rename cpu_est_0 "cpu_est[0]") (joined (portRef Q (instanceRef cpu_est_0)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_o2_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_a2_2_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_3)) + (portRef I1 (instanceRef cpu_est_0_0)) (portRef I0 (instanceRef cpu_est_i_0)) - (portRef I1 (instanceRef cpu_est_0_0_x2_0)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_0_a2_1_2)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_1_2)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_3)) )) (net (rename cpu_est_1 "cpu_est[1]") (joined (portRef Q (instanceRef cpu_est_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_o2_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_0_o2_2)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_o4_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_o4_2)) (portRef I0 (instanceRef cpu_est_i_1)) - (portRef I0 (instanceRef VMA_INT_0_m)) (portRef I0 (instanceRef cpu_est_0_1__n)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_0_a2_0_1_2)) + (portRef I0 (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_0_1_2)) )) (net AS_000_INT (joined (portRef Q (instanceRef AS_000_INT)) (portRef I0 (instanceRef AS_000_INT_i)) - (portRef I0 (instanceRef AS_000_INT_0_m)) + (portRef I0 (instanceRef AS_000_INT_0_n)) )) (net (rename SM_AMIGA_5 "SM_AMIGA[5]") (joined (portRef Q (instanceRef SM_AMIGA_5)) - (portRef I1 (instanceRef un1_SM_AMIGA_7_i_m2_m)) - (portRef I0 (instanceRef un1_SM_AMIGA_7_i_m2_r)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_0_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_5)) (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) (portRef I0 (instanceRef SM_AMIGA_i_5)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_m)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_r)) )) (net AMIGA_BUS_ENABLE_DMA_LOW (joined (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_LOW)) @@ -1120,8 +1127,9 @@ (net nEXP_SPACE_D0 (joined (portRef Q (instanceRef nEXP_SPACE_D0)) (portRef I0 (instanceRef nEXP_SPACE_D0_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o4_0)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o4_1)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o4)) + (portRef I1 (instanceRef un8_ciin_1)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_d0)) (portRef I0 (instanceRef pos_clk_un6_bg_030_1)) (portRef OE (instanceRef DSACK1)) )) @@ -1140,25 +1148,26 @@ )) (net AS_000_DMA (joined (portRef Q (instanceRef AS_000_DMA)) - (portRef I0 (instanceRef AS_000_DMA_0_n)) (portRef I0 (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) + (portRef I0 (instanceRef AS_000_DMA_0_m)) )) (net DS_000_DMA (joined (portRef Q (instanceRef DS_000_DMA)) (portRef I0 (instanceRef DS_000_DMA_i)) - (portRef I0 (instanceRef DS_000_DMA_0_n)) + (portRef I0 (instanceRef DS_000_DMA_0_m)) )) (net (rename CYCLE_DMA_0 "CYCLE_DMA[0]") (joined (portRef Q (instanceRef CYCLE_DMA_0)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_x2)) + (portRef I1 (instanceRef G_159)) (portRef I1 (instanceRef G_160)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) + (portRef I0 (instanceRef pos_clk_un29_bgack_030_int)) + (portRef I0 (instanceRef CYCLE_DMA_i_0)) )) (net (rename CYCLE_DMA_1 "CYCLE_DMA[1]") (joined (portRef Q (instanceRef CYCLE_DMA_1)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_x2)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) + (portRef I0 (instanceRef G_161)) + (portRef I0 (instanceRef CYCLE_DMA_i_1)) + (portRef I0 (instanceRef pos_clk_un26_bgack_030_int)) )) (net (rename SIZE_DMA_0 "SIZE_DMA[0]") (joined (portRef Q (instanceRef SIZE_DMA_0)) @@ -1174,8 +1183,8 @@ )) (net VPA_D (joined (portRef Q (instanceRef VPA_D)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_3_2)) (portRef I0 (instanceRef VPA_D_i)) + (portRef I1 (instanceRef pos_clk_un21_clk_000_ne_d0)) )) (net UDS_000_INT (joined (portRef Q (instanceRef UDS_000_INT)) @@ -1202,24 +1211,23 @@ )) (net CLK_000_D1 (joined (portRef Q (instanceRef CLK_000_D1)) - (portRef I1 (instanceRef pos_clk_CLK_000_N_SYNC_2_0_o4_0)) + (portRef I1 (instanceRef pos_clk_CLK_000_N_SYNC_2_0_a4_0)) (portRef I0 (instanceRef CLK_000_D1_i)) )) (net CLK_000_D0 (joined (portRef Q (instanceRef CLK_000_D0)) - (portRef I0 (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a2_0)) (portRef I0 (instanceRef CLK_000_D0_i)) + (portRef I0 (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a2_0)) (portRef I1 (instanceRef pos_clk_un6_bg_030)) (portRef D (instanceRef CLK_000_D1)) )) (net CLK_000_PE (joined (portRef Q (instanceRef CLK_000_PE)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_5)) (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0_a2)) - (portRef I0 (instanceRef G_160)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) + (portRef I0 (instanceRef G_159)) (portRef I0 (instanceRef CLK_000_PE_i)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_a2_1)) + (portRef I0 (instanceRef G_160)) + (portRef I1 (instanceRef pos_clk_un5_clk_000_pe_1)) )) (net (rename CLK_000_P_SYNC_9 "CLK_000_P_SYNC[9]") (joined (portRef Q (instanceRef CLK_000_P_SYNC_9)) @@ -1227,12 +1235,11 @@ )) (net CLK_000_NE (joined (portRef Q (instanceRef CLK_000_NE)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_1_5)) - (portRef I0 (instanceRef CLK_000_NE_i)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o4_0)) (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_0_x2_0)) (portRef I0 (instanceRef G_136)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_a2_0_1)) + (portRef I0 (instanceRef CLK_000_NE_i)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_2)) (portRef D (instanceRef CLK_000_NE_D0)) )) (net (rename CLK_000_N_SYNC_11 "CLK_000_N_SYNC[11]") (joined @@ -1241,11 +1248,12 @@ )) (net (rename cpu_est_2 "cpu_est[2]") (joined (portRef Q (instanceRef cpu_est_2)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_0_o2_2)) (portRef I0 (instanceRef cpu_est_i_2)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_i_o4_2)) (portRef I0 (instanceRef cpu_est_0_2__n)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_a2_0_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_2_3_2)) + (portRef I1 (instanceRef pos_clk_un5_clk_000_pe_2)) + (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_3)) )) (net (rename IPL_D0_0 "IPL_D0[0]") (joined (portRef Q (instanceRef IPL_D0_0)) @@ -1261,22 +1269,20 @@ )) (net (rename SM_AMIGA_3 "SM_AMIGA[3]") (joined (portRef Q (instanceRef SM_AMIGA_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_3)) (portRef I0 (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_0_o2_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_3__m)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_3__r)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_0_2)) )) (net CLK_000_NE_D0 (joined (portRef Q (instanceRef CLK_000_NE_D0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_1_2)) - (portRef I0 (instanceRef cpu_est_0_0_x2_0)) + (portRef I0 (instanceRef cpu_est_0_0)) (portRef I1 (instanceRef cpu_est_0_1__m)) (portRef I0 (instanceRef cpu_est_0_1__r)) (portRef I1 (instanceRef cpu_est_0_2__m)) (portRef I0 (instanceRef cpu_est_0_2__r)) (portRef I1 (instanceRef cpu_est_0_3__m)) (portRef I0 (instanceRef cpu_est_0_3__r)) + (portRef I0 (instanceRef pos_clk_un29_clk_000_ne_d0)) )) (net (rename pos_clk_un6_bg_030 "pos_clk.un6_bg_030") (joined (portRef O (instanceRef pos_clk_un6_bg_030)) @@ -1284,14 +1290,14 @@ )) (net (rename SM_AMIGA_0 "SM_AMIGA[0]") (joined (portRef Q (instanceRef SM_AMIGA_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a4_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o6_0_a4_0)) (portRef I1 (instanceRef un1_SM_AMIGA_5_i_0_a2)) (portRef I0 (instanceRef SM_AMIGA_i_0)) )) (net AMIGA_BUS_ENABLE_DMA_HIGH (joined (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_i)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__n)) )) (net DSACK1_INT (joined (portRef Q (instanceRef DSACK1_INT)) @@ -1302,6 +1308,11 @@ (portRef O (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a2_0)) (portRef D (instanceRef CLK_000_P_SYNC_0)) )) + (net (rename pos_clk_CLK_000_N_SYNC_2_0 "pos_clk.CLK_000_N_SYNC_2[0]") (joined + (portRef O (instanceRef pos_clk_CLK_000_N_SYNC_2_0_a4_0)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_d0_1)) + (portRef D (instanceRef CLK_000_N_SYNC_0)) + )) (net (rename pos_clk_ipl "pos_clk.ipl") (joined (portRef O (instanceRef G_168)) (portRef I1 (instanceRef IPL_030_0_2__m)) @@ -1321,8 +1332,7 @@ (net (rename SM_AMIGA_6 "SM_AMIGA[6]") (joined (portRef Q (instanceRef SM_AMIGA_6)) (portRef I1 (instanceRef pos_clk_un3_ds_030_d0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_6)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_6)) (portRef I0 (instanceRef SM_AMIGA_i_6)) )) (net AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa (joined @@ -1336,8 +1346,8 @@ )) (net (rename RST_DLY_1 "RST_DLY[1]") (joined (portRef Q (instanceRef RST_DLY_1)) - (portRef I1 (instanceRef G_138)) (portRef I1 (instanceRef G_137)) + (portRef I1 (instanceRef G_138)) (portRef I1 (instanceRef RESET_OUT_0_sqmuxa_5_1)) )) (net (rename RST_DLY_2 "RST_DLY[2]") (joined @@ -1462,14 +1472,14 @@ )) (net (rename pos_clk_un5_bgack_030_int_d "pos_clk.un5_bgack_030_int_d") (joined (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) - (portRef I1 (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_r)) (portRef I1 (instanceRef A0_DMA_0_m)) (portRef I0 (instanceRef A0_DMA_0_r)) (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) + (portRef I1 (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_r)) )) (net RW_000_INT (joined (portRef Q (instanceRef RW_000_INT)) @@ -1488,59 +1498,49 @@ )) (net CLK_030_H (joined (portRef Q (instanceRef CLK_030_H)) - (portRef I0 (instanceRef CLK_030_H_i)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) + (portRef I0 (instanceRef pos_clk_un40_bgack_030_int_1)) + (portRef I0 (instanceRef CLK_030_H_0)) )) (net (rename SM_AMIGA_1 "SM_AMIGA[1]") (joined (portRef Q (instanceRef SM_AMIGA_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o4_1)) - (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i_i_a2)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o4_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o4_1)) (portRef I0 (instanceRef SM_AMIGA_i_1)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_1__m)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__r)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i_i_a2)) )) (net (rename SM_AMIGA_4 "SM_AMIGA[4]") (joined (portRef Q (instanceRef SM_AMIGA_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_4)) (portRef I0 (instanceRef SM_AMIGA_i_4)) )) (net (rename SM_AMIGA_2 "SM_AMIGA[2]") (joined (portRef Q (instanceRef SM_AMIGA_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_2)) (portRef I0 (instanceRef SM_AMIGA_i_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_2)) )) (net (rename pos_clk_un3_as_030_d0 "pos_clk.un3_as_030_d0") (joined - (portRef O (instanceRef pos_clk_un3_as_030_d0_i_i_o2_i)) + (portRef O (instanceRef pos_clk_un3_as_030_d0_0_o2_i)) (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) )) (net DS_000_ENABLE (joined (portRef Q (instanceRef DS_000_ENABLE)) - (portRef I0 (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef DS_000_ENABLE_0_n)) (portRef I0 (instanceRef un6_lds_000_1)) )) - (net AS_000_INT_1_sqmuxa (joined - (portRef O (instanceRef AS_000_INT_1_sqmuxa_0_a2)) - (portRef I1 (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef AS_000_INT_0_r)) + (net (rename pos_clk_CYCLE_DMA_5_0 "pos_clk.CYCLE_DMA_5[0]") (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0)) + (portRef D (instanceRef CYCLE_DMA_0)) )) - (net DS_000_ENABLE_1_sqmuxa_1 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1)) - (portRef I1 (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_r)) + (net (rename pos_clk_CYCLE_DMA_5_1 "pos_clk.CYCLE_DMA_5[1]") (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1)) + (portRef D (instanceRef CYCLE_DMA_1)) )) (net (rename pos_clk_A0_DMA_3 "pos_clk.A0_DMA_3") (joined (portRef O (instanceRef pos_clk_A0_DMA_3_0_a2)) (portRef I0 (instanceRef A0_DMA_0_m)) )) - (net (rename pos_clk_DS_000_DMA_4 "pos_clk.DS_000_DMA_4") (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_i)) - (portRef I0 (instanceRef DS_000_DMA_0_m)) - )) - (net N_3 (joined - (portRef O (instanceRef DS_000_DMA_0_p)) - (portRef I0 (instanceRef N_3_i)) - )) (net N_4 (joined (portRef O (instanceRef SIZE_DMA_0_0__p)) (portRef D (instanceRef SIZE_DMA_0)) @@ -1549,10 +1549,6 @@ (portRef O (instanceRef SIZE_DMA_0_1__p)) (portRef D (instanceRef SIZE_DMA_1)) )) - (net N_6 (joined - (portRef O (instanceRef AS_000_DMA_0_p)) - (portRef I0 (instanceRef N_6_i)) - )) (net N_8 (joined (portRef O (instanceRef A0_DMA_0_p)) (portRef I0 (instanceRef N_8_i)) @@ -1577,6 +1573,10 @@ (portRef O (instanceRef LDS_000_INT_0_p)) (portRef I0 (instanceRef N_13_i)) )) + (net N_14 (joined + (portRef O (instanceRef RW_000_INT_0_p)) + (portRef I0 (instanceRef N_14_i)) + )) (net N_15 (joined (portRef O (instanceRef RW_000_DMA_0_p)) (portRef I0 (instanceRef N_15_i)) @@ -1609,6 +1609,10 @@ (portRef O (instanceRef IPL_030_0_2__p)) (portRef I0 (instanceRef N_25_i)) )) + (net N_26 (joined + (portRef O (instanceRef cpu_est_0_0)) + (portRef D (instanceRef cpu_est_0)) + )) (net N_27 (joined (portRef O (instanceRef cpu_est_0_1__p)) (portRef D (instanceRef cpu_est_1)) @@ -1721,15 +1725,22 @@ (portRef O (instanceRef DTACK_D0_0_i)) (portRef D (instanceRef DTACK_D0)) )) + (net N_58 (joined + (portRef O (instanceRef CLK_030_H_2)) + (portRef D (instanceRef CLK_030_H)) + )) + (net N_59 (joined + (portRef O (instanceRef RESET_OUT_2)) + (portRef D (instanceRef RESET_OUT)) + )) (net N_60 (joined (portRef O (instanceRef DS_000_ENABLE_1)) (portRef D (instanceRef DS_000_ENABLE)) )) (net (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (joined (portRef Q (instanceRef SM_AMIGA_i_7)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__m)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_6)) (portRef I0 (instanceRef SM_AMIGA_i_i_7)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_6)) (portRef I1 (instanceRef un1_SM_AMIGA_5_i_0)) )) (net N_115 (joined @@ -1745,10 +1756,6 @@ (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) (portRef I0 (instanceRef SIZE_DMA_0_1__m)) )) - (net (rename pos_clk_cpu_est_11_3 "pos_clk.cpu_est_11[3]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_i_3)) - (portRef I0 (instanceRef cpu_est_0_3__m)) - )) (net N_224 (joined (portRef O (instanceRef G_165)) (portRef I0 (instanceRef N_224_i)) @@ -1766,476 +1773,212 @@ (portRef I1 (instanceRef un6_uds_000)) (portRef I1 (instanceRef un6_lds_000)) )) - (net (rename pos_clk_un24_bgack_030_int_i_0 "pos_clk.un24_bgack_030_int_i_0") (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_i)) - (portRef I0 (instanceRef AS_000_DMA_0_m)) + (net N_241 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_i)) + (portRef I1 (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef DS_000_ENABLE_0_r)) )) - (net N_245 (joined + (net N_242 (joined (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i_i)) (portRef I1 (instanceRef DSACK1_INT_0_m)) (portRef I0 (instanceRef DSACK1_INT_0_r)) )) - (net N_246 (joined + (net N_243 (joined + (portRef O (instanceRef AS_000_INT_1_sqmuxa_i_i)) + (portRef I1 (instanceRef AS_000_INT_0_m)) + (portRef I0 (instanceRef AS_000_INT_0_r)) + )) + (net N_244 (joined (portRef O (instanceRef pos_clk_un37_as_030_d0_i_i)) (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) )) - (net N_247 (joined - (portRef O (instanceRef un8_ciin_i_0_i)) - (portRef OE (instanceRef CIIN)) + (net N_245 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) + (portRef I0 (instanceRef RW_000_INT_0_m)) )) - (net N_248 (joined + (net N_246 (joined (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_i)) (portRef I1 (instanceRef SIZE_DMA_0_1__m)) (portRef I0 (instanceRef SIZE_DMA_0_1__r)) (portRef I1 (instanceRef SIZE_DMA_0_0__m)) (portRef I0 (instanceRef SIZE_DMA_0_0__r)) )) - (net N_89 (joined - (portRef O (instanceRef un1_as_030_i_a2)) - (portRef OE (instanceRef SIZE_0)) - (portRef OE (instanceRef SIZE_1)) + (net N_78 (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__p)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_HIGH)) )) - (net N_92 (joined + (net N_80 (joined (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i_i_a2)) - (portRef I0 (instanceRef N_92_i)) + (portRef I0 (instanceRef N_80_i)) )) - (net N_102 (joined + (net N_89 (joined (portRef O (instanceRef AS_030_D0_0_i_a2)) - (portRef I0 (instanceRef N_102_i)) + (portRef I0 (instanceRef N_89_i)) )) - (net N_103 (joined + (net N_90 (joined (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) - (portRef I0 (instanceRef N_103_i)) + (portRef I0 (instanceRef N_90_i)) )) - (net N_104 (joined + (net N_91 (joined (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) - (portRef I0 (instanceRef N_104_i)) + (portRef I0 (instanceRef N_91_i)) )) - (net N_112 (joined - (portRef O (instanceRef un3_as_030_i_a2)) - (portRef OE (instanceRef A0)) - (portRef OE (instanceRef AS_030)) - (portRef OE (instanceRef DS_030)) + (net N_98 (joined + (portRef O (instanceRef un1_as_000_i_a2)) + (portRef OE (instanceRef AS_000)) + (portRef OE (instanceRef LDS_000)) + (portRef OE (instanceRef RW_000)) + (portRef OE (instanceRef UDS_000)) )) - (net N_256 (joined - (portRef O (instanceRef un2_rw_i_a4)) - (portRef I0 (instanceRef un3_as_030_i_a2)) + (net N_99 (joined + (portRef O (instanceRef un2_rw_i_a2)) (portRef OE (instanceRef RW)) )) - (net N_258 (joined - (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i_i)) - (portRef I1 (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_r)) - )) - (net N_259 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_i_2)) - (portRef D (instanceRef SM_AMIGA_2)) - )) - (net N_260 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_i)) - (portRef I1 (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_r)) - )) - (net N_265 (joined - (portRef O (instanceRef un14_amiga_bus_data_dir_i_0_i)) - (portRef I0 (instanceRef RW_000_DMA_0_m)) - )) - (net N_282 (joined - (portRef O (instanceRef un1_SM_AMIGA_7_i_m2_p)) - (portRef I0 (instanceRef N_282_i)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1)) - )) - (net N_71 (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__p)) - (portRef I0 (instanceRef N_71_i)) - )) - (net N_66_i (joined - (portRef O (instanceRef cpu_est_0_0_x2_0)) - (portRef D (instanceRef cpu_est_0)) - )) - (net (rename pos_clk_un11_clk_000_n_sync "pos_clk.un11_clk_000_n_sync") (joined - (portRef O (instanceRef pos_clk_un11_clk_000_n_sync)) - (portRef I0 (instanceRef pos_clk_un11_clk_000_n_sync_i)) - )) - (net N_76 (joined + (net N_249 (joined (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_i_0)) (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) )) - (net N_68_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) + (net N_248 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_p)) + (portRef I0 (instanceRef N_248_i)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i)) )) - (net N_69_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_x2)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) + (net N_135 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_1_0_0)) )) - (net N_50_i (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_x2)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_1)) + (net N_136 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_3)) )) - (net (rename pos_clk_un22_bgack_030_int "pos_clk.un22_bgack_030_int") (joined - (portRef O (instanceRef pos_clk_un22_bgack_030_int_i_0)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2)) - )) - (net N_268 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_i_o2_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a4_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_o2_2)) - )) - (net N_270 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_0_o2_i_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_0_a2_1_2)) - )) - (net N_73 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o4_0_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a2_0_1_0)) - )) - (net N_75 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o4_1_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a2_1_0_0)) - )) - (net N_251 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o4_i_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_0_6)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a2_1_2_0)) + (net (rename pos_clk_un7_clk_000_d0 "pos_clk.un7_clk_000_d0") (joined + (portRef O (instanceRef pos_clk_un7_clk_000_d0)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_d0_i)) )) (net un22_berr_1 (joined (portRef O (instanceRef un22_berr_0_a2_1)) - (portRef I0 (instanceRef un22_berr_0_a2_1_0)) (portRef I1 (instanceRef un21_fpu_cs_0_a2)) + (portRef I0 (instanceRef un22_berr_0_a2_1_0)) )) - (net N_95 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a2_0_0)) - (portRef I0 (instanceRef N_95_i)) + (net N_152 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_3)) + (portRef I0 (instanceRef N_152_i)) + )) + (net N_153 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_3)) + (portRef I0 (instanceRef N_153_i)) + )) + (net N_154 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_1_3)) + (portRef I0 (instanceRef N_154_i)) + )) + (net N_155 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_4)) + (portRef I0 (instanceRef N_155_i)) + )) + (net N_141 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_4)) + )) + (net N_156 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_4)) + (portRef I0 (instanceRef N_156_i)) + )) + (net N_157 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_5)) + (portRef I0 (instanceRef N_157_i)) + )) + (net N_138 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_5)) + )) + (net N_158 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_5)) + (portRef I0 (instanceRef N_158_i)) + )) + (net N_159 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_1_5)) + (portRef I0 (instanceRef N_159_i)) + )) + (net N_160 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_6)) + (portRef I0 (instanceRef N_160_i)) + )) + (net N_142 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_6)) + )) + (net N_161 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_6)) + (portRef I0 (instanceRef N_161_i)) + )) + (net N_132 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_i_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o6_0_a4_0)) + )) + (net N_104 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o6_0_a4_0)) + (portRef I0 (instanceRef N_104_i)) + )) + (net N_76 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) + (portRef I0 (instanceRef N_76_i)) + )) + (net N_71 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_i_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_0)) + )) + (net N_251 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o4_i)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2)) + )) + (net N_93 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2)) + (portRef I0 (instanceRef N_93_i)) )) (net N_94 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a2_0)) + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) (portRef I0 (instanceRef N_94_i)) )) - (net N_288 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_0_5)) - (portRef I0 (instanceRef N_288_i)) + (net N_88 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_0)) + (portRef I0 (instanceRef N_88_i)) )) - (net N_289 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_1_5)) - (portRef I0 (instanceRef N_289_i)) + (net N_87 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) + (portRef I0 (instanceRef N_87_i)) )) - (net N_286 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_5)) - (portRef I0 (instanceRef N_286_i)) - )) - (net N_279 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_3__p)) - (portRef I0 (instanceRef N_279_i)) - )) - (net N_277 (joined - (portRef O (instanceRef CLK_030_H_2_i_o2_i)) - (portRef I1 (instanceRef CLK_030_H_2_i_a2)) - )) - (net N_276 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_5)) - )) - (net N_62 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_6)) - )) - (net N_274 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_o2_i_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_1_0_2)) - )) - (net N_313 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_1_2)) - (portRef I0 (instanceRef N_313_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_0_1_2)) - )) - (net N_307 (joined - (portRef O (instanceRef CLK_030_H_2_i_a2)) - (portRef I0 (instanceRef N_307_i)) - )) - (net N_305 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2)) - (portRef I0 (instanceRef N_305_i)) - )) - (net N_306 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0)) - (portRef I0 (instanceRef N_306_i)) - )) - (net N_303 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_0_a2_2)) - (portRef I0 (instanceRef N_303_i)) - )) - (net N_304 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_0_a2_0_2)) - (portRef I0 (instanceRef N_304_i)) - )) - (net N_301 (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) - (portRef I0 (instanceRef N_301_i)) - )) - (net N_91 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) - (portRef I0 (instanceRef N_91_i)) - )) - (net N_85 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_a2_3)) - (portRef I0 (instanceRef N_85_i)) - )) - (net N_294 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_a2_0_3)) - (portRef I0 (instanceRef N_294_i)) - )) - (net N_296 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_a2_1_3)) - (portRef I0 (instanceRef N_296_i)) + (net N_86 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) + (portRef I0 (instanceRef N_86_i)) )) (net N_84 (joined (portRef O (instanceRef un1_SM_AMIGA_5_i_0_a2)) (portRef I0 (instanceRef N_84_i)) )) - (net N_82 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_2)) - (portRef I0 (instanceRef N_82_i)) - )) (net N_83 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_0_2)) + (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_1)) (portRef I0 (instanceRef N_83_i)) )) - (net N_293 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_3)) - (portRef I0 (instanceRef N_293_i)) - )) - (net N_290 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_4)) - (portRef I0 (instanceRef N_290_i)) - )) - (net N_291 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_0_4)) - (portRef I0 (instanceRef N_291_i)) - )) - (net N_283 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_6)) - (portRef I0 (instanceRef N_283_i)) - )) - (net N_284 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_0_6)) - (portRef I0 (instanceRef N_284_i)) - )) - (net N_86 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) - (portRef I0 (instanceRef N_86_i)) - )) - (net N_80 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o4_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2)) - )) - (net N_78 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_i_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_1)) - )) - (net N_108 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2)) - (portRef I0 (instanceRef N_108_i)) - )) - (net N_109 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) - (portRef I0 (instanceRef N_109_i)) - )) - (net N_100 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) - (portRef I0 (instanceRef N_100_i)) - )) - (net N_99 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) - (portRef I0 (instanceRef N_99_i)) - )) - (net N_93 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_1)) - (portRef I0 (instanceRef N_93_i)) - )) - (net (rename pos_clk_un14_clk_000_n_sync "pos_clk.un14_clk_000_n_sync") (joined - (portRef O (instanceRef pos_clk_un14_clk_000_n_sync_i)) - (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa_i_i_a2)) - )) - (net (rename pos_clk_un9_clk_000_n_sync "pos_clk.un9_clk_000_n_sync") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_n_sync)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_n_sync_i)) - )) - (net N_340 (joined + (net N_116 (joined (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) (portRef I1 (instanceRef un21_fpu_cs_0_a2_1)) (portRef I1 (instanceRef un22_berr_0_a2)) )) - (net N_97 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a2_2_0)) - (portRef I0 (instanceRef N_97_i)) - )) - (net N_136 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_o2_i_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_3)) - )) - (net N_101 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_0)) - (portRef I0 (instanceRef N_101_i)) - )) - (net N_81 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_i_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_0)) - )) - (net N_116 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a4_0)) - (portRef I0 (instanceRef N_116_i)) - )) - (net N_96 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a2_1_0)) - (portRef I0 (instanceRef N_96_i)) - )) - (net N_113 (joined - (portRef O (instanceRef pos_clk_un4_bgack_000_i_a2)) - (portRef I1 (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_r)) - )) - (net N_275 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_4)) - )) - (net N_273 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_o2_i_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_a2_3)) - )) - (net N_88 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_a2_1)) - (portRef I0 (instanceRef N_88_i)) - )) - (net N_272 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_o2_i_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_a2_1)) - )) - (net N_299 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_a2_0_1)) - (portRef I0 (instanceRef N_299_i)) - )) - (net N_90 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_a2_1_1)) - (portRef I0 (instanceRef N_90_i)) - )) - (net N_311 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_3_2)) - (portRef I0 (instanceRef N_311_i)) - )) - (net N_312 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_a2_2_1)) - (portRef I0 (instanceRef N_312_i)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_a2_0_1)) - )) - (net N_267 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_o2_0_i_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_1_2)) - )) - (net N_264 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) - (portRef I0 (instanceRef RW_000_INT_0_m)) - )) - (net (rename pos_clk_un7_clk_000_pe "pos_clk.un7_clk_000_pe") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_i)) - (portRef I1 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_r)) - )) - (net N_308 (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_a2)) - (portRef I0 (instanceRef N_308_i)) - )) - (net N_309 (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_a2_0)) - (portRef I0 (instanceRef N_309_i)) - )) - (net N_310 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_2_2)) - (portRef I0 (instanceRef N_310_i)) - )) - (net N_220 (joined - (portRef O (instanceRef G_160)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) - )) - (net (rename pos_clk_cpu_est_11_1 "pos_clk.cpu_est_11[1]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_i_1)) - (portRef I0 (instanceRef cpu_est_0_1__m)) - )) - (net N_14 (joined - (portRef O (instanceRef RW_000_INT_0_p)) - (portRef I0 (instanceRef N_14_i)) - )) - (net N_18 (joined - (portRef O (instanceRef VMA_INT_0_p)) - (portRef I0 (instanceRef N_18_i)) - )) - (net N_22 (joined - (portRef O (instanceRef BGACK_030_INT_0_p)) - (portRef I0 (instanceRef N_22_i)) - )) - (net RESET_OUT_0_sqmuxa_1 (joined - (portRef O (instanceRef G_136)) - (portRef I0 (instanceRef G_138)) - (portRef I0 (instanceRef G_137)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_5_1)) - )) - (net N_205 (joined - (portRef O (instanceRef G_138)) - (portRef I0 (instanceRef G_139)) - )) - (net N_213 (joined - (portRef O (instanceRef G_146)) - (portRef I0 (instanceRef G_147)) - )) - (net N_87_i (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_0_x2_0)) - (portRef I0 (instanceRef N_87_i_i)) - )) - (net N_105 (joined - (portRef O (instanceRef RESET_OUT_2_i_a2)) - (portRef I0 (instanceRef N_105_i)) - )) - (net N_98 (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_0_a2_0)) - (portRef I0 (instanceRef N_98_i)) - )) - (net RESET_OUT_0_sqmuxa (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_i)) - )) - (net (rename un1_RST_DLY_i_m_2 "un1_RST_DLY_i_m[2]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_2)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_i_2)) - )) - (net (rename un1_RST_DLY_2 "un1_RST_DLY[2]") (joined - (portRef O (instanceRef G_137)) - (portRef I0 (instanceRef un1_RST_DLY_i_2)) - )) - (net (rename un1_RST_DLY_i_m_8 "un1_RST_DLY_i_m[8]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_8)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_i_8)) - )) (net (rename un1_RST_DLY_8 "un1_RST_DLY[8]") (joined (portRef O (instanceRef G_149)) (portRef I0 (instanceRef un1_RST_DLY_i_8)) )) - (net RESET_OUT_0_sqmuxa_5 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_5)) - (portRef I0 (instanceRef G_141)) - (portRef I0 (instanceRef G_142)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_7_1)) - )) - (net RESET_OUT_0_sqmuxa_7 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_7)) - (portRef I0 (instanceRef G_149)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa)) - )) (net (rename un1_RST_DLY_7 "un1_RST_DLY[7]") (joined (portRef O (instanceRef G_147)) (portRef I0 (instanceRef un1_RST_DLY_i_7)) )) + (net N_213 (joined + (portRef O (instanceRef G_146)) + (portRef I0 (instanceRef G_147)) + )) (net (rename un1_RST_DLY_6 "un1_RST_DLY[6]") (joined (portRef O (instanceRef G_145)) (portRef I0 (instanceRef un1_RST_DLY_i_6)) @@ -2262,6 +2005,39 @@ (portRef O (instanceRef G_139)) (portRef I0 (instanceRef un1_RST_DLY_i_3)) )) + (net N_205 (joined + (portRef O (instanceRef G_138)) + (portRef I0 (instanceRef G_139)) + )) + (net (rename un1_RST_DLY_2 "un1_RST_DLY[2]") (joined + (portRef O (instanceRef G_137)) + (portRef I0 (instanceRef un1_RST_DLY_i_2)) + )) + (net RESET_OUT_0_sqmuxa_1 (joined + (portRef O (instanceRef G_136)) + (portRef I0 (instanceRef G_137)) + (portRef I0 (instanceRef G_138)) + (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_5_1)) + )) + (net RESET_OUT_0_sqmuxa (joined + (portRef O (instanceRef RESET_OUT_0_sqmuxa)) + (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_i)) + )) + (net RESET_OUT_0_sqmuxa_7 (joined + (portRef O (instanceRef RESET_OUT_0_sqmuxa_7)) + (portRef I0 (instanceRef G_149)) + (portRef I0 (instanceRef RESET_OUT_0_sqmuxa)) + )) + (net RESET_OUT_0_sqmuxa_5 (joined + (portRef O (instanceRef RESET_OUT_0_sqmuxa_5)) + (portRef I0 (instanceRef G_141)) + (portRef I0 (instanceRef G_142)) + (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_7_1)) + )) + (net (rename un1_RST_DLY_i_m_8 "un1_RST_DLY_i_m[8]") (joined + (portRef O (instanceRef un1_RST_DLY_i_m_8)) + (portRef I0 (instanceRef un1_RST_DLY_i_m_i_8)) + )) (net (rename un1_RST_DLY_i_m_7 "un1_RST_DLY_i_m[7]") (joined (portRef O (instanceRef un1_RST_DLY_i_m_7)) (portRef I0 (instanceRef un1_RST_DLY_i_m_i_7)) @@ -2282,9 +2058,275 @@ (portRef O (instanceRef un1_RST_DLY_i_m_3)) (portRef I0 (instanceRef un1_RST_DLY_i_m_i_3)) )) - (net N_71_i (joined - (portRef O (instanceRef N_71_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_HIGH)) + (net (rename un1_RST_DLY_i_m_2 "un1_RST_DLY_i_m[2]") (joined + (portRef O (instanceRef un1_RST_DLY_i_m_2)) + (portRef I0 (instanceRef un1_RST_DLY_i_m_i_2)) + )) + (net N_38 (joined + (portRef O (instanceRef RESET_OUT_1_i)) + (portRef I0 (instanceRef RESET_OUT_2)) + )) + (net N_85 (joined + (portRef O (instanceRef pos_clk_RST_DLY_5_iv_0_a2_0)) + (portRef I0 (instanceRef N_85_i)) + )) + (net N_77_i (joined + (portRef O (instanceRef pos_clk_RST_DLY_5_iv_0_x2_0)) + (portRef I0 (instanceRef N_77_i_i)) + )) + (net N_252 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_i_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_1)) + )) + (net N_97 (joined + (portRef O (instanceRef pos_clk_un4_bgack_000_i_a2)) + (portRef I1 (instanceRef BGACK_030_INT_0_m)) + (portRef I0 (instanceRef BGACK_030_INT_0_r)) + )) + (net (rename pos_clk_un27_clk_000_ne_d0 "pos_clk.un27_clk_000_ne_d0") (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0)) + (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_i)) + )) + (net N_199_1 (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) + (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_1)) + )) + (net (rename pos_clk_un5_clk_000_pe "pos_clk.un5_clk_000_pe") (joined + (portRef O (instanceRef pos_clk_un5_clk_000_pe)) + (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_i)) + )) + (net (rename pos_clk_un9_clk_000_ne "pos_clk.un9_clk_000_ne") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_ne)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_i)) + )) + (net N_150 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_2)) + (portRef I0 (instanceRef N_150_i)) + )) + (net N_151 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_a6_0_2)) + (portRef I0 (instanceRef N_151_i)) + )) + (net N_199 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) + (portRef I0 (instanceRef N_199_i)) + )) + (net N_196 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) + (portRef I0 (instanceRef N_196_i)) + )) + (net N_195 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_2)) + (portRef I0 (instanceRef N_195_i)) + )) + (net N_188 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_o4_i_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_1_2)) + )) + (net (rename pos_clk_cpu_est_11_3 "pos_clk.cpu_est_11[3]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_i_3)) + (portRef I0 (instanceRef cpu_est_0_3__m)) + )) + (net N_197 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_3)) + (portRef I0 (instanceRef N_197_i)) + )) + (net N_198 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) + (portRef I0 (instanceRef N_198_i)) + )) + (net (rename pos_clk_cpu_est_11_1 "pos_clk.cpu_est_11[1]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_i_1)) + (portRef I0 (instanceRef cpu_est_0_1__m)) + )) + (net N_194 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) + (portRef I0 (instanceRef N_194_i)) + )) + (net N_192 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) + (portRef I0 (instanceRef N_192_i)) + )) + (net N_191 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1)) + (portRef I0 (instanceRef N_191_i)) + )) + (net N_193 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) + (portRef I0 (instanceRef N_193_i)) + )) + (net N_190 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_i_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_3)) + )) + (net N_189 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_i_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1)) + )) + (net N_140 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_2)) + )) + (net (rename pos_clk_un29_clk_000_ne_d0 "pos_clk.un29_clk_000_ne_d0") (joined + (portRef O (instanceRef pos_clk_un29_clk_000_ne_d0)) + (portRef I0 (instanceRef pos_clk_un29_clk_000_ne_d0_i)) + )) + (net (rename pos_clk_un23_clk_000_ne_d0 "pos_clk.un23_clk_000_ne_d0") (joined + (portRef O (instanceRef pos_clk_un23_clk_000_ne_d0_i)) + (portRef I1 (instanceRef pos_clk_un29_clk_000_ne_d0)) + )) + (net (rename pos_clk_un21_clk_000_ne_d0 "pos_clk.un21_clk_000_ne_d0") (joined + (portRef O (instanceRef pos_clk_un21_clk_000_ne_d0)) + (portRef I0 (instanceRef pos_clk_un21_clk_000_ne_d0_i)) + )) + (net (rename pos_clk_un7_clk_000_pe "pos_clk.un7_clk_000_pe") (joined + (portRef O (instanceRef pos_clk_un7_clk_000_pe_i)) + (portRef I1 (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_r)) + )) + (net N_18 (joined + (portRef O (instanceRef VMA_INT_0_p)) + (portRef I0 (instanceRef N_18_i)) + )) + (net N_22 (joined + (portRef O (instanceRef BGACK_030_INT_0_p)) + (portRef I0 (instanceRef N_22_i)) + )) + (net (rename pos_clk_un11_clk_000_n_sync "pos_clk.un11_clk_000_n_sync") (joined + (portRef O (instanceRef pos_clk_un11_clk_000_n_sync)) + (portRef I0 (instanceRef pos_clk_un11_clk_000_n_sync_i)) + )) + (net (rename pos_clk_un9_clk_000_n_sync "pos_clk.un9_clk_000_n_sync") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_n_sync)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_n_sync_i)) + )) + (net (rename pos_clk_un14_clk_000_n_sync "pos_clk.un14_clk_000_n_sync") (joined + (portRef O (instanceRef pos_clk_un14_clk_000_n_sync_i)) + (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa_i_i_a2)) + )) + (net (rename pos_clk_un22_bgack_030_int "pos_clk.un22_bgack_030_int") (joined + (portRef O (instanceRef pos_clk_un22_bgack_030_int_i)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_1)) + )) + (net (rename un1_CYCLE_DMA_1_2 "un1_CYCLE_DMA_1[2]") (joined + (portRef O (instanceRef G_161)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1)) + )) + (net N_220 (joined + (portRef O (instanceRef G_160)) + (portRef I1 (instanceRef G_161)) + )) + (net (rename un1_CYCLE_DMA_1_1 "un1_CYCLE_DMA_1[1]") (joined + (portRef O (instanceRef G_159)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0)) + )) + (net (rename pos_clk_un40_bgack_030_int_1 "pos_clk.un40_bgack_030_int_1") (joined + (portRef O (instanceRef pos_clk_un40_bgack_030_int_1)) + (portRef I0 (instanceRef pos_clk_un40_bgack_030_int_1_i)) + )) + (net CLK_030_H_0_sqmuxa (joined + (portRef O (instanceRef CLK_030_H_0_sqmuxa)) + (portRef I0 (instanceRef CLK_030_H_0_sqmuxa_i)) + )) + (net AS_000_DMA_1_sqmuxa (joined + (portRef O (instanceRef AS_000_DMA_1_sqmuxa)) + (portRef I0 (instanceRef CLK_030_H_0_sqmuxa)) + (portRef I1 (instanceRef AS_000_DMA_0_m)) + (portRef I0 (instanceRef AS_000_DMA_0_r)) + )) + (net (rename pos_clk_un24_bgack_030_int "pos_clk.un24_bgack_030_int") (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int)) + (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f1)) + (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa)) + (portRef I1 (instanceRef DS_000_DMA_0_sqmuxa)) + (portRef I1 (instanceRef CLK_030_H_0)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_1)) + )) + (net (rename pos_clk_un27_bgack_030_int "pos_clk.un27_bgack_030_int") (joined + (portRef O (instanceRef pos_clk_un27_bgack_030_int_i)) + (portRef I1 (instanceRef pos_clk_un24_bgack_030_int)) + )) + (net N_176_1 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) + (portRef I0 (instanceRef un1_rst_2)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_1)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) + )) + (net N_165 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) + (portRef I0 (instanceRef N_165_i)) + )) + (net N_133 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) + )) + (net N_163 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) + (portRef I0 (instanceRef N_163_i)) + )) + (net N_162 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0)) + (portRef I0 (instanceRef N_162_i)) + )) + (net N_164 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) + (portRef I0 (instanceRef N_164_i)) + )) + (net N_176 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) + (portRef I0 (instanceRef N_176_i)) + )) + (net DS_000_DMA_2_sqmuxa (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa)) + (portRef I1 (instanceRef DS_000_DMA_0_m)) + (portRef I0 (instanceRef DS_000_DMA_0_r)) + )) + (net (rename pos_clk_DS_000_DMA_4 "pos_clk.DS_000_DMA_4") (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0)) + (portRef I0 (instanceRef DS_000_DMA_0_n)) + )) + (net DS_000_DMA_0_sqmuxa (joined + (portRef O (instanceRef DS_000_DMA_0_sqmuxa)) + (portRef I0 (instanceRef DS_000_DMA_0_sqmuxa_i)) + )) + (net (rename pos_clk_DS_000_DMA_4_f1 "pos_clk.DS_000_DMA_4_f1") (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f1_i)) + (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0)) + )) + (net N_175 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) + (portRef I0 (instanceRef N_175_i)) + )) + (net N_47 (joined + (portRef O (instanceRef CLK_030_H_1_i)) + (portRef I0 (instanceRef CLK_030_H_2)) + )) + (net N_7 (joined + (portRef O (instanceRef CLK_030_H_0)) + (portRef I0 (instanceRef N_7_i)) + )) + (net un1_rst_2 (joined + (portRef O (instanceRef un1_rst_2)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1)) + )) + (net (rename pos_clk_un26_bgack_030_int "pos_clk.un26_bgack_030_int") (joined + (portRef O (instanceRef pos_clk_un26_bgack_030_int)) + (portRef I0 (instanceRef pos_clk_un26_bgack_030_int_i)) + )) + (net (rename pos_clk_un29_bgack_030_int "pos_clk.un29_bgack_030_int") (joined + (portRef O (instanceRef pos_clk_un29_bgack_030_int)) + (portRef I0 (instanceRef pos_clk_un29_bgack_030_int_i)) + )) + (net N_3 (joined + (portRef O (instanceRef DS_000_DMA_0_p)) + (portRef I0 (instanceRef N_3_i)) + )) + (net N_6 (joined + (portRef O (instanceRef AS_000_DMA_0_p)) + (portRef I0 (instanceRef N_6_i)) )) (net un1_amiga_bus_enable_low_i (joined (portRef O (instanceRef un1_amiga_bus_enable_low_i)) @@ -2294,35 +2336,204 @@ (portRef O (instanceRef un21_fpu_cs_i)) (portRef I0 (instanceRef FPU_CS)) )) - (net RESET_OUT_i (joined - (portRef O (instanceRef RESET_OUT_i)) - (portRef I1 (instanceRef RESET_OUT_2_i_a2)) + (net AS_000_i (joined + (portRef O (instanceRef I_234)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) + (portRef I0 (instanceRef un6_ds_030)) + (portRef I1 (instanceRef un6_as_030)) + )) + (net DS_000_DMA_i (joined + (portRef O (instanceRef DS_000_DMA_i)) + (portRef I1 (instanceRef un6_ds_030)) + )) + (net (rename pos_clk_un24_bgack_030_int_i "pos_clk.un24_bgack_030_int_i") (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_i)) + (portRef I0 (instanceRef AS_000_DMA_0_n)) + )) + (net (rename CYCLE_DMA_i_1 "CYCLE_DMA_i[1]") (joined + (portRef O (instanceRef CYCLE_DMA_i_1)) + (portRef I1 (instanceRef pos_clk_un29_bgack_030_int)) + )) + (net (rename CYCLE_DMA_i_0 "CYCLE_DMA_i[0]") (joined + (portRef O (instanceRef CYCLE_DMA_i_0)) + (portRef I1 (instanceRef pos_clk_un26_bgack_030_int)) + )) + (net AS_000_DMA_i (joined + (portRef O (instanceRef AS_000_DMA_i)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f1)) + (portRef I1 (instanceRef CLK_030_H_0_sqmuxa)) + (portRef I0 (instanceRef un6_as_030)) + )) + (net CLK_EXP_i (joined + (portRef O (instanceRef CLK_EXP_i)) + (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa)) + )) + (net BERR_i (joined + (portRef O (instanceRef I_235)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__m)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_1_0_0)) + )) + (net RW_000_i (joined + (portRef O (instanceRef I_236)) + (portRef I1 (instanceRef un14_amiga_bus_data_dir)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_1)) + )) + (net DS_000_DMA_0_sqmuxa_i (joined + (portRef O (instanceRef DS_000_DMA_0_sqmuxa_i)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0)) + )) + (net (rename pos_clk_un40_bgack_030_int_1_i "pos_clk.un40_bgack_030_int_1_i") (joined + (portRef O (instanceRef pos_clk_un40_bgack_030_int_1_i)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa)) )) (net BGACK_030_INT_i (joined (portRef O (instanceRef BGACK_030_INT_i)) (portRef I1 (instanceRef un1_amiga_bus_enable_low)) - (portRef I0 (instanceRef un14_amiga_bus_data_dir_i_0)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) + (portRef I0 (instanceRef un14_amiga_bus_data_dir)) + (portRef I0 (instanceRef un1_as_030)) (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) (portRef I0 (instanceRef pos_clk_A0_DMA_3_0_a2)) - (portRef I0 (instanceRef un2_rw_i_a4)) - (portRef I0 (instanceRef un1_as_030_i_a2)) + (portRef I0 (instanceRef un2_rw_i_a2)) (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) + )) + (net nEXP_SPACE_D0_i (joined + (portRef O (instanceRef nEXP_SPACE_D0_i)) + (portRef I1 (instanceRef un1_as_030)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) + )) + (net CLK_000_PE_i (joined + (portRef O (instanceRef CLK_000_PE_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_1_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_0_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_1_5)) + (portRef I1 (instanceRef pos_clk_un4_bgack_000_i_a2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_0)) + )) + (net CLK_000_NE_i (joined + (portRef O (instanceRef CLK_000_NE_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o4_1)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) + )) + (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined + (portRef O (instanceRef SM_AMIGA_i_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1_3)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_n)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_2)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) + )) + (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined + (portRef O (instanceRef SM_AMIGA_i_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) + )) + (net (rename pos_clk_un7_clk_000_d0_i "pos_clk.un7_clk_000_d0_i") (joined + (portRef O (instanceRef pos_clk_un7_clk_000_d0_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_6)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) + )) + (net UDS_000_i (joined + (portRef O (instanceRef I_237)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_0)) + )) + (net LDS_000_i (joined + (portRef O (instanceRef I_238)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_0)) + )) + (net (rename pos_clk_un11_clk_000_n_sync_i "pos_clk.un11_clk_000_n_sync_i") (joined + (portRef O (instanceRef pos_clk_un11_clk_000_n_sync_i)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_n_sync)) + )) + (net CLK_OUT_PRE_D_i (joined + (portRef O (instanceRef CLK_OUT_PRE_D_i)) + (portRef I1 (instanceRef pos_clk_un11_clk_000_n_sync)) + )) + (net DTACK_D0_i (joined + (portRef O (instanceRef DTACK_D0_i)) + (portRef I0 (instanceRef pos_clk_un21_clk_000_ne_d0)) + )) + (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined + (portRef O (instanceRef SM_AMIGA_i_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_2)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) + )) + (net (rename pos_clk_un29_clk_000_ne_d0_i "pos_clk.un29_clk_000_ne_d0_i") (joined + (portRef O (instanceRef pos_clk_un29_clk_000_ne_d0_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_2)) + )) + (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined + (portRef O (instanceRef cpu_est_i_0)) + (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_2)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1)) + (portRef I1 (instanceRef pos_clk_un5_clk_000_pe)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_0_1_2)) + )) + (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined + (portRef O (instanceRef cpu_est_i_3)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_o4_1)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_1)) + (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_1)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_2)) + )) + (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined + (portRef O (instanceRef cpu_est_i_2)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_1_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_0_1)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) + )) + (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined + (portRef O (instanceRef cpu_est_i_1)) + (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_1)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_1)) + )) + (net VPA_D_i (joined + (portRef O (instanceRef VPA_D_i)) + (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_2)) + )) + (net VMA_INT_i (joined + (portRef O (instanceRef VMA_INT_i)) + (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) + )) + (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined + (portRef O (instanceRef SM_AMIGA_i_1)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0)) )) (net RESET_OUT_0_sqmuxa_i (joined (portRef O (instanceRef RESET_OUT_0_sqmuxa_i)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_8)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_2)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_0_a2_0)) - (portRef I0 (instanceRef RESET_OUT_2_i_a2)) - (portRef I0 (instanceRef un1_RST_DLY_i_m_4)) (portRef I0 (instanceRef un1_RST_DLY_i_m_5)) (portRef I0 (instanceRef un1_RST_DLY_i_m_6)) (portRef I0 (instanceRef un1_RST_DLY_i_m_7)) + (portRef I0 (instanceRef un1_RST_DLY_i_m_8)) + (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_0_a2_0)) + (portRef I0 (instanceRef RESET_OUT_1)) + (portRef I0 (instanceRef un1_RST_DLY_i_m_2)) (portRef I0 (instanceRef un1_RST_DLY_i_m_3)) + (portRef I0 (instanceRef un1_RST_DLY_i_m_4)) + )) + (net N_77_i_i (joined + (portRef O (instanceRef N_77_i_i)) + (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_0_a2_0)) + )) + (net (rename un1_RST_DLY_i_2 "un1_RST_DLY_i[2]") (joined + (portRef O (instanceRef un1_RST_DLY_i_2)) + (portRef I1 (instanceRef un1_RST_DLY_i_m_2)) )) (net (rename un1_RST_DLY_i_3 "un1_RST_DLY_i[3]") (joined (portRef O (instanceRef un1_RST_DLY_i_3)) @@ -2348,144 +2559,17 @@ (portRef O (instanceRef un1_RST_DLY_i_8)) (portRef I1 (instanceRef un1_RST_DLY_i_m_8)) )) - (net (rename un1_RST_DLY_i_2 "un1_RST_DLY_i[2]") (joined - (portRef O (instanceRef un1_RST_DLY_i_2)) - (portRef I1 (instanceRef un1_RST_DLY_i_m_2)) + (net RESET_OUT_i (joined + (portRef O (instanceRef RESET_OUT_i)) + (portRef I1 (instanceRef RESET_OUT_1)) )) - (net N_87_i_i (joined - (portRef O (instanceRef N_87_i_i)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_0_a2_0)) - )) - (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined - (portRef O (instanceRef cpu_est_i_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_a2_0_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_o2_1)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_a2_2)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_0_a2_2)) - )) - (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined - (portRef O (instanceRef cpu_est_i_0)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_a2_1)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_a2_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_2_1_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_a2_1_1_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_0_a2_0_1_2)) - )) - (net VPA_D_i (joined - (portRef O (instanceRef VPA_D_i)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_a2_0_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_2_2_2)) - )) - (net VMA_INT_i (joined - (portRef O (instanceRef VMA_INT_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_2_2_2)) - )) - (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined - (portRef O (instanceRef cpu_est_i_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_o2_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_2_1_2)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_a2_1_1_3)) - )) - (net CLK_000_PE_i (joined - (portRef O (instanceRef CLK_000_PE_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) - (portRef I1 (instanceRef pos_clk_un4_bgack_000_i_a2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_0_i_o2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_3__n)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a2_0)) - )) - (net BERR_i (joined - (portRef O (instanceRef I_234)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_0_5)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a2_2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__m)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_3__m)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a2_0_1_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a2_1_0_0)) - )) - (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined - (portRef O (instanceRef SM_AMIGA_i_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_0_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o4_1_0)) - )) - (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined - (portRef O (instanceRef cpu_est_i_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_a2_0_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_a2_0_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_a2_1_3)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_0_a2_0_2)) - )) - (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined - (portRef O (instanceRef SM_AMIGA_i_5)) - (portRef I0 (instanceRef AS_000_INT_0_n)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_5)) - (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_0_a2)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o4_0_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_4)) - (portRef I1 (instanceRef un1_SM_AMIGA_5_i_0_1)) - )) - (net DTACK_D0_i (joined - (portRef O (instanceRef DTACK_D0_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_3_2)) - )) - (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined - (portRef O (instanceRef SM_AMIGA_i_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a2_1_2_0)) - )) - (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined - (portRef O (instanceRef SM_AMIGA_i_3)) - (portRef I0 (instanceRef un1_SM_AMIGA_7_i_m2_n)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_o2_2)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a2_1_0)) - )) - (net CLK_000_NE_i (joined - (portRef O (instanceRef CLK_000_NE_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o4_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_0_4)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a2_0_0)) - )) - (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined - (portRef O (instanceRef SM_AMIGA_i_6)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_1_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_0_6)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o4_1_1_0)) - )) - (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined - (portRef O (instanceRef SM_AMIGA_i_1)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o4_0_0)) - )) - (net CLK_OUT_PRE_D_i (joined - (portRef O (instanceRef CLK_OUT_PRE_D_i)) - (portRef I1 (instanceRef pos_clk_un11_clk_000_n_sync)) - )) - (net (rename pos_clk_un11_clk_000_n_sync_i "pos_clk.un11_clk_000_n_sync_i") (joined - (portRef O (instanceRef pos_clk_un11_clk_000_n_sync_i)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_n_sync)) - )) - (net LDS_000_i (joined - (portRef O (instanceRef I_235)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_0)) - )) - (net UDS_000_i (joined - (portRef O (instanceRef I_236)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_0)) - )) - (net nEXP_SPACE_D0_i (joined - (portRef O (instanceRef nEXP_SPACE_D0_i)) - (portRef I0 (instanceRef un8_ciin_i_0)) - (portRef I1 (instanceRef un3_as_030_i_a2)) - (portRef I1 (instanceRef un1_as_030_i_a2)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0)) - )) - (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined - (portRef O (instanceRef SM_AMIGA_i_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_1)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o4_1_1_0)) + (net AS_030_D0_i (joined + (portRef O (instanceRef AS_030_D0_i)) + (portRef I0 (instanceRef pos_clk_un3_as_030_d0_0_o2)) + (portRef I0 (instanceRef un8_ciin_2)) )) (net AS_030_i (joined - (portRef O (instanceRef I_237)) + (portRef O (instanceRef I_239)) (portRef I1 (instanceRef un4_as_000)) (portRef I0 (instanceRef un22_berr_0_a2_1)) (portRef I0 (instanceRef AS_030_D0_0_i_a2)) @@ -2498,54 +2582,53 @@ (portRef O (instanceRef CLK_000_D1_i)) (portRef I1 (instanceRef pos_clk_CLK_000_P_SYNC_2_0_a2_0)) )) - (net RW_000_i (joined - (portRef O (instanceRef I_238)) - (portRef I1 (instanceRef un14_amiga_bus_data_dir_i_0)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_1)) - )) - (net CLK_030_H_i (joined - (portRef O (instanceRef CLK_030_H_i)) - (portRef I0 (instanceRef CLK_030_H_2_i_a2)) - )) - (net AS_000_DMA_i (joined - (portRef O (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef CLK_030_H_2_i_o2)) - (portRef I0 (instanceRef un6_as_030_0_a2)) - )) - (net AS_000_i (joined - (portRef O (instanceRef I_239)) - (portRef I0 (instanceRef un6_ds_030)) - (portRef I1 (instanceRef un6_as_030_0_a2)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) - )) (net (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (joined (portRef O (instanceRef SM_AMIGA_i_i_7)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o4)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__m)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o4_1)) + )) + (net N_248_i (joined + (portRef O (instanceRef N_248_i)) + (portRef I0 (instanceRef DS_000_ENABLE_0_m)) + )) + (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined + (portRef O (instanceRef SM_AMIGA_i_5)) + (portRef I0 (instanceRef AS_000_INT_0_m)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_1_5)) + (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0)) + (portRef I1 (instanceRef un1_SM_AMIGA_5_i_0_1)) )) (net RW_i (joined (portRef O (instanceRef I_240)) - (portRef I0 (instanceRef un1_SM_AMIGA_7_i_m2_m)) (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_m)) )) - (net AMIGA_BUS_ENABLE_DMA_HIGH_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_i)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__n)) + (net CLK_000_D0_i (joined + (portRef O (instanceRef CLK_000_D0_i)) + (portRef I0 (instanceRef pos_clk_CLK_000_N_SYNC_2_0_a4_0)) + )) + (net AS_030_000_SYNC_i (joined + (portRef O (instanceRef AS_030_000_SYNC_i)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_d0_1)) + )) + (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined + (portRef O (instanceRef SM_AMIGA_i_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_a6_0_6)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_5)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) + )) + (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined + (portRef O (instanceRef SM_AMIGA_i_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_0_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_a6_3)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) )) (net FPU_SENSE_i (joined (portRef O (instanceRef FPU_SENSE_i)) (portRef I0 (instanceRef un21_fpu_cs_0_a2_1)) )) - (net AS_030_D0_i (joined - (portRef O (instanceRef AS_030_D0_i)) - (portRef I0 (instanceRef pos_clk_un3_as_030_d0_i_i_o2)) - (portRef I0 (instanceRef un5_ciin_0_a2_1)) - )) - (net (rename A_i_24 "A_i[24]") (joined - (portRef O (instanceRef A_i_24)) - (portRef I1 (instanceRef un5_ciin_0_a2_3)) - )) (net (rename SIZE_DMA_i_0 "SIZE_DMA_i[0]") (joined (portRef O (instanceRef SIZE_DMA_i_0)) (portRef I1 (instanceRef un3_size)) @@ -2566,37 +2649,37 @@ (portRef O (instanceRef A_i_19)) (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) )) - (net (rename A_i_31 "A_i[31]") (joined - (portRef O (instanceRef A_i_31)) - (portRef I0 (instanceRef un5_ciin_0_a2_5)) - )) - (net (rename A_i_29 "A_i[29]") (joined - (portRef O (instanceRef A_i_29)) - (portRef I1 (instanceRef un5_ciin_0_a2_6)) - )) (net (rename A_i_30 "A_i[30]") (joined (portRef O (instanceRef A_i_30)) - (portRef I1 (instanceRef un5_ciin_0_a2_11)) + (portRef I1 (instanceRef un8_ciin_5)) )) - (net (rename A_i_27 "A_i[27]") (joined - (portRef O (instanceRef A_i_27)) - (portRef I1 (instanceRef un5_ciin_0_a2_5)) + (net (rename A_i_31 "A_i[31]") (joined + (portRef O (instanceRef A_i_31)) + (portRef I0 (instanceRef un8_ciin_1)) )) (net (rename A_i_28 "A_i[28]") (joined (portRef O (instanceRef A_i_28)) - (portRef I0 (instanceRef un5_ciin_0_a2_6)) + (portRef I1 (instanceRef un8_ciin_4)) )) - (net (rename A_i_25 "A_i[25]") (joined - (portRef O (instanceRef A_i_25)) - (portRef I0 (instanceRef un5_ciin_0_a2_4)) + (net (rename A_i_29 "A_i[29]") (joined + (portRef O (instanceRef A_i_29)) + (portRef I0 (instanceRef un8_ciin_5)) )) (net (rename A_i_26 "A_i[26]") (joined (portRef O (instanceRef A_i_26)) - (portRef I1 (instanceRef un5_ciin_0_a2_4)) + (portRef I1 (instanceRef un8_ciin_3)) )) - (net UDS_000_INT_i (joined - (portRef O (instanceRef UDS_000_INT_i)) - (portRef I0 (instanceRef un6_uds_000)) + (net (rename A_i_27 "A_i[27]") (joined + (portRef O (instanceRef A_i_27)) + (portRef I0 (instanceRef un8_ciin_4)) + )) + (net (rename A_i_24 "A_i[24]") (joined + (portRef O (instanceRef A_i_24)) + (portRef I1 (instanceRef un8_ciin_2)) + )) + (net (rename A_i_25 "A_i[25]") (joined + (portRef O (instanceRef A_i_25)) + (portRef I0 (instanceRef un8_ciin_3)) )) (net LDS_000_INT_i (joined (portRef O (instanceRef LDS_000_INT_i)) @@ -2607,6 +2690,10 @@ (portRef I0 (instanceRef DS_030_D0_0)) (portRef I1 (instanceRef un6_lds_000_1)) )) + (net UDS_000_INT_i (joined + (portRef O (instanceRef UDS_000_INT_i)) + (portRef I0 (instanceRef un6_uds_000)) + )) (net N_224_i (joined (portRef O (instanceRef N_224_i)) (portRef I1 (instanceRef G_168_1)) @@ -2627,24 +2714,24 @@ (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i)) (portRef D (instanceRef BGACK_030_INT_D)) )) - (net N_102_i (joined - (portRef O (instanceRef N_102_i)) + (net N_89_i (joined + (portRef O (instanceRef N_89_i)) (portRef D (instanceRef AS_030_D0)) )) - (net N_104_i (joined - (portRef O (instanceRef N_104_i)) + (net N_91_i (joined + (portRef O (instanceRef N_91_i)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) )) - (net N_103_i (joined - (portRef O (instanceRef N_103_i)) + (net N_90_i (joined + (portRef O (instanceRef N_90_i)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) )) - (net N_282_i (joined - (portRef O (instanceRef N_282_i)) - (portRef I0 (instanceRef DS_000_ENABLE_0_n)) + (net un14_amiga_bus_data_dir_i (joined + (portRef O (instanceRef un14_amiga_bus_data_dir_i)) + (portRef I0 (instanceRef RW_000_DMA_0_m)) )) - (net N_92_i (joined - (portRef O (instanceRef N_92_i)) + (net N_80_i (joined + (portRef O (instanceRef N_80_i)) (portRef I0 (instanceRef DSACK1_INT_0_m)) (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i)) )) @@ -2660,10 +2747,6 @@ (portRef O (instanceRef un6_ds_030_i)) (portRef I0 (instanceRef DS_030)) )) - (net DS_000_DMA_i (joined - (portRef O (instanceRef DS_000_DMA_i)) - (portRef I1 (instanceRef un6_ds_030)) - )) (net un4_as_000_i (joined (portRef O (instanceRef un4_as_000_i)) (portRef I0 (instanceRef AS_000)) @@ -2686,7 +2769,7 @@ )) (net AS_030_c (joined (portRef O (instanceRef AS_030)) - (portRef I0 (instanceRef I_237)) + (portRef I0 (instanceRef I_239)) )) (net AS_030 (joined (portRef AS_030) @@ -2694,7 +2777,7 @@ )) (net AS_000_c (joined (portRef O (instanceRef AS_000)) - (portRef I0 (instanceRef I_239)) + (portRef I0 (instanceRef I_234)) )) (net AS_000 (joined (portRef AS_000) @@ -2702,8 +2785,9 @@ )) (net RW_000_c (joined (portRef O (instanceRef RW_000)) - (portRef I0 (instanceRef I_238)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_1)) + (portRef I0 (instanceRef I_236)) + (portRef I0 (instanceRef DS_000_DMA_0_sqmuxa)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) )) (net RW_000 (joined (portRef IO (instanceRef RW_000)) @@ -2721,7 +2805,7 @@ (portRef O (instanceRef UDS_000)) (portRef I1 (instanceRef pos_clk_A0_DMA_3_0_a2)) (portRef I1 (instanceRef pos_clk_un22_bgack_030_int)) - (portRef I0 (instanceRef I_236)) + (portRef I0 (instanceRef I_237)) )) (net UDS_000 (joined (portRef IO (instanceRef UDS_000)) @@ -2730,7 +2814,7 @@ (net LDS_000_c (joined (portRef O (instanceRef LDS_000)) (portRef I0 (instanceRef pos_clk_un22_bgack_030_int)) - (portRef I0 (instanceRef I_235)) + (portRef I0 (instanceRef I_238)) )) (net LDS_000 (joined (portRef IO (instanceRef LDS_000)) @@ -2884,7 +2968,6 @@ )) (net (rename A_c_20 "A_c[20]") (joined (portRef O (instanceRef A_20)) - (portRef I1 (instanceRef un5_ciin_0_a2_1)) )) (net (rename A_20 "A[20]") (joined (portRef (member a 11)) @@ -2892,7 +2975,6 @@ )) (net (rename A_c_21 "A_c[21]") (joined (portRef O (instanceRef A_21)) - (portRef I0 (instanceRef un5_ciin_0_a2_2)) )) (net (rename A_21 "A[21]") (joined (portRef (member a 10)) @@ -2900,7 +2982,6 @@ )) (net (rename A_c_22 "A_c[22]") (joined (portRef O (instanceRef A_22)) - (portRef I1 (instanceRef un5_ciin_0_a2_2)) )) (net (rename A_22 "A[22]") (joined (portRef (member a 9)) @@ -2908,7 +2989,6 @@ )) (net (rename A_c_23 "A_c[23]") (joined (portRef O (instanceRef A_23)) - (portRef I0 (instanceRef un5_ciin_0_a2_3)) )) (net (rename A_23 "A[23]") (joined (portRef (member a 8)) @@ -3006,9 +3086,9 @@ )) (net BERR_c (joined (portRef O (instanceRef BERR)) - (portRef I1 (instanceRef pos_clk_un3_as_030_d0_i_i_o2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_i_o2_0)) - (portRef I0 (instanceRef I_234)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_0)) + (portRef I1 (instanceRef pos_clk_un3_as_030_d0_0_o2)) + (portRef I0 (instanceRef I_235)) )) (net BERR (joined (portRef BERR) @@ -3154,9 +3234,9 @@ )) (net CLK_EXP_c (joined (portRef O (instanceRef CLK_030)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) + (portRef I1 (instanceRef pos_clk_un40_bgack_030_int_1)) (portRef I0 (instanceRef pos_clk_un11_clk_000_n_sync)) - (portRef I0 (instanceRef CLK_EXP_c_i)) + (portRef I0 (instanceRef CLK_EXP_i)) (portRef I0 (instanceRef CLK_EXP)) )) (net CLK_EXP (joined @@ -3267,12 +3347,15 @@ )) (net RST_c (joined (portRef O (instanceRef RST)) - (portRef I1 (instanceRef DS_000_DMA_1)) - (portRef I1 (instanceRef AS_000_DMA_1)) + (portRef I0 (instanceRef VPA_D_0)) + (portRef I0 (instanceRef nEXP_SPACE_D0_0)) + (portRef I1 (instanceRef DS_030_D0_0)) (portRef I1 (instanceRef A0_DMA_1)) (portRef I1 (instanceRef DSACK1_INT_1)) + (portRef I1 (instanceRef AS_000_INT_1)) (portRef I1 (instanceRef AS_030_000_SYNC_1)) (portRef I1 (instanceRef LDS_000_INT_1)) + (portRef I1 (instanceRef RW_000_INT_2)) (portRef I1 (instanceRef RW_000_DMA_2)) (portRef I1 (instanceRef UDS_000_INT_1)) (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) @@ -3285,39 +3368,34 @@ (portRef I1 (instanceRef IPL_D0_0_2)) (portRef I1 (instanceRef IPL_D0_0_1)) (portRef I1 (instanceRef IPL_D0_0_0)) - (portRef I0 (instanceRef nEXP_SPACE_D0_0)) - (portRef I1 (instanceRef DS_030_D0_0)) + (portRef I1 (instanceRef DTACK_D0_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o6_0_o2_0)) (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i)) (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) (portRef I1 (instanceRef AS_030_D0_0_i_a2)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o2_0)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2)) - (portRef I0 (instanceRef VPA_D_0)) - (portRef I1 (instanceRef DTACK_D0_0)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_0_0)) - (portRef I1 (instanceRef RESET_OUT_2_i)) - (portRef I1 (instanceRef BGACK_030_INT_1)) - (portRef I1 (instanceRef VMA_INT_1)) - (portRef I1 (instanceRef RW_000_INT_2)) - (portRef I1 (instanceRef AS_000_INT_1)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_7)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_1)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_2)) (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_3)) (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_4)) (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_5)) (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_6)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_0_1_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_1_0_2)) + (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_7)) + (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_0_0)) + (portRef I1 (instanceRef RESET_OUT_2)) + (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_1)) + (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_2)) + (portRef I1 (instanceRef BGACK_030_INT_1)) + (portRef I1 (instanceRef VMA_INT_1)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2)) + (portRef I1 (instanceRef un1_rst_2)) + (portRef I1 (instanceRef CLK_030_H_2)) + (portRef I1 (instanceRef AS_000_DMA_1)) + (portRef I1 (instanceRef DS_000_DMA_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_6)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_2_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_2_3)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_6)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) - (portRef I1 (instanceRef CLK_030_H_2_i_1)) )) (net RST (joined (portRef RST) @@ -3356,7 +3434,7 @@ (portRef AMIGA_ADDR_ENABLE) )) (net AMIGA_BUS_DATA_DIR_c (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_i)) + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_i)) (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR)) )) (net AMIGA_BUS_DATA_DIR (joined @@ -3375,12 +3453,255 @@ (portRef O (instanceRef CIIN)) (portRef CIIN) )) - (net un1_as_000_i (joined - (portRef O (instanceRef un1_as_000_0)) - (portRef OE (instanceRef AS_000)) - (portRef OE (instanceRef LDS_000)) - (portRef OE (instanceRef RW_000)) - (portRef OE (instanceRef UDS_000)) + (net N_6_i (joined + (portRef O (instanceRef N_6_i)) + (portRef I0 (instanceRef AS_000_DMA_1)) + )) + (net N_48_0 (joined + (portRef O (instanceRef AS_000_DMA_1)) + (portRef I0 (instanceRef AS_000_DMA_1_i)) + )) + (net N_3_i (joined + (portRef O (instanceRef N_3_i)) + (portRef I0 (instanceRef DS_000_DMA_1)) + )) + (net N_49_0 (joined + (portRef O (instanceRef DS_000_DMA_1)) + (portRef I0 (instanceRef DS_000_DMA_1_i)) + )) + (net (rename pos_clk_un29_bgack_030_int_i "pos_clk.un29_bgack_030_int_i") (joined + (portRef O (instanceRef pos_clk_un29_bgack_030_int_i)) + (portRef I1 (instanceRef pos_clk_un27_bgack_030_int)) + )) + (net (rename pos_clk_un26_bgack_030_int_i "pos_clk.un26_bgack_030_int_i") (joined + (portRef O (instanceRef pos_clk_un26_bgack_030_int_i)) + (portRef I0 (instanceRef pos_clk_un27_bgack_030_int)) + )) + (net (rename pos_clk_un27_bgack_030_int_0 "pos_clk.un27_bgack_030_int_0") (joined + (portRef O (instanceRef pos_clk_un27_bgack_030_int)) + (portRef I0 (instanceRef pos_clk_un27_bgack_030_int_i)) + )) + (net CLK_030_H_0_sqmuxa_i (joined + (portRef O (instanceRef CLK_030_H_0_sqmuxa_i)) + (portRef I0 (instanceRef CLK_030_H_1)) + )) + (net N_7_i (joined + (portRef O (instanceRef N_7_i)) + (portRef I1 (instanceRef CLK_030_H_1)) + )) + (net N_47_0 (joined + (portRef O (instanceRef CLK_030_H_1)) + (portRef I0 (instanceRef CLK_030_H_1_i)) + )) + (net N_133_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) + )) + (net N_176_i (joined + (portRef O (instanceRef N_176_i)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0)) + )) + (net N_175_i (joined + (portRef O (instanceRef N_175_i)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0)) + )) + (net AMIGA_BUS_DATA_DIR_c_0 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_i)) + )) + (net (rename pos_clk_DS_000_DMA_4_f1_0 "pos_clk.DS_000_DMA_4_f1_0") (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f1)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f1_i)) + )) + (net N_162_i (joined + (portRef O (instanceRef N_162_i)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_2_0)) + )) + (net N_163_i (joined + (portRef O (instanceRef N_163_i)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_1_0)) + )) + (net N_164_i (joined + (portRef O (instanceRef N_164_i)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_2_0)) + )) + (net N_165_i (joined + (portRef O (instanceRef N_165_i)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0)) + )) + (net N_131_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0)) + (portRef D (instanceRef SM_AMIGA_i_7)) + )) + (net (rename pos_clk_un22_bgack_030_int_0 "pos_clk.un22_bgack_030_int_0") (joined + (portRef O (instanceRef pos_clk_un22_bgack_030_int)) + (portRef I0 (instanceRef pos_clk_un22_bgack_030_int_i)) + )) + (net (rename pos_clk_un9_clk_000_n_sync_i "pos_clk.un9_clk_000_n_sync_i") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_n_sync_i)) + (portRef I1 (instanceRef pos_clk_un14_clk_000_n_sync)) + )) + (net (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (joined + (portRef O (instanceRef CLK_000_N_SYNC_i_10)) + (portRef I0 (instanceRef pos_clk_un14_clk_000_n_sync)) + )) + (net (rename pos_clk_un14_clk_000_n_sync_0 "pos_clk.un14_clk_000_n_sync_0") (joined + (portRef O (instanceRef pos_clk_un14_clk_000_n_sync)) + (portRef I0 (instanceRef pos_clk_un14_clk_000_n_sync_i)) + )) + (net N_22_i (joined + (portRef O (instanceRef N_22_i)) + (portRef I0 (instanceRef BGACK_030_INT_1)) + )) + (net N_33_0 (joined + (portRef O (instanceRef BGACK_030_INT_1)) + (portRef I0 (instanceRef BGACK_030_INT_1_i)) + )) + (net N_18_i (joined + (portRef O (instanceRef N_18_i)) + (portRef I0 (instanceRef VMA_INT_1)) + )) + (net N_37_0 (joined + (portRef O (instanceRef VMA_INT_1)) + (portRef I0 (instanceRef VMA_INT_1_i)) + )) + (net (rename pos_clk_un9_clk_000_ne_i "pos_clk.un9_clk_000_ne_i") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_ne_i)) + (portRef I1 (instanceRef pos_clk_un7_clk_000_pe)) + )) + (net (rename pos_clk_un5_clk_000_pe_i "pos_clk.un5_clk_000_pe_i") (joined + (portRef O (instanceRef pos_clk_un5_clk_000_pe_i)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_pe)) + )) + (net (rename pos_clk_un7_clk_000_pe_0 "pos_clk.un7_clk_000_pe_0") (joined + (portRef O (instanceRef pos_clk_un7_clk_000_pe)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_i)) + )) + (net (rename pos_clk_un27_clk_000_ne_d0_i "pos_clk.un27_clk_000_ne_d0_i") (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_i)) + (portRef I1 (instanceRef pos_clk_un23_clk_000_ne_d0)) + )) + (net (rename pos_clk_un21_clk_000_ne_d0_i "pos_clk.un21_clk_000_ne_d0_i") (joined + (portRef O (instanceRef pos_clk_un21_clk_000_ne_d0_i)) + (portRef I0 (instanceRef pos_clk_un23_clk_000_ne_d0)) + )) + (net (rename pos_clk_un23_clk_000_ne_d0_0 "pos_clk.un23_clk_000_ne_d0_0") (joined + (portRef O (instanceRef pos_clk_un23_clk_000_ne_d0)) + (portRef I0 (instanceRef pos_clk_un23_clk_000_ne_d0_i)) + )) + (net N_136_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_3)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_1_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_3)) + )) + (net N_140_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_2)) + )) + (net N_195_i (joined + (portRef O (instanceRef N_195_i)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_2)) + )) + (net N_196_i (joined + (portRef O (instanceRef N_196_i)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_i_2)) + )) + (net N_186_i (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_2)) + (portRef I0 (instanceRef cpu_est_0_2__m)) + )) + (net N_188_i (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_o4_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_2_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_o4_i_2)) + )) + (net N_189_i (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_1_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_i_1)) + )) + (net N_190_i (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_o4_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_a4_0_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_o4_i_3)) + )) + (net N_193_i (joined + (portRef O (instanceRef N_193_i)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_2_1)) + )) + (net N_191_i (joined + (portRef O (instanceRef N_191_i)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_2_1)) + )) + (net N_192_i (joined + (portRef O (instanceRef N_192_i)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_1_1)) + )) + (net N_194_i (joined + (portRef O (instanceRef N_194_i)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_1_1)) + )) + (net (rename pos_clk_cpu_est_11_0_1 "pos_clk.cpu_est_11_0[1]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_i_1)) + )) + (net N_198_i (joined + (portRef O (instanceRef N_198_i)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_3)) + )) + (net N_197_i (joined + (portRef O (instanceRef N_197_i)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_1_3)) + )) + (net N_199_i (joined + (portRef O (instanceRef N_199_i)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_1_3)) + )) + (net (rename pos_clk_cpu_est_11_0_3 "pos_clk.cpu_est_11_0[3]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_i_3)) + )) + (net N_151_i (joined + (portRef O (instanceRef N_151_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_2)) + )) + (net N_150_i (joined + (portRef O (instanceRef N_150_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_2)) + )) + (net N_121_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_2)) + (portRef D (instanceRef SM_AMIGA_2)) + )) + (net N_135_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_i_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) + )) + (net N_252_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o4_i_1)) + )) + (net N_85_i (joined + (portRef O (instanceRef N_85_i)) + (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_0_0)) + )) + (net (rename pos_clk_RST_DLY_5_iv_i_0 "pos_clk.RST_DLY_5_iv_i[0]") (joined + (portRef O (instanceRef pos_clk_RST_DLY_5_iv_0_0)) + (portRef D (instanceRef RST_DLY_0)) + )) + (net N_38_0 (joined + (portRef O (instanceRef RESET_OUT_1)) + (portRef I0 (instanceRef RESET_OUT_1_i)) + )) + (net (rename un1_RST_DLY_i_m_i_2 "un1_RST_DLY_i_m_i[2]") (joined + (portRef O (instanceRef un1_RST_DLY_i_m_i_2)) + (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_1)) + )) + (net (rename pos_clk_RST_DLY_5_iv_i_1 "pos_clk.RST_DLY_5_iv_i[1]") (joined + (portRef O (instanceRef pos_clk_RST_DLY_5_iv_1)) + (portRef D (instanceRef RST_DLY_1)) )) (net (rename un1_RST_DLY_i_m_i_3 "un1_RST_DLY_i_m_i[3]") (joined (portRef O (instanceRef un1_RST_DLY_i_m_i_3)) @@ -3430,402 +3751,24 @@ (portRef O (instanceRef pos_clk_RST_DLY_5_iv_7)) (portRef D (instanceRef RST_DLY_7)) )) - (net (rename un1_RST_DLY_i_m_i_2 "un1_RST_DLY_i_m_i[2]") (joined - (portRef O (instanceRef un1_RST_DLY_i_m_i_2)) - (portRef I1 (instanceRef pos_clk_RST_DLY_5_iv_1)) + (net un3_as_030_i (joined + (portRef O (instanceRef un3_as_030)) + (portRef OE (instanceRef A0)) + (portRef OE (instanceRef AS_030)) + (portRef OE (instanceRef DS_030)) )) - (net (rename pos_clk_RST_DLY_5_iv_i_1 "pos_clk.RST_DLY_5_iv_i[1]") (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_1)) - (portRef D (instanceRef RST_DLY_1)) - )) - (net N_98_i (joined - (portRef O (instanceRef N_98_i)) - (portRef I0 (instanceRef pos_clk_RST_DLY_5_iv_0_0)) - )) - (net (rename pos_clk_RST_DLY_5_iv_i_0 "pos_clk.RST_DLY_5_iv_i[0]") (joined - (portRef O (instanceRef pos_clk_RST_DLY_5_iv_0_0)) - (portRef D (instanceRef RST_DLY_0)) - )) - (net N_105_i (joined - (portRef O (instanceRef N_105_i)) - (portRef I0 (instanceRef RESET_OUT_2_i)) - )) - (net N_244_i (joined - (portRef O (instanceRef RESET_OUT_2_i)) - (portRef D (instanceRef RESET_OUT)) - )) - (net N_22_i (joined - (portRef O (instanceRef N_22_i)) - (portRef I0 (instanceRef BGACK_030_INT_1)) - )) - (net N_33_0 (joined - (portRef O (instanceRef BGACK_030_INT_1)) - (portRef I0 (instanceRef BGACK_030_INT_1_i)) - )) - (net N_18_i (joined - (portRef O (instanceRef N_18_i)) - (portRef I0 (instanceRef VMA_INT_1)) - )) - (net N_37_0 (joined - (portRef O (instanceRef VMA_INT_1)) - (portRef I0 (instanceRef VMA_INT_1_i)) - )) - (net N_14_i (joined - (portRef O (instanceRef N_14_i)) - (portRef I0 (instanceRef RW_000_INT_2)) - )) - (net N_41_0 (joined - (portRef O (instanceRef RW_000_INT_2)) - (portRef I0 (instanceRef RW_000_INT_2_i)) - )) - (net N_10_i (joined - (portRef O (instanceRef N_10_i)) - (portRef I0 (instanceRef AS_000_INT_1)) - )) - (net N_44_0 (joined - (portRef O (instanceRef AS_000_INT_1)) - (portRef I0 (instanceRef AS_000_INT_1_i)) - )) - (net (rename pos_clk_cpu_est_11_0_1 "pos_clk.cpu_est_11_0[1]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_i_1)) - )) - (net N_312_i (joined - (portRef O (instanceRef N_312_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_2_1)) - )) - (net N_90_i (joined - (portRef O (instanceRef N_90_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_1_1)) - )) - (net N_88_i (joined - (portRef O (instanceRef N_88_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_1_1)) - )) - (net N_299_i (joined - (portRef O (instanceRef N_299_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_2_1)) - )) - (net N_275_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_4)) - )) - (net N_274_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_o2_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_o2_i_2)) - )) - (net N_273_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_o2_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_a2_0_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_o2_i_3)) - )) - (net N_272_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_o2_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_a2_2_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_o2_i_1)) - )) - (net N_270_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_0_o2_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_a2_1_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_0_o2_i_2)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_a2_1)) - )) - (net N_268_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_i_o2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_i_o2_i_0)) - )) - (net N_310_i (joined - (portRef O (instanceRef N_310_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_o2_0_2)) - )) - (net N_311_i (joined - (portRef O (instanceRef N_311_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_o2_0_2)) - )) - (net N_267_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_o2_0_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_o2_0_i_2)) - )) - (net N_309_i (joined - (portRef O (instanceRef N_309_i)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0)) - )) - (net N_308_i (joined - (portRef O (instanceRef N_308_i)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0)) - )) - (net (rename pos_clk_un7_clk_000_pe_0 "pos_clk.un7_clk_000_pe_0") (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_i)) - )) - (net N_264_0 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) - )) - (net N_304_i (joined - (portRef O (instanceRef N_304_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_i_0_2)) - )) - (net N_303_i (joined - (portRef O (instanceRef N_303_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_0_2)) - )) - (net N_186_i (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_0_2)) - (portRef I0 (instanceRef cpu_est_0_2__m)) - )) - (net VPA_c_i (joined - (portRef O (instanceRef VPA_c_i)) - (portRef I1 (instanceRef VPA_D_0)) - )) - (net N_56_0 (joined - (portRef O (instanceRef VPA_D_0)) - (portRef I0 (instanceRef VPA_D_0_i)) - )) - (net DTACK_c_i (joined - (portRef O (instanceRef DTACK_c_i)) - (portRef I0 (instanceRef DTACK_D0_0)) - )) - (net N_57_0 (joined - (portRef O (instanceRef DTACK_D0_0)) - (portRef I0 (instanceRef DTACK_D0_0_i)) - )) - (net N_97_i (joined - (portRef O (instanceRef N_97_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_1_0)) - )) - (net N_131_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0)) - (portRef D (instanceRef SM_AMIGA_i_7)) - )) - (net N_96_i (joined - (portRef O (instanceRef N_96_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0)) - )) - (net N_95_i (joined - (portRef O (instanceRef N_95_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_2_0)) - )) - (net N_94_i (joined - (portRef O (instanceRef N_94_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_2_0)) - )) - (net N_313_i (joined - (portRef O (instanceRef N_313_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_o2_3)) - )) - (net N_136_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_o2_3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a2_2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_o2_i_3)) - )) - (net N_81_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o4_i_0)) - )) - (net N_116_i (joined - (portRef O (instanceRef N_116_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_0)) - )) - (net N_77_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_1_0)) - )) - (net N_73_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o4_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o4_0_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a2_1_1_0)) - )) - (net N_101_i (joined - (portRef O (instanceRef N_101_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0)) - )) - (net N_117_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0)) - (portRef D (instanceRef SM_AMIGA_0)) - )) - (net (rename CLK_000_N_SYNC_i_10 "CLK_000_N_SYNC_i[10]") (joined - (portRef O (instanceRef CLK_000_N_SYNC_i_10)) - (portRef I0 (instanceRef pos_clk_un14_clk_000_n_sync)) - )) - (net (rename pos_clk_un9_clk_000_n_sync_i "pos_clk.un9_clk_000_n_sync_i") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_n_sync_i)) - (portRef I1 (instanceRef pos_clk_un14_clk_000_n_sync)) - )) - (net (rename pos_clk_un14_clk_000_n_sync_0 "pos_clk.un14_clk_000_n_sync_0") (joined - (portRef O (instanceRef pos_clk_un14_clk_000_n_sync)) - (portRef I0 (instanceRef pos_clk_un14_clk_000_n_sync_i)) - )) - (net (rename pos_clk_un22_bgack_030_int_i "pos_clk.un22_bgack_030_int_i") (joined - (portRef O (instanceRef pos_clk_un22_bgack_030_int)) - (portRef I0 (instanceRef pos_clk_un22_bgack_030_int_i_0)) - )) - (net N_86_i (joined - (portRef O (instanceRef N_86_i)) + (net N_76_i (joined + (portRef O (instanceRef N_76_i)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_1)) )) - (net N_93_i (joined - (portRef O (instanceRef N_93_i)) + (net N_83_i (joined + (portRef O (instanceRef N_83_i)) (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_1)) )) (net N_119_i (joined (portRef O (instanceRef SM_AMIGA_srsts_i_0_1)) (portRef D (instanceRef SM_AMIGA_1)) )) - (net N_99_i (joined - (portRef O (instanceRef N_99_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) - )) - (net (rename pos_clk_SIZE_DMA_6_0_1 "pos_clk.SIZE_DMA_6_0[1]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) - )) - (net N_100_i (joined - (portRef O (instanceRef N_100_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) - )) - (net (rename pos_clk_SIZE_DMA_6_0_0 "pos_clk.SIZE_DMA_6_0[0]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) - )) - (net N_245_0 (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_i)) - )) - (net N_108_i (joined - (portRef O (instanceRef N_108_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i)) - )) - (net N_109_i (joined - (portRef O (instanceRef N_109_i)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i)) - )) - (net N_246_0 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i)) - )) - (net un5_ciin_i (joined - (portRef O (instanceRef un5_ciin_i)) - (portRef I1 (instanceRef un8_ciin_i_0)) - )) - (net N_247_0 (joined - (portRef O (instanceRef un8_ciin_i_0)) - (portRef I0 (instanceRef un8_ciin_i_0_i)) - )) - (net N_248_0 (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_i)) - )) - (net CLK_000_D0_i (joined - (portRef O (instanceRef CLK_000_D0_i)) - (portRef I0 (instanceRef pos_clk_CLK_000_N_SYNC_2_0_o4_0)) - )) - (net N_249_i (joined - (portRef O (instanceRef pos_clk_CLK_000_N_SYNC_2_0_o4_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o4_1_0_0)) - (portRef D (instanceRef CLK_000_N_SYNC_0)) - )) - (net AS_030_000_SYNC_i (joined - (portRef O (instanceRef AS_030_000_SYNC_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o4_1_0_0)) - )) - (net N_251_0 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o4_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o4_i_0)) - )) - (net (rename pos_clk_un3_as_030_d0_i "pos_clk.un3_as_030_d0_i") (joined - (portRef O (instanceRef pos_clk_un3_as_030_d0_i_i_o2)) - (portRef I1 (instanceRef AS_000_INT_1_sqmuxa_0_a2)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1)) - (portRef I0 (instanceRef pos_clk_un3_as_030_d0_i_i_o2_i)) - )) - (net (rename pos_clk_un5_bgack_030_int_d_i "pos_clk.un5_bgack_030_int_d_i") (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2)) - (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i)) - (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o4_1)) - )) - (net N_75_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o4_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o4_1_i_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a2_1_1_0)) - )) - (net N_76_i (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_i_0)) - )) - (net N_78_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o4_i_1)) - )) - (net N_80_0 (joined - (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o4)) - (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o4_i)) - )) - (net CLK_EXP_c_i (joined - (portRef O (instanceRef CLK_EXP_c_i)) - (portRef I1 (instanceRef CLK_030_H_2_i_o2)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i)) - )) - (net N_258_0 (joined - (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i_i)) - )) - (net N_283_i (joined - (portRef O (instanceRef N_283_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_6)) - )) - (net N_284_i (joined - (portRef O (instanceRef N_284_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_6)) - )) - (net N_129_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_6)) - (portRef D (instanceRef SM_AMIGA_6)) - )) - (net N_290_i (joined - (portRef O (instanceRef N_290_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_4)) - )) - (net N_291_i (joined - (portRef O (instanceRef N_291_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_4)) - )) - (net N_125_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_4)) - (portRef D (instanceRef SM_AMIGA_4)) - )) - (net N_279_i (joined - (portRef O (instanceRef N_279_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_3)) - )) - (net N_293_i (joined - (portRef O (instanceRef N_293_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_3)) - )) - (net N_123_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_3)) - (portRef D (instanceRef SM_AMIGA_3)) - )) - (net N_82_i (joined - (portRef O (instanceRef N_82_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_2)) - )) - (net N_83_i (joined - (portRef O (instanceRef N_83_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_2)) - )) - (net N_259_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_i_2)) - )) (net N_84_i (joined (portRef O (instanceRef N_84_i)) (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0_1)) @@ -3834,113 +3777,180 @@ (portRef O (instanceRef un1_SM_AMIGA_5_i_0)) (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0_i)) )) - (net N_85_i (joined - (portRef O (instanceRef N_85_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_1_3)) + (net N_86_i (joined + (portRef O (instanceRef N_86_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) )) - (net N_294_i (joined - (portRef O (instanceRef N_294_i)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_3)) + (net (rename pos_clk_SIZE_DMA_6_0_1 "pos_clk.SIZE_DMA_6_0[1]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) )) - (net N_296_i (joined - (portRef O (instanceRef N_296_i)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_1_3)) + (net N_87_i (joined + (portRef O (instanceRef N_87_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) )) - (net (rename pos_clk_cpu_est_11_0_3 "pos_clk.cpu_est_11_0[3]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_i_3)) + (net (rename pos_clk_SIZE_DMA_6_0_0 "pos_clk.SIZE_DMA_6_0[0]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) )) - (net N_91_i (joined - (portRef O (instanceRef N_91_i)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_1)) + (net N_88_i (joined + (portRef O (instanceRef N_88_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0)) )) - (net N_260_0 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_i)) + (net N_117_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0)) + (portRef D (instanceRef SM_AMIGA_0)) )) - (net N_301_i (joined - (portRef O (instanceRef N_301_i)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0)) + (net N_241_0 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_i)) )) - (net (rename pos_clk_DS_000_DMA_4_0 "pos_clk.DS_000_DMA_4_0") (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_i)) + (net N_242_0 (joined + (portRef O (instanceRef DSACK1_INT_1_sqmuxa_i)) + (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa_i_i)) )) - (net N_305_i (joined - (portRef O (instanceRef N_305_i)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0)) + (net N_243_0 (joined + (portRef O (instanceRef AS_000_INT_1_sqmuxa_i)) + (portRef I0 (instanceRef AS_000_INT_1_sqmuxa_i_i)) )) - (net N_306_i (joined - (portRef O (instanceRef N_306_i)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_0)) + (net N_93_i (joined + (portRef O (instanceRef N_93_i)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i)) )) - (net AMIGA_BUS_DATA_DIR_c_0 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_i)) + (net N_94_i (joined + (portRef O (instanceRef N_94_i)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i)) )) - (net N_307_i (joined - (portRef O (instanceRef N_307_i)) - (portRef I0 (instanceRef CLK_030_H_2_i_1)) + (net N_244_0 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_i)) )) - (net N_261_i (joined - (portRef O (instanceRef CLK_030_H_2_i)) - (portRef D (instanceRef CLK_030_H)) + (net N_245_0 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) )) - (net N_262_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i)) - (portRef D (instanceRef CYCLE_DMA_1)) + (net N_246_0 (joined + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_i)) )) - (net N_263_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i)) - (portRef D (instanceRef CYCLE_DMA_0)) + (net (rename pos_clk_un3_as_030_d0_i "pos_clk.un3_as_030_d0_i") (joined + (portRef O (instanceRef pos_clk_un3_as_030_d0_0_o2)) + (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa_i)) + (portRef I1 (instanceRef AS_000_INT_1_sqmuxa_i)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_0)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i)) + (portRef I0 (instanceRef pos_clk_un3_as_030_d0_0_o2_i)) )) - (net N_265_0 (joined - (portRef O (instanceRef un14_amiga_bus_data_dir_i_0)) - (portRef I0 (instanceRef un14_amiga_bus_data_dir_i_0_i)) + (net (rename pos_clk_un5_bgack_030_int_d_i "pos_clk.un5_bgack_030_int_d_i") (joined + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_i_o2)) + (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_i)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_i_o2_i)) + (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_o4_1)) )) - (net N_269_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) - (portRef I1 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_1)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_1)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) + (net N_249_i (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_0)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o4_i_0)) )) - (net (rename pos_clk_un24_bgack_030_int_i_0_i "pos_clk.un24_bgack_030_int_i_0_i") (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_o2)) - (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa_i)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_i)) - (portRef I1 (instanceRef CLK_030_H_2_i)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i)) + (net N_251_0 (joined + (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o4)) + (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o4_i)) )) - (net N_62_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_6)) + (net N_71_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_o4_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o4_i_0)) )) - (net N_276_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_5)) + (net N_104_i (joined + (portRef O (instanceRef N_104_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o6_0_o2_0)) )) - (net N_277_0 (joined - (portRef O (instanceRef CLK_030_H_2_i_o2)) - (portRef I0 (instanceRef CLK_030_H_2_i_o2_i)) + (net N_137_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o6_0_o2_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_1_0)) )) - (net N_286_i (joined - (portRef O (instanceRef N_286_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_5)) + (net N_129_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_6)) + (portRef D (instanceRef SM_AMIGA_6)) )) - (net N_288_i (joined - (portRef O (instanceRef N_288_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_2_5)) + (net N_160_i (joined + (portRef O (instanceRef N_160_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_6)) )) - (net N_289_i (joined - (portRef O (instanceRef N_289_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_2_5)) + (net N_161_i (joined + (portRef O (instanceRef N_161_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_6)) )) (net N_127_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_5)) + (portRef O (instanceRef SM_AMIGA_srsts_i_5)) (portRef D (instanceRef SM_AMIGA_5)) )) + (net N_159_i (joined + (portRef O (instanceRef N_159_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_2_5)) + )) + (net N_157_i (joined + (portRef O (instanceRef N_157_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_5)) + )) + (net N_158_i (joined + (portRef O (instanceRef N_158_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_5)) + )) + (net N_125_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_4)) + (portRef D (instanceRef SM_AMIGA_4)) + )) + (net N_155_i (joined + (portRef O (instanceRef N_155_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_4)) + )) + (net N_156_i (joined + (portRef O (instanceRef N_156_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_4)) + )) + (net N_123_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_3)) + (portRef D (instanceRef SM_AMIGA_3)) + )) + (net N_154_i (joined + (portRef O (instanceRef N_154_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_2_3)) + )) + (net N_152_i (joined + (portRef O (instanceRef N_152_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_1_3)) + )) + (net N_153_i (joined + (portRef O (instanceRef N_153_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_1_3)) + )) + (net N_142_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_6)) + )) + (net N_141_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_4)) + )) + (net N_138_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_5)) + )) + (net N_132_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_i_0)) + )) + (net un1_as_030_i (joined + (portRef O (instanceRef un1_as_030)) + (portRef I1 (instanceRef un3_as_030)) + (portRef OE (instanceRef SIZE_0)) + (portRef OE (instanceRef SIZE_1)) + )) (net (rename pos_clk_un11_ds_030_d0_i "pos_clk.un11_ds_030_d0_i") (joined (portRef O (instanceRef pos_clk_un11_ds_030_d0)) (portRef I0 (instanceRef LDS_000_INT_0_m)) @@ -4001,6 +4011,22 @@ (portRef O (instanceRef IPL_D0_0_0)) (portRef I0 (instanceRef IPL_D0_0_i_0)) )) + (net DTACK_c_i (joined + (portRef O (instanceRef DTACK_c_i)) + (portRef I0 (instanceRef DTACK_D0_0)) + )) + (net N_57_0 (joined + (portRef O (instanceRef DTACK_D0_0)) + (portRef I0 (instanceRef DTACK_D0_0_i)) + )) + (net VPA_c_i (joined + (portRef O (instanceRef VPA_c_i)) + (portRef I1 (instanceRef VPA_D_0)) + )) + (net N_56_0 (joined + (portRef O (instanceRef VPA_D_0)) + (portRef I0 (instanceRef VPA_D_0_i)) + )) (net nEXP_SPACE_c_i (joined (portRef O (instanceRef nEXP_SPACE_c_i)) (portRef I1 (instanceRef nEXP_SPACE_D0_0)) @@ -4013,22 +4039,6 @@ (portRef O (instanceRef DS_030_D0_0)) (portRef I0 (instanceRef DS_030_D0_0_i)) )) - (net N_3_i (joined - (portRef O (instanceRef N_3_i)) - (portRef I0 (instanceRef DS_000_DMA_1)) - )) - (net N_49_0 (joined - (portRef O (instanceRef DS_000_DMA_1)) - (portRef I0 (instanceRef DS_000_DMA_1_i)) - )) - (net N_6_i (joined - (portRef O (instanceRef N_6_i)) - (portRef I0 (instanceRef AS_000_DMA_1)) - )) - (net N_48_0 (joined - (portRef O (instanceRef AS_000_DMA_1)) - (portRef I0 (instanceRef AS_000_DMA_1_i)) - )) (net N_8_i (joined (portRef O (instanceRef N_8_i)) (portRef I0 (instanceRef A0_DMA_1)) @@ -4045,6 +4055,14 @@ (portRef O (instanceRef DSACK1_INT_1)) (portRef I0 (instanceRef DSACK1_INT_1_i)) )) + (net N_10_i (joined + (portRef O (instanceRef N_10_i)) + (portRef I0 (instanceRef AS_000_INT_1)) + )) + (net N_44_0 (joined + (portRef O (instanceRef AS_000_INT_1)) + (portRef I0 (instanceRef AS_000_INT_1_i)) + )) (net N_12_i (joined (portRef O (instanceRef N_12_i)) (portRef I0 (instanceRef AS_030_000_SYNC_1)) @@ -4061,6 +4079,14 @@ (portRef O (instanceRef LDS_000_INT_1)) (portRef I0 (instanceRef LDS_000_INT_1_i)) )) + (net N_14_i (joined + (portRef O (instanceRef N_14_i)) + (portRef I0 (instanceRef RW_000_INT_2)) + )) + (net N_41_0 (joined + (portRef O (instanceRef RW_000_INT_2)) + (portRef I0 (instanceRef RW_000_INT_2_i)) + )) (net N_15_i (joined (portRef O (instanceRef N_15_i)) (portRef I0 (instanceRef RW_000_DMA_2)) @@ -4113,94 +4139,90 @@ (portRef O (instanceRef pos_clk_un8_bg_030)) (portRef I0 (instanceRef pos_clk_un8_bg_030_i)) )) - (net N_127_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_5)) - )) - (net N_127_i_2 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_2_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_5)) - )) - (net (rename pos_clk_un24_bgack_030_int_i_0_i_1 "pos_clk.un24_bgack_030_int_i_0_i_1") (joined - (portRef O (instanceRef pos_clk_un24_bgack_030_int_i_0_o2_1)) - (portRef I0 (instanceRef pos_clk_un24_bgack_030_int_i_0_o2)) - )) - (net N_80_0_1 (joined + (net N_251_0_1 (joined (portRef O (instanceRef pos_clk_un37_as_030_d0_i_o4_1)) (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_o4)) )) - (net N_75_i_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o4_1_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o4_1_0)) + (net N_121_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_1_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_2)) )) - (net N_251_0_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o4_1_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o4_0)) + (net (rename pos_clk_cpu_est_11_0_1_3 "pos_clk.cpu_est_11_0_1[3]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_1_3)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_3)) + )) + (net (rename pos_clk_cpu_est_11_0_1_1 "pos_clk.cpu_est_11_0_1[1]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_1_1)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_0_1)) + )) + (net (rename pos_clk_cpu_est_11_0_2_1 "pos_clk.cpu_est_11_0_2[1]") (joined + (portRef O (instanceRef pos_clk_cpu_est_11_0_2_1)) + (portRef I1 (instanceRef pos_clk_cpu_est_11_0_1)) + )) + (net N_131_i_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_3_0)) + )) + (net N_131_i_2 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_2_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_3_0)) + )) + (net N_131_i_3 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_3_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0)) )) (net (rename pos_clk_un11_ds_030_d0_i_1 "pos_clk.un11_ds_030_d0_i_1") (joined (portRef O (instanceRef pos_clk_un11_ds_030_d0_1)) (portRef I0 (instanceRef pos_clk_un11_ds_030_d0)) )) - (net N_340_1 (joined + (net un8_ciin_1 (joined + (portRef O (instanceRef un8_ciin_1)) + (portRef I0 (instanceRef un8_ciin_6)) + )) + (net un8_ciin_2 (joined + (portRef O (instanceRef un8_ciin_2)) + (portRef I1 (instanceRef un8_ciin_6)) + )) + (net un8_ciin_3 (joined + (portRef O (instanceRef un8_ciin_3)) + (portRef I0 (instanceRef un8_ciin_7)) + )) + (net un8_ciin_4 (joined + (portRef O (instanceRef un8_ciin_4)) + (portRef I1 (instanceRef un8_ciin_7)) + )) + (net un8_ciin_5 (joined + (portRef O (instanceRef un8_ciin_5)) + (portRef I1 (instanceRef un8_ciin)) + )) + (net un8_ciin_6 (joined + (portRef O (instanceRef un8_ciin_6)) + (portRef I0 (instanceRef un8_ciin_8)) + )) + (net un8_ciin_7 (joined + (portRef O (instanceRef un8_ciin_7)) + (portRef I1 (instanceRef un8_ciin_8)) + )) + (net un8_ciin_8 (joined + (portRef O (instanceRef un8_ciin_8)) + (portRef I0 (instanceRef un8_ciin)) + )) + (net N_116_1 (joined (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_1)) (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) )) - (net N_340_2 (joined + (net N_116_2 (joined (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_2)) (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) )) - (net N_340_3 (joined + (net N_116_3 (joined (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_3)) (portRef I1 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) )) - (net N_340_4 (joined + (net N_116_4 (joined (portRef O (instanceRef pos_clk_un37_as_030_d0_i_a2_1_4)) (portRef I0 (instanceRef pos_clk_un37_as_030_d0_i_a2_1)) )) - (net un5_ciin_1 (joined - (portRef O (instanceRef un5_ciin_0_a2_1)) - (portRef I0 (instanceRef un5_ciin_0_a2_7)) - )) - (net un5_ciin_2 (joined - (portRef O (instanceRef un5_ciin_0_a2_2)) - (portRef I1 (instanceRef un5_ciin_0_a2_7)) - )) - (net un5_ciin_3 (joined - (portRef O (instanceRef un5_ciin_0_a2_3)) - (portRef I0 (instanceRef un5_ciin_0_a2_8)) - )) - (net un5_ciin_4 (joined - (portRef O (instanceRef un5_ciin_0_a2_4)) - (portRef I1 (instanceRef un5_ciin_0_a2_8)) - )) - (net un5_ciin_5 (joined - (portRef O (instanceRef un5_ciin_0_a2_5)) - (portRef I0 (instanceRef un5_ciin_0_a2_9)) - )) - (net un5_ciin_6 (joined - (portRef O (instanceRef un5_ciin_0_a2_6)) - (portRef I1 (instanceRef un5_ciin_0_a2_9)) - )) - (net un5_ciin_7 (joined - (portRef O (instanceRef un5_ciin_0_a2_7)) - (portRef I0 (instanceRef un5_ciin_0_a2_10)) - )) - (net un5_ciin_8 (joined - (portRef O (instanceRef un5_ciin_0_a2_8)) - (portRef I1 (instanceRef un5_ciin_0_a2_10)) - )) - (net un5_ciin_9 (joined - (portRef O (instanceRef un5_ciin_0_a2_9)) - (portRef I0 (instanceRef un5_ciin_0_a2_11)) - )) - (net un5_ciin_10 (joined - (portRef O (instanceRef un5_ciin_0_a2_10)) - (portRef I0 (instanceRef un5_ciin_0_a2)) - )) - (net un5_ciin_11 (joined - (portRef O (instanceRef un5_ciin_0_a2_11)) - (portRef I1 (instanceRef un5_ciin_0_a2)) - )) (net un22_berr_1_0 (joined (portRef O (instanceRef un22_berr_0_a2_1_0)) (portRef I0 (instanceRef un22_berr_0_a2)) @@ -4209,77 +4231,37 @@ (portRef O (instanceRef un21_fpu_cs_0_a2_1)) (portRef I0 (instanceRef un21_fpu_cs_0_a2)) )) + (net N_123_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_1_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_3)) + )) + (net N_123_i_2 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_2_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_3)) + )) + (net N_125_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_1_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_4)) + )) + (net N_127_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_1_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_5)) + )) + (net N_127_i_2 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_2_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_5)) + )) + (net N_129_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_1_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_6)) + )) (net (rename pos_clk_un6_bg_030_1 "pos_clk.un6_bg_030_1") (joined (portRef O (instanceRef pos_clk_un6_bg_030_1)) (portRef I0 (instanceRef pos_clk_un6_bg_030)) )) - (net N_131_i_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_3_0)) - )) - (net N_131_i_2 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_3_0)) - )) - (net N_131_i_3 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0)) - )) - (net N_96_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a2_1_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a2_1_3_0)) - )) - (net N_96_2 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a2_1_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a2_1_3_0)) - )) - (net N_96_3 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a2_1_3_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a2_1_0)) - )) - (net (rename pos_clk_cpu_est_11_0_1_1 "pos_clk.cpu_est_11_0_1[1]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_1_1)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_1)) - )) - (net (rename pos_clk_cpu_est_11_0_2_1 "pos_clk.cpu_est_11_0_2[1]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_2_1)) - (portRef I1 (instanceRef pos_clk_cpu_est_11_0_0_1)) - )) - (net N_310_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_2_1_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_2_4_2)) - )) - (net N_310_2 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_2_2_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_2_4_2)) - )) - (net N_310_3 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_2_3_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_i_a2_2_2)) - )) - (net N_310_4 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_2_4_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_2_2)) - )) - (net N_309_1 (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_a2_0_1)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_a2_0)) - )) - (net N_309_2 (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_a2_0_2)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_a2_0)) - )) - (net N_308_1 (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_a2_1)) - (portRef I0 (instanceRef pos_clk_un7_clk_000_pe_0_a2)) - )) - (net N_308_2 (joined - (portRef O (instanceRef pos_clk_un7_clk_000_pe_0_a2_2)) - (portRef I1 (instanceRef pos_clk_un7_clk_000_pe_0_a2)) - )) - (net RESET_OUT_0_sqmuxa_5_1 (joined - (portRef O (instanceRef RESET_OUT_0_sqmuxa_5_1)) - (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_5)) + (net (rename pos_clk_un7_clk_000_d0_1 "pos_clk.un7_clk_000_d0_1") (joined + (portRef O (instanceRef pos_clk_un7_clk_000_d0_1)) + (portRef I0 (instanceRef pos_clk_un7_clk_000_d0)) )) (net RESET_OUT_0_sqmuxa_7_1 (joined (portRef O (instanceRef RESET_OUT_0_sqmuxa_7_1)) @@ -4293,82 +4275,154 @@ (portRef O (instanceRef RESET_OUT_0_sqmuxa_7_3)) (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_7)) )) - (net N_94_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a2_1_0_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a2_0)) + (net RESET_OUT_0_sqmuxa_5_1 (joined + (portRef O (instanceRef RESET_OUT_0_sqmuxa_5_1)) + (portRef I0 (instanceRef RESET_OUT_0_sqmuxa_5)) )) - (net N_95_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a2_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a2_0_0)) + (net N_135_i_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_o3_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_o3_0_0)) + )) + (net (rename pos_clk_un27_clk_000_ne_d0_1 "pos_clk.un27_clk_000_ne_d0_1") (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_1)) + (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0_3)) + )) + (net (rename pos_clk_un27_clk_000_ne_d0_2 "pos_clk.un27_clk_000_ne_d0_2") (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_2_0)) + (portRef I1 (instanceRef pos_clk_un27_clk_000_ne_d0_3)) + )) + (net (rename pos_clk_un27_clk_000_ne_d0_3 "pos_clk.un27_clk_000_ne_d0_3") (joined + (portRef O (instanceRef pos_clk_un27_clk_000_ne_d0_3)) + (portRef I0 (instanceRef pos_clk_un27_clk_000_ne_d0)) + )) + (net (rename pos_clk_un5_clk_000_pe_1 "pos_clk.un5_clk_000_pe_1") (joined + (portRef O (instanceRef pos_clk_un5_clk_000_pe_1)) + (portRef I0 (instanceRef pos_clk_un5_clk_000_pe_3)) + )) + (net (rename pos_clk_un5_clk_000_pe_2 "pos_clk.un5_clk_000_pe_2") (joined + (portRef O (instanceRef pos_clk_un5_clk_000_pe_2)) + (portRef I1 (instanceRef pos_clk_un5_clk_000_pe_3)) + )) + (net (rename pos_clk_un5_clk_000_pe_3 "pos_clk.un5_clk_000_pe_3") (joined + (portRef O (instanceRef pos_clk_un5_clk_000_pe_3)) + (portRef I0 (instanceRef pos_clk_un5_clk_000_pe)) + )) + (net (rename pos_clk_un9_clk_000_ne_1 "pos_clk.un9_clk_000_ne_1") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_ne_1)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_ne_4)) + )) + (net (rename pos_clk_un9_clk_000_ne_2 "pos_clk.un9_clk_000_ne_2") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_ne_2)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_ne_4)) + )) + (net (rename pos_clk_un9_clk_000_ne_3 "pos_clk.un9_clk_000_ne_3") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_ne_3)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_ne)) + )) + (net (rename pos_clk_un9_clk_000_ne_4 "pos_clk.un9_clk_000_ne_4") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_ne_4)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_ne)) + )) + (net N_196_1 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_0_1_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_0_2)) + )) + (net N_195_1 (joined + (portRef O (instanceRef pos_clk_cpu_est_11_i_a4_1_2)) + (portRef I0 (instanceRef pos_clk_cpu_est_11_i_a4_2)) + )) + (net (rename pos_clk_un24_bgack_030_int_1 "pos_clk.un24_bgack_030_int_1") (joined + (portRef O (instanceRef pos_clk_un24_bgack_030_int_1)) + (portRef I0 (instanceRef pos_clk_un24_bgack_030_int)) + )) + (net N_165_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) + )) + (net N_165_2 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_2_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) + )) + (net N_165_3 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_2_3_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_2_0)) + )) + (net N_163_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0_0)) + )) + (net N_162_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_a6_1_0_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_a6_0)) + )) + (net N_176_1_0 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) + )) + (net DS_000_DMA_2_sqmuxa_1 (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_1)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa)) )) (net N_119_i_1 (joined (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_1)) (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1)) )) - (net N_82_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_1_0_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_2)) - )) - (net N_83_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_i_a2_0_1_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_i_a2_0_2)) - )) - (net N_296_1 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_a2_1_1_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_a2_1_3)) - )) - (net N_303_1 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_0_a2_1_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_0_a2_2)) - )) - (net N_304_1 (joined - (portRef O (instanceRef pos_clk_cpu_est_11_i_0_a2_0_1_2)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_i_0_a2_0_2)) - )) - (net N_306_1 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0_1)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_0_a2_0)) - )) - (net N_129_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_6)) - )) - (net N_125_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_4)) - )) - (net N_123_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_3)) - )) (net N_115_0_1 (joined (portRef O (instanceRef un1_SM_AMIGA_5_i_0_1)) (portRef I0 (instanceRef un1_SM_AMIGA_5_i_0)) )) - (net (rename pos_clk_cpu_est_11_0_1_3 "pos_clk.cpu_est_11_0_1[3]") (joined - (portRef O (instanceRef pos_clk_cpu_est_11_0_0_1_3)) - (portRef I0 (instanceRef pos_clk_cpu_est_11_0_0_3)) - )) - (net N_260_0_1 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_1)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i)) - )) - (net N_261_i_1 (joined - (portRef O (instanceRef CLK_030_H_2_i_1)) - (portRef I0 (instanceRef CLK_030_H_2_i)) - )) - (net N_262_i_1 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) - )) - (net N_263_i_1 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i)) - )) (net (rename pos_clk_ipl_1 "pos_clk.ipl_1") (joined (portRef O (instanceRef G_168_1)) (portRef I0 (instanceRef G_168)) )) + (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined + (portRef O (instanceRef AS_000_DMA_0_r)) + (portRef I1 (instanceRef AS_000_DMA_0_n)) + )) + (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined + (portRef O (instanceRef AS_000_DMA_0_m)) + (portRef I0 (instanceRef AS_000_DMA_0_p)) + )) + (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined + (portRef O (instanceRef AS_000_DMA_0_n)) + (portRef I1 (instanceRef AS_000_DMA_0_p)) + )) + (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined + (portRef O (instanceRef DS_000_DMA_0_r)) + (portRef I1 (instanceRef DS_000_DMA_0_n)) + )) + (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined + (portRef O (instanceRef DS_000_DMA_0_m)) + (portRef I0 (instanceRef DS_000_DMA_0_p)) + )) + (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined + (portRef O (instanceRef DS_000_DMA_0_n)) + (portRef I1 (instanceRef DS_000_DMA_0_p)) + )) + (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined + (portRef O (instanceRef VMA_INT_0_r)) + (portRef I1 (instanceRef VMA_INT_0_n)) + )) + (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined + (portRef O (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_p)) + )) + (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined + (portRef O (instanceRef VMA_INT_0_n)) + (portRef I1 (instanceRef VMA_INT_0_p)) + )) + (net (rename SM_AMIGA_srsts_i_0_m2_1__un3 "SM_AMIGA_srsts_i_0_m2_1_.un3") (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__r)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) + )) + (net (rename SM_AMIGA_srsts_i_0_m2_1__un1 "SM_AMIGA_srsts_i_0_m2_1_.un1") (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__m)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) + )) + (net (rename SM_AMIGA_srsts_i_0_m2_1__un0 "SM_AMIGA_srsts_i_0_m2_1_.un0") (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) + )) (net (rename cpu_est_0_3__un3 "cpu_est_0_3_.un3") (joined (portRef O (instanceRef cpu_est_0_3__r)) (portRef I1 (instanceRef cpu_est_0_3__n)) @@ -4417,77 +4471,29 @@ (portRef O (instanceRef BGACK_030_INT_0_n)) (portRef I1 (instanceRef BGACK_030_INT_0_p)) )) - (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined - (portRef O (instanceRef VMA_INT_0_r)) - (portRef I1 (instanceRef VMA_INT_0_n)) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__un3 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.un3") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__r)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__n)) )) - (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined - (portRef O (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_p)) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__un1 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.un1") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__m)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__p)) )) - (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined - (portRef O (instanceRef VMA_INT_0_n)) - (portRef I1 (instanceRef VMA_INT_0_p)) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__un0 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0_.un0") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__n)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m4_0__p)) )) - (net (rename RW_000_INT_0_un3 "RW_000_INT_0.un3") (joined - (portRef O (instanceRef RW_000_INT_0_r)) - (portRef I1 (instanceRef RW_000_INT_0_n)) + (net (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_un3 "DS_000_ENABLE_1_sqmuxa_1_i_m4.un3") (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_r)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_n)) )) - (net (rename RW_000_INT_0_un1 "RW_000_INT_0.un1") (joined - (portRef O (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef RW_000_INT_0_p)) + (net (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_un1 "DS_000_ENABLE_1_sqmuxa_1_i_m4.un1") (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_m)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_p)) )) - (net (rename RW_000_INT_0_un0 "RW_000_INT_0.un0") (joined - (portRef O (instanceRef RW_000_INT_0_n)) - (portRef I1 (instanceRef RW_000_INT_0_p)) - )) - (net (rename SM_AMIGA_srsts_i_0_m2_3__un3 "SM_AMIGA_srsts_i_0_m2_3_.un3") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_3__r)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_3__n)) - )) - (net (rename SM_AMIGA_srsts_i_0_m2_3__un1 "SM_AMIGA_srsts_i_0_m2_3_.un1") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_3__m)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_3__p)) - )) - (net (rename SM_AMIGA_srsts_i_0_m2_3__un0 "SM_AMIGA_srsts_i_0_m2_3_.un0") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_3__n)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_3__p)) - )) - (net (rename SM_AMIGA_srsts_i_0_m2_1__un3 "SM_AMIGA_srsts_i_0_m2_1_.un3") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__r)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) - )) - (net (rename SM_AMIGA_srsts_i_0_m2_1__un1 "SM_AMIGA_srsts_i_0_m2_1_.un1") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__m)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) - )) - (net (rename SM_AMIGA_srsts_i_0_m2_1__un0 "SM_AMIGA_srsts_i_0_m2_1_.un0") (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_m2_1__n)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_m2_1__p)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__un3 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0_.un3") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__r)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__n)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__un1 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0_.un1") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__m)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__p)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__un0 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0_.un0") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__n)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_0_m2_0__p)) - )) - (net (rename un1_SM_AMIGA_7_i_m2_un3 "un1_SM_AMIGA_7_i_m2.un3") (joined - (portRef O (instanceRef un1_SM_AMIGA_7_i_m2_r)) - (portRef I1 (instanceRef un1_SM_AMIGA_7_i_m2_n)) - )) - (net (rename un1_SM_AMIGA_7_i_m2_un1 "un1_SM_AMIGA_7_i_m2.un1") (joined - (portRef O (instanceRef un1_SM_AMIGA_7_i_m2_m)) - (portRef I0 (instanceRef un1_SM_AMIGA_7_i_m2_p)) - )) - (net (rename un1_SM_AMIGA_7_i_m2_un0 "un1_SM_AMIGA_7_i_m2.un0") (joined - (portRef O (instanceRef un1_SM_AMIGA_7_i_m2_n)) - (portRef I1 (instanceRef un1_SM_AMIGA_7_i_m2_p)) + (net (rename DS_000_ENABLE_1_sqmuxa_1_i_m4_un0 "DS_000_ENABLE_1_sqmuxa_1_i_m4.un0") (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_n)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_1_i_m4_p)) )) (net (rename SIZE_DMA_0_0__un3 "SIZE_DMA_0_0_.un3") (joined (portRef O (instanceRef SIZE_DMA_0_0__r)) @@ -4549,66 +4555,6 @@ (portRef O (instanceRef IPL_030_0_2__n)) (portRef I1 (instanceRef IPL_030_0_2__p)) )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un3 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un1 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un0 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) - )) - (net (rename BG_000_0_un3 "BG_000_0.un3") (joined - (portRef O (instanceRef BG_000_0_r)) - (portRef I1 (instanceRef BG_000_0_n)) - )) - (net (rename BG_000_0_un1 "BG_000_0.un1") (joined - (portRef O (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_000_0_p)) - )) - (net (rename BG_000_0_un0 "BG_000_0.un0") (joined - (portRef O (instanceRef BG_000_0_n)) - (portRef I1 (instanceRef BG_000_0_p)) - )) - (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined - (portRef O (instanceRef DS_000_DMA_0_r)) - (portRef I1 (instanceRef DS_000_DMA_0_n)) - )) - (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined - (portRef O (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined - (portRef O (instanceRef DS_000_DMA_0_n)) - (portRef I1 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined - (portRef O (instanceRef AS_000_DMA_0_r)) - (portRef I1 (instanceRef AS_000_DMA_0_n)) - )) - (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined - (portRef O (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_p)) - )) - (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined - (portRef O (instanceRef AS_000_DMA_0_n)) - (portRef I1 (instanceRef AS_000_DMA_0_p)) - )) - (net (rename A0_DMA_0_un3 "A0_DMA_0.un3") (joined - (portRef O (instanceRef A0_DMA_0_r)) - (portRef I1 (instanceRef A0_DMA_0_n)) - )) - (net (rename A0_DMA_0_un1 "A0_DMA_0.un1") (joined - (portRef O (instanceRef A0_DMA_0_m)) - (portRef I0 (instanceRef A0_DMA_0_p)) - )) - (net (rename A0_DMA_0_un0 "A0_DMA_0.un0") (joined - (portRef O (instanceRef A0_DMA_0_n)) - (portRef I1 (instanceRef A0_DMA_0_p)) - )) (net (rename DSACK1_INT_0_un3 "DSACK1_INT_0.un3") (joined (portRef O (instanceRef DSACK1_INT_0_r)) (portRef I1 (instanceRef DSACK1_INT_0_n)) @@ -4669,6 +4615,18 @@ (portRef O (instanceRef LDS_000_INT_0_n)) (portRef I1 (instanceRef LDS_000_INT_0_p)) )) + (net (rename RW_000_INT_0_un3 "RW_000_INT_0.un3") (joined + (portRef O (instanceRef RW_000_INT_0_r)) + (portRef I1 (instanceRef RW_000_INT_0_n)) + )) + (net (rename RW_000_INT_0_un1 "RW_000_INT_0.un1") (joined + (portRef O (instanceRef RW_000_INT_0_m)) + (portRef I0 (instanceRef RW_000_INT_0_p)) + )) + (net (rename RW_000_INT_0_un0 "RW_000_INT_0.un0") (joined + (portRef O (instanceRef RW_000_INT_0_n)) + (portRef I1 (instanceRef RW_000_INT_0_p)) + )) (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined (portRef O (instanceRef RW_000_DMA_0_r)) (portRef I1 (instanceRef RW_000_DMA_0_n)) @@ -4705,6 +4663,42 @@ (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un3 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un3") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un1 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un1") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un0 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un0") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + )) + (net (rename BG_000_0_un3 "BG_000_0.un3") (joined + (portRef O (instanceRef BG_000_0_r)) + (portRef I1 (instanceRef BG_000_0_n)) + )) + (net (rename BG_000_0_un1 "BG_000_0.un1") (joined + (portRef O (instanceRef BG_000_0_m)) + (portRef I0 (instanceRef BG_000_0_p)) + )) + (net (rename BG_000_0_un0 "BG_000_0.un0") (joined + (portRef O (instanceRef BG_000_0_n)) + (portRef I1 (instanceRef BG_000_0_p)) + )) + (net (rename A0_DMA_0_un3 "A0_DMA_0.un3") (joined + (portRef O (instanceRef A0_DMA_0_r)) + (portRef I1 (instanceRef A0_DMA_0_n)) + )) + (net (rename A0_DMA_0_un1 "A0_DMA_0.un1") (joined + (portRef O (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_p)) + )) + (net (rename A0_DMA_0_un0 "A0_DMA_0.un0") (joined + (portRef O (instanceRef A0_DMA_0_n)) + (portRef I1 (instanceRef A0_DMA_0_p)) + )) ) (property orig_inst_of (string "BUS68030")) ) diff --git a/Logic/BUS68030.prj b/Logic/BUS68030.prj index a7013d8..f475860 100644 --- a/Logic/BUS68030.prj +++ b/Logic/BUS68030.prj @@ -1,6 +1,6 @@ #-- Lattice Semiconductor Corporation Ltd. #-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj -#-- Written on Wed May 13 22:59:07 2015 +#-- Written on Thu Jul 09 18:48:45 2015 #device options diff --git a/Logic/BUS68030.srm b/Logic/BUS68030.srm index 6e947bc..6e8a2ec 100644 --- a/Logic/BUS68030.srm +++ b/Logic/BUS68030.srm @@ -39,8 +39,8 @@ VNAME 'mach.OBUF.prim'; # view id 4 VNAME 'mach.BUFTH.prim'; # view id 5 VNAME 'mach.AND2.prim'; # view id 6 VNAME 'mach.INV.prim'; # view id 7 -VNAME 'mach.XOR2.prim'; # view id 8 -VNAME 'mach.OR2.prim'; # view id 9 +VNAME 'mach.OR2.prim'; # view id 8 +VNAME 'mach.XOR2.prim'; # view id 9 VNAME 'work.BUS68030.behavioral'; # view id 10 @ERMRlENORBvq]w_7wsRbH l;N3ORCV8HMCF8V;R4 @@ -157,24 +157,24 @@ R;Qj fbRjR:jHRMPmRRmQ j;bjRf:0jRsRkC0CskRBeB;R bfjj:RDVN#VCRNCD#R7th;R -MROlNEmRX)b.Rs;Hl -RNP3bH#sRHl4N; -P#R3$DM_HCM sRH8"OlNEm3X)b.3s"Hl;R -FmH; -R;Qj -QHR4b; -R:fjjsR0k0CRsRkCe;BB -fbRjR:jV#NDCNRVDR#Ct;h7 -fbRjR:jGPFsRmmRRRQjQ -4;MlRRNROEmR).blsH;P -NR#3HblsHR -4;N3PR#_$MD HMC8sHRN"lOmE3)b.3s"Hl;R -FmH; -R;Qj -QHR4b; -R:fjjsR0k0CRsRkCe;BB -fbRjR:jV#NDCNRVDR#Ct;h7 -fbRjR:jFRsPmRRmQQjR4@; +MROlNE)Rm.sRbH +l;N3PRHs#bH4lR;P +NR$3#MH_DMs CH"8RlENO3.m)3Hbsl +";F;Rm +QHRjH; +R;Q4 +fbRjR:j0CskRk0sCBReBb; +R:fjjNRVDR#CV#NDChRt7b; +R:fjjsRFPRRmmjRQR;Q4 +RMRlENOR)Xm.sRbH +l;N3PRHs#bH4lR;P +NR$3#MH_DMs CH"8RlENO3)Xm.s3bH;l" +mFR;R +HQ +j;H4RQ;R +bfjj:Rk0sCsR0keCRB +B;bjRf:VjRNCD#RDVN#tCRh +7;bjRf:GjRFRsPmRRmQQjR4@; @@ -202,8 +202,8 @@ PVR3D_FIDbFF#s_LFM CR j;}N; P$R#M#_HlCHG8MDNo;R4 RNP3M#$_#lV_FoskHb_8;Rj -RNP3M#$_lMkOsEN#cRU( -.;N3PR#_$MD HMC8sHRB"{d(n7q-Un(UUq-jcB7.-q.j4-jcd.BAdwd}q."N; +RNP3M#$_lMkOsEN#cRUj +j;N3PR#_$MD HMC8sHRq"{wwcgw-B(jAc -.cABq-g7.c-U4gc7d cA}Uc"N; POR38#L_NRPC{P NRM#$_VsCCMsCOOC_D FORN{ P$R1#l0CRN{ @@ -340,249 +340,299 @@ MMRkdH_#x C;N3MR#CNP_0MC_NVDoR#4.;6n RoMk_Mc#CHx;M NRN3#PMC_CV0_D#No46R.no; -MMRk6H_OH +MMRkUH_OH M;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_McNj#_j -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk4M._kVb_;O# +RoMkcM4_HNloLN_k8#_N_0N8;Hs RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.kM.C_Ls -s;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_Mn8j#_d -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_Mnk_8#j;jj +oRckM__N#j;jj RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnkM_#D8_jjj;M +oR.kM4b_Vk#_O;M NRN3#PMC_CV0_D#No46R.no; -M_RhdN; +MMRk.L._C;ss +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRnkM__8#j;dj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRnkM_#k8_jjj;M +NRN3#PMC_CV0_D#No46R.no; +MMRkn8_D#j_jjN; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_ c;N3MR#CNP_0MC_NVDoR#4.;6n RoMh;_6 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnh_;M +oRUh_;M NRN3#PMC_CV0_D#No46R.no; -M_RhUN; +M_RhgN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_ -g;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhj_4;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4 -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh._4;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4 -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh6_4;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4 -n;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhg_4;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh. -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh4_.;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh. -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhc_.;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh. -6;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh(_.;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh. -U;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhg_.;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhd -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh4_d;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhd -.;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhd_d;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhd -c;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh6_d;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhd -n;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh(_d;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhd -g;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhj_c;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhc -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh._c;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhc -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhc_c;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhc -6;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhn_c;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhc -U;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhg_c;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh6 -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh._6;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh6 -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhc_6;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh6 -6;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhn_6;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh6 -(;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhj_n;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhn -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhc_n;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhn -6;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhn_n;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhn -(;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhU_n;M -NRN3#PMC_CV0_D#No46R.no; -M_Rhn -g;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhj_(;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4;46 +n;ohMR_;4j RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_. -c;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh._.6N; +oR4h_4N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_n..;M +n;ohMR_;4. +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4h_dN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;4c +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4h_6N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;4n +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4h_gN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;.j +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_4N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;.d +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_cN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;.6 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_nN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;.( +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_UN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;.g +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_jN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;d4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_.N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;dd +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_cN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;d6 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_nN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;d( +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_gN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;cj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRch_4N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;c. +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRch_dN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;cc +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRch_6N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;cn +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRch_UN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;cg +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR6h_jN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;6. +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR6h_dN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;6c +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR6h_6N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;6n +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR6h_(N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;6U +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR6h_gN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;nj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRnh_dN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;nc +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRnh_6N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;nn +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRnh_(N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;nU +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRnh_gN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_;(j +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4h_4 +6;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._.cN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_6..;M NRN3#PMC_CV0_D#No46R.no; -M_Rh.;c6 +M_Rh.;.n RNM3P#NCC_M0D_VN4o#Rn.6;M oR.h_c -n;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhc_.(N; +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhc_..N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_U.c;M +n;ohMR_d.c;M NRN3#PMC_CV0_D#No46R.no; -M_Rh.;6n +M_Rh.;cc RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_6 -U;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh6_.gN; +oR.h_c +6;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhc_.nN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_j.n;M +n;oqMR1j_jjv_7q3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_q1j_jj7_vqjM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMR1j_jjv_7q3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_71j_jj7_vqjM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1j_jjv_7q3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_71j_jj7_vqjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oeMRvQq_hja_3dkM;M NRN3#PMC_CV0_D#No46R.no; -M_Rh.;n6 +MvReqh_Qa3_jk;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_U -.;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhc_djN; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__3d_k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_dj__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__3d_k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_.j__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__3._k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_.j__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__34_k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_4j__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__34_k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRqAtBji_dQj_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MtRAq_Bij_djQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oAMRtiqB_jjd_aQh_kj3M +oRqev_aQh_kj3M j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMe_vqQ_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oeMRvQq_hja_34kM;M +RoM1qv_vqQt_##s0H#__lj_.__43dkM;M NRN3#PMC_CV0_D#No46R.no; -MvReqh_Qa3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_)Wj_jjQ_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;o)MRWj_jjh_Qa3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_)Wj_jjQ_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRvv_qQ_tq#0s##__Hj._l_3d_k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_1vqtvQqs_##_0#H__jld.__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRvv_qQ_tq#0s##__Hj._l_3d_k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_1vqtvQqs_##_0#H__jl4.__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRvv_qQ_tq#0s##__Hj._l_34_k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_1vqtvQqs_##_0#H__jl4.__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lj_.__j3dkM;M +MvR1_Qqvt#q_s##0_jH___l.4k_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoM1qv_vqQt_##s0H#__lj_.__43jkM;M NRN3#PMC_CV0_D#No46R.no; -MMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]j._l_3j_k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4kM_QqvtAq_z 1_hpqA v_7qQ_]tj]___l.jk_3M +MbROk#_C0__jdk_3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__d34kM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__jdk_3M j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_M41qv_vqQt_H(__3l.k;Md +RoMO_bkC_#0j__.3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__j.k_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__.3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__j4k_3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__434kM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__j4k_3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMABtqid_jjh_Qa3_jk;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4kM__1vqtvQq__(H._l34kM;M +oRqAtBji_dQj_hja_34kM;M NRN3#PMC_CV0_D#No46R.no; -MMRk4v_1_Qqvt(q__lH_.M3kjN; +MtRAq_Bij_djQ_hajM3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;o1MRQ_Z 7_vqj__j3dkM;M +n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_c__j3dkM;M NRN3#PMC_CV0_D#No46R.no; -MQR1Z7 _vjq__3j_k;M4 +MMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]Hc_l_3j_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___lcjk_3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoM7j1_j j_hpqA __4#kJlG4N__lH_cM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1j_jjh_ q Ap_#4_JGlkN__4Hc_l34kM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7_jjj_q hA_p 4J_#lNkG_H4__3lck;Mj RNM3P#NCC_M0D_VN4o#Rn.6;M oRZ1Q v_7q__jjk_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM1 QZ_q7v_4j__M3kdN; +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoM1 QZ_q7v_jj__M3k4N; M#R3N_PCM_C0VoDN#.4R6 -n;o1MRQ_Z 7_vqj__434kM;M +n;o1MRQ_Z 7_vqj__j3jkM;M NRN3#PMC_CV0_D#No46R.no; -MQR1Z7 _vjq__34_k;Mj +MQR1Z7 _vjq__34_k;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_jj__M3kdN; +oRZ1Q v_7q__j4k_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoM1 QZ_q7v_4j__M3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3j_k;M4 +n;oQMRujp_djj__3j_k;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_jj__M3kjN; +oRpQu_jjd_jj__M3k4N; M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__34_k;Md +n;oQMRujp_djj__3j_k;Mj RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_4j__M3k4N; +oRpQu_jjd_4j__M3kdN; M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__34_k;Mj +n;oQMRujp_djj__34_k;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_.j__M3kdN; +oRpQu_jjd_4j__M3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3._k;M4 +n;oQMRujp_djj__3._k;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_.j__M3kjN; +oRpQu_jjd_.j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3._k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRq71B_i4Q_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1iqB4h_Qa3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRq71B_i4Q_hajM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMR1j_jjh_Qa3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_q1j_jjQ_hajM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMR1j_jjh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_71j_jj Ahqpj _3dkM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7_jjj_q hA_p jM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1j_jjh_ q Ap_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_djj_j1j_Y_hBjM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMR1d_jjj_jjY_1hjB_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjd_jjj_h1YB3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR1p7_jjj_aQh_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMp_71j_jjQ_hajM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;opMR7j1_jQj_hja_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MWR)_jjj_aQh_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoM)jW_jQj_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +MWR)_jjj_aQh_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoM)jW_j7j_vjq_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MWR)_jjj_q7v_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoM)jW_j7j_vjq_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +M7Rz1j_jjh_Qa3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR1z7_jjj_aQh_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMz_71j_jjQ_hajM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRvqQt_1Az_q hA_p 7_vqp_mWjM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRvqQt_1Az_q hA_p 7_vqp_mWjM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRvqQt_1Az_q hA_p 7_vqp_mWjM3kjN; M#R3N_PCM_C0VoDN#.4R6 n;oqMRvqQt_1Az_q hA_p 7_vq]]Qt_kj3M d;N3MR#CNP_0MC_NVDoR#4.;6n @@ -596,71 +646,11 @@ RoMAjt_jjj_34kM;M NRN3#PMC_CV0_D#No46R.no; MtRA_jjj_kj3M j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7j1_j7j_vjq_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7_jjj_q7v_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7j1_j7j_vjq_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjj_q7v_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_j7j_vjq_34kM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjj_q7v_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n RoMq7j_vjq_3dkM;M NRN3#PMC_CV0_D#No46R.no; MjRq_q7v_kj3M 4;N3MR#CNP_0MC_NVDoR#4.;6n RoMq7j_vjq_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7q4Bi_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7B1qiQ4_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7q4Bi_aQh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_jQj_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjj_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_jQj_hja_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7_jjj_q hA_p jM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjh_ q Ap_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7j1_j j_hpqA 3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_djj_jj1BYh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_djj_j1j_Y_hBjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1d_jjj_jjY_1hjB_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -M7Rp1j_jjh_Qa3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1p7_jjj_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMp_71j_jjQ_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o)MRWj_jjv_7q3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_)Wj_jj7_vqjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o)MRWj_jjv_7q3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1z7_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMz_71j_jjQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;ozMR7j1_jQj_hja_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_34kM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM;M NRN3#PMC_CV0_D#No46R.nb; Rj@@:44::.4:+:4j0CskR:fjjsR0k0CRsRkCe;BB @bR@4j::44::4.+jN:VDR#Cfjj:RDVN#VCRNCD#R7th;R @@ -690,64 +680,31 @@ RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j RNH3lV#_HFsolMNC1R"vv_qQ"tq;H NR#3Vl0_#Ns0CC4oR;H NR03#N_0ClbNbHRMo"RRRjjjjj4jjRR->jjjjjjjj\RMRRjjjj4jjj>R-Rjjjj4jj4R\MRjRjj4jjj-jR>jRjj4jjjM4\RjRRj4jjjRjj-j>Rj4jjj\j4MRRRj4jjjjjjRR->j4jjj4jj\RMRR4jjjjjjj>R-R4jjjjjj4R\MR4Rjjjjjj-jR>4RjjjjjjM4\R4RRjjjjjRjj-4>Rjjjjj\j4M -";s@R@(d:4g(:d:g4d:+dg4Qj:ujp_d.jr:Rj9fjj:ROlNEwR7wsRbHQlRujp_dwj7w9r. -=STQ_upj_djO9r. -=S7h._d -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRQ_upj"dj;H -NRM3kVOsN_8HMC.GR;R -s@:@(4:dgd4(:ddg:gj+4:pQu_r7j.9:jR:fjjNRlO7ERwbwRsRHlQ_up7jjr9T -S=pQu_r7jjS9 -7_=h6S. -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRu"Qpj_7"N; -HkR3MNVsOM_H8RCGjN; -H#R3$HM_MPH0N"DR4 ";s@R@(d:4g(:d:g4d:+dg4Qj:u7p_j:r.jf9RjR:jlENORw7wRHbsluRQpj_7r -49SQT=u7p_j9r4 -=S7hd_6 +.9SQT=u7p_j9r. +=S7hc_6 pSBip=Bi1_mZOQ_;H NR03sDs_FHNoMl"CRQ_up7;j" -RNH3VkMs_NOHCM8G;R4 +RNH3VkMs_NOHCM8G;R. RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:pQu_r7j.9:jR:fjjNRlO7ERwbwRsRHlQ_up7.jr9T -S=pQu_r7j.S9 -7_=h6Sc -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRu"Qpj_7"N; -HkR3MNVsOM_H8RCG.N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQt_(Hr9T -S=_1vqtvQqr_H(S9 -7_=h4_d4HB -SpBi=pmi_1_ZQON; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4g4d(d"g4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;H -NRM3HPF_#kCsORv'1_Qqvt(qr9 -';N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9rn -=ST1qv_vqQtr -n9Sh7=_g4._SH -B=piB_pimQ1Z_ -O;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(d(gd44dg"N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -R(@@:g4d::d(4:dgd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtq6S9 -Tv=1_Qqvt6qr97 -S=4h_.H(_ +s@:@(4:dgd4(:ddg:gj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_QqvtHq_r +(9S1T=vv_qQ_tqH9r( +=S7hd_44 +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"4ddg(g4d4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';N3HRH_MP#sFkO'CR1qv_vqQtr'(9;H +NR$3#MM_HHN0PDjR""s; +R(@@:g4d::d(4:dgd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtqnS9 +Tv=1_Qqvtnqr97 +S=4h_.Hg_ pSBip=Bi1_mZOQ_;H NR03sD0C_F;R4 RNH#_$MV_#lH"8R(g4ddd(4g;4" @@ -758,9 +715,9 @@ NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsj NR#3Vls_FHNoMl"CR1qv_vqQt"N; HVR3##l_0CN0sRCo4N; HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4(:ddg:(d:4gg:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9rc +@sR@4(:ddg:(d:4gg:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9r6 =ST1qv_vqQtr -c9Sh7=_64._SH +69Sh7=_(4._SH B=piB_pimQ1Z_ O;N3HRsC0D_R0F4N; H$R#M#_Vl8_HR4"(d(gd44dg"N; @@ -771,9 +728,9 @@ RNH3lV#_#0F01R"vv_qQRtqU ";N3HRV_#lFosHMCNlRv"1_Qqvt;q" RNH3lV#_N#00CCso;R4 RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -R(@@:g4d::d(4:dgd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtqdS9 -Tv=1_Qqvtdqr97 -S=4h_.Hd_ +R(@@:g4d::d(4:dgd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtqcS9 +Tv=1_Qqvtcqr97 +S=4h_.H6_ pSBip=Bi1_mZOQ_;H NR03sD0C_F;R4 RNH#_$MV_#lH"8R(g4ddd(4g;4" @@ -784,9 +741,22 @@ NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsj NR#3Vls_FHNoMl"CR1qv_vqQt"N; HVR3##l_0CN0sRCo4N; HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4(:ddg:(d:4gg:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9r. +@sR@4(:ddg:(d:4gg:d+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9rd =ST1qv_vqQtr -.9Sh7=_g.6 +d9Sh7=_d4._SH +B=piB_pimQ1Z_ +O;N3HRsC0D_R0F4N; +H$R#M#_Vl8_HR4"(d(gd44dg"N; +HsR30FD_sMHoNRlC"_1vqtvQq +";N3HRV_#lVlsF#"0R1qv_vqQtR;d" +RNH3lV#_#0F01R"vv_qQRtqU +";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs +";N3HRV_#lFosHMCNlRv"1_Qqvt;q" +RNH3lV#_N#00CCso;R4 +RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; +R(@@:g4d::d(4:dgd4g+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtq.S9 +Tv=1_Qqvt.qr97 +S=4h_.H4_ pSBip=Bi1_mZOQ_;H NR03sD0C_F;R4 RNH#_$MV_#lH"8R(g4ddd(4g;4" @@ -825,191 +795,163 @@ HVR3##l_0CN0sRCo4N; HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' @sR@4(:ddg:(d:4gg:d+:4jO_bkCr#0d9:jR:fjjNRlO7ERwbwRsRHlO_bkCr#0jS9 Tb=Ok#_C09rj -=S7hn_n_SH +=S7hn_. +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRO_bkC"#0;H +NRM3kVOsN_8HMCjGR;R +s@:@(4:dgd4(:ddg:gj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r +49SOT=bCk_#40r97 +S=.h_(B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"kOb_0C#"N; +HkR3MNVsOM_H8RCG4s; +R(@@:g4d::d(4:dgd4g+jb:Ok#_C0:rdjf9RjR:jlENORw7wRHbslbROk#_C09r. +=STO_bkCr#0.S9 +7_=h.SU B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRb"Ok#_C0 ";N3HRksMVNHO_MG8CR -j;s@R@(d:4g(:d:g4d:+dg4Oj:bCk_#d0r:Rj9fjj:ROlNEwR7wsRbHOlRbCk_#40r9T +.;s@R@(d:4g(:d:g4d:+dg4Oj:bCk_#d0r:Rj9fjj:ROlNEwR7wsRbHOlRbCk_#d0r9T S=kOb_0C#r -49Sh7=_ -.(SiBp=iBp_Zm1Q;_O +d9Sh7=_ +.gSiBp=iBp_Zm1Q;_O RNH3Ds0_HFsolMNCOR"bCk_#;0" -RNH3VkMs_NOHCM8G;R4 -@sR@4(:ddg:(d:4gg:d+:4jO_bkCr#0d9:jR:fjjNRlO7ERwbwRsRHlO_bkCr#0.S9 -Tb=Ok#_C09r. -=S7hU_. -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRO_bkC"#0;H -NRM3kVOsN_8HMC.GR;R -s@:@(4:dgd4(:ddg:gj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r -d9SOT=bCk_#d0r97 -S=.h_gB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"kOb_0C#"N; -HkR3MNVsOM_H8RCGds; -R(@@:g4d::d(4:dgd4g+ju:Qpd_jj:r.jf9RjR:jlENORw7wRHbsluRQpd_jjw7wr -j9SQT=ujp_dOj_r -j9Sh7=_ -djSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCQR"ujp_d;j" -RNH3VkMs_NOHCM8G;Rj -@sR@4(:ddg:(d:4gg:d+:4jQ_upjrdj.9:jR:fjjNRlO7ERwbwRsRHlQ_upj7djw4wr9T -S=pQu_jjd_4Or97 -S=dh_4B +RNH3VkMs_NOHCM8G;Rd +@sR@4(:ddg:(d:4gg:d+:4jQ_upjrdj.9:jR:fjjNRlO7ERwbwRsRHlQ_upj7djwjwr9T +S=pQu_jjd_jOr97 +S=dh_jB SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"pQu_jjd"N; -HkR3MNVsOM_H8RCG4s; -R(@@:64d::n44:d6(46+jp:Bij_jj__h1BYhr:44jf9RjR:jlENORw7wRHbslpRBij_jj__h1BYhr -g9SBT=pji_jhj__h1YB9rg -=S7B_pij_jjhY_1hUBr9B +HkR3MNVsOM_H8RCGjs; +R(@@:g4d::d(4:dgd4g+ju:Qpd_jj:r.jf9RjR:jlENORw7wRHbsluRQpd_jjw7wr +49SQT=ujp_dOj_r +49Sh7=_ +d4SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCQR"ujp_d;j" +RNH3VkMs_NOHCM8G;R4 +@sR@4(:ddg:(d:4gg:d+:4jQ_upjrdj.9:jR:fjjNRlO7ERwbwRsRHlQ_upj7djw.wr9T +S=pQu_jjd_.Or97 +S=dh_.B SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGgN; -H#R3$HM_MPH0N"DRj -";s@R@(d:464:n:64d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YBjr49T -S=iBp_jjj_1h_YrhB4 -j9SB7=pji_jhj__h1YB9rg +HsR30FD_sMHoNRlC"pQu_jjd"N; +HkR3MNVsOM_H8RCG.s; +R(@@:g4d::d(4:dgd4g+ju:Qpj_7rj.:9jRf:ljRNROE7RwwblsHRpQu_r7jjS9 +Tu=Qpj_7r +j9Sh7=_ +6.SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCQR"u7p_j +";N3HRksMVNHO_MG8CR +j;N3HR#_$MH0MHPRND";4" +@sR@4(:ddg:(d:4gg:d+:4jQ_up7.jr:Rj9fjj:ROlNEwR7wsRbHQlRu7p_j9r4 +=STQ_up74jr97 +S=6h_dB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"pQu_"7j;H +NRM3kVOsN_8HMC4GR;H +NR$3#MM_HHN0PD4R""s; +R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9rj +=ST)_1a7rpYjS9 +7F=b#D_O )\317a_p6Y___HPH9rj pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC4GRjN; -H#R3$HM_MPH0N"DRj -";s@R@(d:464:n:64d:+(64Bj:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wsRbHBlRpji_jhj__h1YB4r49T -S=iBp_jjj_1h_YrhB4 -49SB7=pji_jhj__h1YBjr49B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_1h_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG4 -4;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j)_1a7rpY(9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpYjS9 -T1=)ap_7Y9rj -=S7b_F#O\D 3a)1_Y7p_H6_Pr_HjS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1")ap_7Y -";N3HRksMVNHO_MG8CR -j;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j)_1a7rpY(9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpY4S9 -T1=)ap_7Y9r4 -=S7b_F#O\D 3a)1_Y7p_H6_Pr_H4S9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1")ap_7Y -";N3HRksMVNHO_MG8CR -4;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j)_1a7rpY(9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpY.S9 -T1=)ap_7Y9r. -=S7b_F#O\D 3a)1_Y7p_H6_Pr_H.S9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1")ap_7Y -";N3HRksMVNHO_MG8CR -.;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j)_1a7rpY(9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpYdS9 -T1=)ap_7Y9rd -=S7b_F#O\D 3a)1_Y7p_H6_Pr_HdS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1")ap_7Y -";N3HRksMVNHO_MG8CR -d;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j)_1a7rpY(9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpYcS9 -T1=)ap_7Y9rc -=S7b_F#O\D 3a)1_Y7p_H6_Pr_HcS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1")ap_7Y -";N3HRksMVNHO_MG8CR -c;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j)_1a7rpY(9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpY6S9 -T1=)ap_7Y9r6 -=S7b_F#O\D 3a)1_Y7p_H6_Pr_H6S9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1")ap_7Y -";N3HRksMVNHO_MG8CR -6;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j)_1a7rpY(9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpYnS9 -T1=)ap_7Y9rn -=S7b_F#O\D 3a)1_Y7p_H6_Pr_HnS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1")ap_7Y -";N3HRksMVNHO_MG8CR -n;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4j)_1a7rpY(9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpY(S9 -T1=)ap_7Y9r( -=S7b_F#O\D 3a)1_Y7p_H6_Pr_H(S9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1")ap_7Y -";N3HRksMVNHO_MG8CR -(;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4jBpYB v_7q:r4jf9RjR:jlENORw7wRHbslYRBB_p 7rvqjS9 -TY=BB_p 7rvqjS9 -7_=h._ndHB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"BBYp7 _v;q" -RNH3VkMs_NOHCM8G;Rj -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dgd4(:ddg:gj+4:BBYp7 _v4qr:Rj9fjj:ROlNEwR7wsRbHBlRY Bp_q7vr -49SBT=Y Bp_q7vr -49Sh7=_..n_SH -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRY"BB_p 7"vq;H +NR03sDs_FHNoMl"CR)_1a7"pY;H +NRM3kVOsN_8HMCjGR;H +NR$3#MM_HHN0PDjR""s; +R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9r4 +=ST)_1a7rpY4S9 +7F=b#D_O )\317a_p6Y___HPH9r4 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR)_1a7"pY;H NRM3kVOsN_8HMC4GR;H NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+jQ:1Z7 _v4qr:Rj9fjj:ROlNEwR7wsRbH1lRQ_Z 7rvqjS9 -TQ=1Z7 _vjqr97 -S=ch_ +R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9r. +=ST)_1a7rpY.S9 +7F=b#D_O )\317a_p6Y___HPH9r. pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR1 QZ_q7v"N; -HkR3MNVsOM_H8RCGjN; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg41j:Q_Z 7rvq49:jR:fjjNRlO7ERwbwRsRHl1 QZ_q7vr -49S1T=Q_Z 7rvq4S9 -7_=h6B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"Z1Q v_7q -";N3HRksMVNHO_MG8CR -4;N3HR#_$MH0MHPRND";4" -@sR@4(:ddc:cd:4cn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1h6Br9T -S=iBp_jjj_1u_YrhB6S9 -7p=Bij_jj__u1BYhr -c9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_juj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;R6 -RNH3M#$_HHM0DPNR""j;R -s@:@(4:dcd4c:ddc:nj+4:iBp_jjj_1u_YrhB4jj:9jRf:ljRNROE7RwwblsHRiBp_jjj_1u_YrhBnS9 -Tp=Bij_jj__u1BYhr -n9SB7=pji_juj__h1YB9r6 +NR03sDs_FHNoMl"CR)_1a7"pY;H +NRM3kVOsN_8HMC.GR;H +NR$3#MM_HHN0PDjR""s; +R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9rd +=ST)_1a7rpYdS9 +7F=b#D_O )\317a_p6Y___HPH9rd pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H +NR03sDs_FHNoMl"CR)_1a7"pY;H +NRM3kVOsN_8HMCdGR;H +NR$3#MM_HHN0PDjR""s; +R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9rc +=ST)_1a7rpYcS9 +7F=b#D_O )\317a_p6Y___HPH9rc +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR)_1a7"pY;H +NRM3kVOsN_8HMCcGR;H +NR$3#MM_HHN0PDjR""s; +R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9r6 +=ST)_1a7rpY6S9 +7F=b#D_O )\317a_p6Y___HPH9r6 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR)_1a7"pY;H +NRM3kVOsN_8HMC6GR;H +NR$3#MM_HHN0PDjR""s; +R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9rn +=ST)_1a7rpYnS9 +7F=b#D_O )\317a_p6Y___HPH9rn +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR)_1a7"pY;H NRM3kVOsN_8HMCnGR;H NR$3#MM_HHN0PDjR""s; +R(@@:g4d::d(4:dgd4g+j1:)ap_7Y:r(jf9RjR:jlENORw7wRHbsl1R)ap_7Y9r( +=ST)_1a7rpY(S9 +7F=b#D_O )\317a_p6Y___HPH9r( +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR)_1a7"pY;H +NRM3kVOsN_8HMC(GR;H +NR$3#MM_HHN0PDjR""s; +R(@@:g4d::d(4:dgd4g+jY:BB_p 7rvq49:jR:fjjNRlO7ERwbwRsRHlBpYB v_7q9rj +=STBpYB v_7q9rj +=S7b_F#O\D 3BBYp7 _v6q_r +j9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"Y Bp_q7v"N; +HkR3MNVsOM_H8RCGjN; +H#R3$HM_MPH0N"DRj +";s@R@(d:4g(:d:g4d:+dg4Bj:Y Bp_q7vrj4:9jRf:ljRNROE7RwwblsHRBBYp7 _v4qr9T +S=BBYp7 _v4qr97 +S=#bF_ OD\Y3BB_p 7_vq69r4 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRBpYB v_7q +";N3HRksMVNHO_MG8CR +4;N3HR#_$MH0MHPRND";j" +@sR@4(:ddg:(d:4gg:d+:4j1 QZ_q7vrj4:9jRf:ljRNROE7RwwblsHRZ1Q v_7q9rj +=ST1 QZ_q7vr +j9Sh7=_Sc +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRQ"1Z7 _v;q" +RNH3VkMs_NOHCM8G;Rj +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dgd4(:ddg:gj+4:Z1Q v_7q:r4jf9RjR:jlENORw7wRHbslQR1Z7 _v4qr9T +S=Z1Q v_7q9r4 +=S7h +_6SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC1R"Q_Z 7"vq;H +NRM3kVOsN_8HMC4GR;H +NR$3#MM_HHN0PD4R""s; R(@@:c4d::dc4:dcd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr -(9SBT=pji_juj__h1YB9r( -=S7B_pij_jjuY_1hnBr9B +U9SBT=pji_juj__h1YB9rU +=S7B_pij_jjuY_1h(Br9B SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG(N; +HkR3MNVsOM_H8RCGUN; H#R3$HM_MPH0N"DRj -";s@R@(d:4cc:d:c4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9rU -=STB_pij_jjuY_1hUBr97 -S=iBp_jjj_1u_YrhB(S9 +";s@R@(d:4cc:d:c4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9rg +=STB_pij_jjuY_1hgBr97 +S=iBp_jjj_1u_YrhBUS9 B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; HIR3NCsM8kbsMoHMR 4;N3HRksMVNHO_MG8CR -U;N3HR#_$MH0MHPRND";j" -@sR@4(:ddc:cd:4cn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1hgBr9T -S=iBp_jjj_1u_YrhBgS9 -7p=Bij_jj__u1BYhr -U9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_juj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;Rg -RNH3M#$_HHM0DPNR""j;R -s@:@(4:d6n44:d(6:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhBjS9 -Tp=Bij_jj__h1BYhr -j9Sh7=_g.c_SH +g;N3HR#_$MH0MHPRND";j" +@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1hjBr9T +S=iBp_jjj_1h_YrhBjS9 +7F=b#D_O B\3pji_jhj__h1YBr_.jS9 B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; HIR3NCsM8kbsMoHMR @@ -1079,290 +1021,338 @@ O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; HIR3NCsM8kbsMoHMR 4;N3HRksMVNHO_MG8CR U;N3HR#_$MH0MHPRND";j" -@sR@4(:ddc:cd:4cn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1hjBr9T -S=iBp_jjj_1u_YrhBjS9 -7F=b#D_O B\3pji_juj__h1YBr_.jS9 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -j;N3HR#_$MH0MHPRND";j" -@sR@4(:ddc:cd:4cn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1h4Br9T -S=iBp_jjj_1u_YrhB4S9 -7p=Bij_jj__u1BYhr -j9SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_juj__h1YB +@sR@4(:dn6:4d:466:(+:4jB_pij_jjhY_1h4Br49:jR:fjjNRlO7ERwbwRsRHlB_pij_jjhY_1hgBr9T +S=iBp_jjj_1h_YrhBgS9 +7p=Bij_jj__h1BYhr +U9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_jhj__h1YB ";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;R4 +RNH3VkMs_NOHCM8G;Rg RNH3M#$_HHM0DPNR""j;R -s@:@(4:dcd4c:ddc:nj+4:iBp_jjj_1u_YrhB4jj:9jRf:ljRNROE7RwwblsHRiBp_jjj_1u_YrhB.S9 -Tp=Bij_jj__u1BYhr -.9SB7=pji_juj__h1YB9r4 +s@:@(4:d6n44:d(6:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhB4 +j9SBT=pji_jhj__h1YBjr497 +S=iBp_jjj_1h_YrhBgS9 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; +HIR3NCsM8kbsMoHMR +4;N3HRksMVNHO_MG8CR;4j +RNH3M#$_HHM0DPNR""j;R +s@:@(4:d6n44:d(6:6j+4:iBp_jjj_1h_YrhB4j4:9jRf:ljRNROE7RwwblsHRiBp_jjj_1h_YrhB4 +49SBT=pji_jhj__h1YB4r497 +S=iBp_jjj_1h_YrhB4 +j9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_jhj__h1YB +";N3HRIMNsCs8bkMMHo;R4 +RNH3VkMs_NOHCM8G4R4;H +NR$3#MM_HHN0PDjR""s; +R(@@:c4d::dc4:dcd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr +j9SBT=pji_juj__h1YB9rj +=S7b_F#O\D 3iBp_jjj_1u_Y_hB.9rj pSBip=Bi1_mZOQ_;H NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC.GR;H +NRM3kVOsN_8HMCjGR;H NR$3#MM_HHN0PDjR""s; R(@@:c4d::dc4:dcd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr -d9SBT=pji_juj__h1YB9rd -=S7B_pij_jjuY_1h.Br9B +49SBT=pji_juj__h1YB9r4 +=S7B_pij_jjuY_1hjBr9B SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGdN; +HkR3MNVsOM_H8RCG4N; H#R3$HM_MPH0N"DRj -";s@R@(d:4cc:d:c4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9rc -=STB_pij_jjuY_1hcBr97 -S=iBp_jjj_1u_YrhBdS9 +";s@R@(d:4cc:d:c4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9r. +=STB_pij_jjuY_1h.Br97 +S=iBp_jjj_1u_YrhB4S9 B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; HIR3NCsM8kbsMoHMR 4;N3HRksMVNHO_MG8CR -c;N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4jB_pij_dj]jRf:ljRNROE7RwwblsHRiBp_jjd_S] -Tp=Bid_jj -_]Sh7=_4.n_SH +.;N3HR#_$MH0MHPRND";j" +@sR@4(:ddc:cd:4cn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1hdBr9T +S=iBp_jjj_1u_YrhBdS9 +7p=Bij_jj__u1BYhr +.9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_juj__h1YB +";N3HRIMNsCs8bkMMHo;R4 +RNH3VkMs_NOHCM8G;Rd +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dcd4c:ddc:nj+4:iBp_jjj_1u_YrhB4jj:9jRf:ljRNROE7RwwblsHRiBp_jjj_1u_YrhBcS9 +Tp=Bij_jj__u1BYhr +c9SB7=pji_juj__h1YB9rd +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" +RNH3sINMbC8sHkMM4oR;H +NRM3kVOsN_8HMCcGR;H +NR$3#MM_HHN0PDjR""s; +R(@@:c4d::dc4:dcd4n+jp:Bij_jj__u1BYhr:4jjf9RjR:jlENORw7wRHbslpRBij_jj__u1BYhr +69SBT=pji_juj__h1YB9r6 +=S7B_pij_jjuY_1hcBr9B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H +NRN3Is8MCbMskHRMo4N; +HkR3MNVsOM_H8RCG6N; +H#R3$HM_MPH0N"DRj +";s@R@(d:4cc:d:c4d:+dn4Bj:pji_juj__h1YBjr4:Rj9fjj:ROlNEwR7wsRbHBlRpji_juj__h1YB9rn +=STB_pij_jjuY_1hnBr97 +S=iBp_jjj_1u_YrhB6S9 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; +HIR3NCsM8kbsMoHMR +4;N3HRksMVNHO_MG8CR +n;N3HR#_$MH0MHPRND";j" +@sR@4(:ddc:cd:4cn:d+:4jB_pij_jjuY_1h4Brj9:jR:fjjNRlO7ERwbwRsRHlB_pij_jjuY_1h(Br9T +S=iBp_jjj_1u_YrhB(S9 +7p=Bij_jj__u1BYhr +n9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_juj__h1YB +";N3HRIMNsCs8bkMMHo;R4 +RNH3VkMs_NOHCM8G;R( +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:1p7_jjj_aQhR:fjjNRlO7ERwbwRsRHlp_71j_jjQ +haSpT=7j1_jQj_hSa +7_=hcS. +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlR7"p1j_jjh_Qa +";N3HR#_$MH0MHPRND";4" +@sR@4(:ddg:(d:4gg:d+:4jqj1_djj_j1j_YRhBfjj:ROlNEwR7wsRbHqlR1d_jjj_jjY_1hSB +T1=q_jjd_jjj_h1YB7 +S=ch_dB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_q1j_djj_jj1BYh"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4g(:d:g4d:+dg4qj:1j_jjh_QajRf:ljRNROE7RwwblsHR_q1j_jjQ +haSqT=1j_jjh_Qa7 +S=ch_cB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_q1j_jjQ"ha;H +NR$3#MM_HHN0PD4R""s; +R(@@:g4d::d(4:dgd4g+j1:7q4Bi_aQhR:fjjNRlO7ERwbwRsRHl7B1qiQ4_hSa +T1=7q4Bi_aQh +=S7h6_c +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR7B1qiQ4_h;a" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dgd4(:ddg:gj+4:_qj7Rvqfjj:ROlNEwR7wsRbHqlRjv_7qT +S=_qj7 +vqSh7=_ +cnSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"jv_7q +";N3HR#_$MH0MHPRND";4" +@sR@4(:ddg:(d:4gg:d+:4jqj1_j7j_vfqRjR:jlENORw7wRHbsl1Rq_jjj_q7v +=STqj1_j7j_vSq +7_=hcSU +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlR1"q_jjj_q7v"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4g(:d:g4d:+dg47j:1j_jjv_7qjRf:ljRNROE7RwwblsHR_71j_jj7 +vqS7T=1j_jjv_7q7 +S=ch_gB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_71j_jj7"vq;H +NR$3#MM_HHN0PD4R""s; +R(@@:g4d::d(4:dgd4g+j1:7_jjd_R7jfjj:ROlNEwR7wsRbH7lR1d_jjj_7 +=ST7j1_d7j_j7 +S=6h_jB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_71j_dj7;j" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dgd4(:ddg:gj+4:_q1j_dj7fjRjR:jlENORw7wRHbsl1Rq_jjd_ +7jSqT=1d_jjj_7 +=S7hg_U_SH +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlR1"q_jjd_"7j;H +NR$3#MM_HHN0PD4R""s; +R(@@:g4d::d(4:dgd4g+j :MX1u_u qB_R7jfjj:ROlNEwR7wsRbHMlR _Xu1Buq j_7 +=STMu X_q1uB7 _j7 +S=6h_6B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"XM uu_1q_B 7;j" +RNH3M#$_HHM0DPNR""j;R +s@:@(4:dgd4(:ddg:gj+4:qeu_f7RjR:jlENORw7wRHbsluReq +_7SeT=u7q_ +=S7hn_6 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRe_uq7 +";N3HR#_$MH0MHPRND";4" +@sR@4(:ddg:(d:4gg:d+:4j7Baqij_7R:fjjNRlO7ERwbwRsRHl7Baqij_7 +=ST7Baqij_7 +=S7h(_6 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR7Baqij_7"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:4g(:d:g4d:+dg4Bj:pji_d]j_R:fjjNRlO7ERwbwRsRHlB_pij_dj]T +S=iBp_jjd_S] +7_=h6SU B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlRp"Bid_jj"_];H NR$3#MM_HHN0PD4R""s; R(@@:g4d::d(4:dgd4g+j :)1_ amRzafjj:ROlNEwR7wsRbH)lR a1 _amz =ST) 1az_ma7 -S=.h_cHc_ -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR) 1az_ma -";N3HRHDM_FRFbcN; -H#R3$HM_MPH0N"DRj -";s@R@(d:4g(:d:g4d:+dg47j:1j_jjh_ q ApR:fjjNRlO7ERwbwRsRHl7j1_j j_hpqA T -S=_71j_jj AhqpS -7_=hnSj -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1"7_jjj_q hA"p ;H -NR$3#MM_HHN0PDjR""s; -R(@@:g4d::d(4:dgd4g+j7:z1j_jjh_QajRf:ljRNROE7RwwblsHR1z7_jjj_aQh -=STz_71j_jjQ -haSh7=_ -dgSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCzR"7j1_jQj_h;a" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:_)Wj_jj7Rvqfjj:ROlNEwR7wsRbH)lRWj_jjv_7qT -S=_)Wj_jj7 -vqSh7=_ -cjSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"Wj_jjv_7q -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4j)jW_jQj_hfaRjR:jlENORw7wRHbslWR)_jjj_aQh -=ST)jW_jQj_hSa -7_=hcS4 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRW")_jjj_aQh"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg4pj:7j1_jQj_hfaRjR:jlENORw7wRHbsl7Rp1j_jjh_QaT -S=1p7_jjj_aQh -=S7h._c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRp_71j_jjQ"ha;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+j1:q_jjd_jjj_h1YBjRf:ljRNROE7RwwblsHR_q1j_djj_jj1BYh -=STqj1_djj_j1j_Y -hBSh7=_ -cdSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"1d_jjj_jjY_1h;B" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:_q1j_jjQRhafjj:ROlNEwR7wsRbHqlR1j_jjh_QaT -S=_q1j_jjQ -haSh7=_ -ccSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"1j_jjh_Qa -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4j7B1qiQ4_hfaRjR:jlENORw7wRHbsl1R7q4Bi_aQh -=ST7B1qiQ4_hSa -7_=hcS6 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1"7q4Bi_aQh"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg4qj:jv_7qjRf:ljRNROE7RwwblsHR_qj7 -vqSqT=jv_7q7 -S=ch_nB +S=6h_gB SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_qj7"vq;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+j1:q_jjj_q7vR:fjjNRlO7ERwbwRsRHlqj1_j7j_vSq -T1=q_jjj_q7v -=S7hU_c -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRqj1_j7j_v;q" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:_71j_jj7Rvqfjj:ROlNEwR7wsRbH7lR1j_jjv_7qT -S=_71j_jj7 -vqSh7=_ -cgSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC7R"1j_jjv_7q -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4j7j1_d7j_jjRf:ljRNROE7RwwblsHR_71j_dj7Sj -T1=7_jjd_ -7jSh7=_ -6jSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC7R"1d_jjj_7"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg4qj:1d_jjj_7R:fjjNRlO7ERwbwRsRHlqj1_d7j_jT -S=_q1j_dj7Sj -7_=h4_j.HB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"_q1j_dj7;j" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:XM uu_1q_B 7fjRjR:jlENORw7wRHbsl RMX1u_u qB_ -7jSMT= _Xu1Buq j_7 -=S7h6_6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRMu X_q1uB7 _j -";N3HR#_$MH0MHPRND";j" -@sR@4(:ddg:(d:4gg:d+:4je_uq7jRf:ljRNROE7RwwblsHRqeu_S7 -Tu=eq -_7Sh7=_ -6nSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCeR"u7q_"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:4g(:d:g4d:+dg47j:aiqB_R7jfjj:ROlNEwR7wsRbH7lRaiqB_ -7jS7T=aiqB_ -7jSh7=_ -6(SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC7R"aiqB_"7j;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+jt:Aq_Bij_djQRhafjj:ROlNEwR7wsRbHAlRtiqB_jjd_aQh -=STABtqid_jjh_Qa7 -S=dh_dB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"qAtBji_dQj_h;a" +HsR30FD_sMHoNRlC"1) ma_z;a" RNH3_HMDbFFR -4;N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4jAjt_jfjRjR:jlENORw7wRHbsltRA_jjj7 -wwSAT=tj_jj -_OSh7=_ -dcSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCAR"tj_jj -";N3HRHDM_FRFbjs; -R(@@:g4d::d(4:dgd4g+jv:qQ_tqA_z1 Ahqp7 _v]q_QRt]fjj:ROlNEwR7wsRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt -=STqtvQqz_A1h_ q Ap_q7v_t]Q]7 -S=dh_6B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"QqvtAq_z 1_hpqA v_7qQ_]t;]" +c;N3HR#_$MH0MHPRND";j" +@sR@4(:ddg:(d:4gg:d+:4j7j1_j j_hpqA jRf:ljRNROE7RwwblsHR_71j_jj AhqpS +T1=7_jjj_q hA +p Sh7=_ +njSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC7R"1j_jjh_ q Ap"N; +H#R3$HM_MPH0N"DRj +";s@R@(d:4g(:d:g4d:+dg4Aj:tiqB_jjd_aQhR:fjjNRlO7ERwbwRsRHlABtqid_jjh_QaT +S=qAtBji_dQj_hSa +7_=hdSd +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRt"Aq_Bij_djQ"ha;H +NRM3H_FDFb;R4 RNH3M#$_HHM0DPNR""4;R -s@:@(4:dgd4(:ddg:gj+4:QqvtAq_z 1_hpqA v_7qm_pWjRf:ljRNROE7RwwblsHRQqvtAq_z 1_hpqA v_7qm_pWT -S=QqvtAq_z 1_hpqA v_7qm_pW7 -S=dh_nB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"QqvtAq_z 1_hpqA v_7qm_pW -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddg:(d:4gg:d+:4je_vqQRhafjj:ROlNEwR7wsRbHelRvQq_hSa -Tv=eqh_Qa7 -S=dh_(B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"qev_aQh"N; -HHR3MF_DFdbR;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4d::d(4:dgd4g+jt:Aq_Bij_djQ_ha7jRf:ljRNROE7RwwblsHRqAtBji_dQj_h7a_ -=STABtqid_jjh_Qa -_7Sq7=vqQt_1Az_q hA_p 7_vq]]Qt_#j_JGlkN -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCAR"tiqB_jjd_aQh_;7" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:d6n44:d(6:6j+4:iBp_jjj_Ru fjj:ROlNEwR7wsRbHBlRpji_juj_ T -S=iBp_jjj_ -u SB7=pji_juj__h1YB9rg +s@:@(4:dgd4(:ddg:gj+4:_AtjRjjfjj:ROlNEwR7wsRbHAlRtj_jjw7w +=STAjt_jOj_ +=S7hc_d pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jju; " -RNH3M#$_HHM0DPNR""j;H -NRk38bN_MlRC#"iBp_jjj_1u_YrhB4Rj9j -";s@R@(d:4(c:d:(4d:+dn4Bj:pji_jhj_ jRf:ljRNROE7RwwblsHRiBp_jjj_ -h SBT=pji_jhj_ 7 -S=iBp_jjj_1h_YrhB4 -49SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_jhj_ -";N3HR#_$MH0MHPRND";j" -@sR@4(:cd.:cc:4.n:d+:4jB_pim_zau_) 6fjRjR:jlENORw7wRHbslpRBiz_ma)_u j_6 -=STB_pim_zau_) 6Sj -7p=Biz_ma)_u j_6_SH +NR03sDs_FHNoMl"CRAjt_j;j" +RNH3_HMDbFFR +j;s@R@(d:4g(:d:g4d:+dg4qj:vqQt_1Az_q hA_p 7_vq]]QtR:fjjNRlO7ERwbwRsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]T +S=QqvtAq_z 1_hpqA v_7qQ_]tS] +7_=hdS6 B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Biz_ma)_u j_6"N; +O;N3HRs_0DFosHMCNlRv"qQ_tqA_z1 Ahqp7 _v]q_Q"t];H +NR$3#MM_HHN0PD4R""s; +R(@@:g4d::d(4:dgd4g+jv:qQ_tqA_z1 Ahqp7 _vpq_mfWRjR:jlENORw7wRHbslvRqQ_tqA_z1 Ahqp7 _vpq_mSW +Tv=qQ_tqA_z1 Ahqp7 _vpq_mSW +7_=hdSn +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRv"qQ_tqA_z1 Ahqp7 _vpq_m;W" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dgd4(:ddg:gj+4:qev_aQhR:fjjNRlO7ERwbwRsRHle_vqQ +haSeT=vQq_hSa +7_=hdS( +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRv"eqh_Qa +";N3HRHDM_FRFbdN; H#R3$HM_MPH0N"DR4 -";s@R@(d:4jc:d:j4d:+dn4Bj:pji_j7j_4jRf:ljRNROE7RwwblsHRiBp_jjj_ -74SBT=pji_j7j_47 -S=iBp_jjj_ -7jSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_j7j_4 +";s@R@(d:4g(:d:g4d:+dg4zj:7j1_jQj_hfaRjR:jlENORw7wRHbsl7Rz1j_jjh_QaT +S=1z7_jjj_aQh +=S7hg_d +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRz_71j_jjQ"ha;H +NR$3#MM_HHN0PD4R""s; +R(@@:g4d::d(4:dgd4g+jW:)_jjj_q7vR:fjjNRlO7ERwbwRsRHl)jW_j7j_vSq +TW=)_jjj_q7v +=S7hj_c +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR)jW_j7j_v;q" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dgd4(:ddg:gj+4:_)Wj_jjQRhafjj:ROlNEwR7wsRbH)lRWj_jjh_QaT +S=_)Wj_jjQ +haSh7=_ +c4SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC)R"Wj_jjh_Qa ";N3HR#_$MH0MHPRND";4" -@sR@4(:ddU:cd:4Un:d+:4jB_pij_jjh7 _jjRf:ljRNROE7RwwblsHRiBp_jjj__h 7Sj -Tp=Bij_jj _h_ -7jSB7=pji_jhj_ B +@sR@4(:ddg:(d:4gg:d+:4jABtqid_jjh_QaR_7fjj:ROlNEwR7wsRbHAlRtiqB_jjd_aQh_S7 +Tt=Aq_Bij_djQ_ha77 +S=QqvtAq_z 1_hpqA v_7qQ_]tj]__l#Jk_GNHB SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj__h 7;j" -RNH3M#$_HHM0DPNR""j;R -s@:@(4:.6d4U:.c6:jj+4:iBp_amz_ u)_f7RjR:jlENORw7wRHbslpRBiz_ma)_u -_7SBT=pmi_zua_)7 _ -=S7B_pim_zau_) 6Sj +HsR30FD_sMHoNRlC"qAtBji_dQj_h7a_"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:464:n:64d:+(64Bj:pji_juj_ jRf:ljRNROE7RwwblsHRiBp_jjj_ +u SBT=pji_juj_ 7 +S=iBp_jjj_1u_YrhBgS9 B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Biz_ma)_u "_7;H -NR$3#MM_HHN0PD4R""s; -R(@@:U4.::dc4:.Ud4n+jp:Bij_jjj_7R:fjjNRlO7ERwbwRsRHlB_pij_jj7Sj -Tp=Bij_jjj_7 -=S7B_pij_jjOB +O;N3HRs_0DFosHMCNlRp"Bij_jj _u"N; +H#R3$HM_MPH0N"DRj +";N3HR8_kbMCNl#BR"pji_juj__h1YBjr49"Rj;R +s@:@(4:d(d4c:dd(:nj+4:iBp_jjj_Rh fjj:ROlNEwR7wsRbHBlRpji_jhj_ T +S=iBp_jjj_ +h SB7=pji_jhj__h1YB4r49B SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"iBp_jjj_"7j;H +HsR30FD_sMHoNRlC"iBp_jjj_"h ;H +NR$3#MM_HHN0PDjR""s; +R(@@:.4c::dc4:c.d4n+jp:Biz_ma)_u j_6R:fjjNRlO7ERwbwRsRHlB_pim_zau_) 6Sj +Tp=Biz_ma)_u j_6 +=S7B_pim_zau_) 6Hj_ +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pim_zau_) 6;j" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:djd4c:ddj:nj+4:iBp_jjj_R74fjj:ROlNEwR7wsRbHBlRpji_j7j_4T +S=iBp_jjj_ +74SB7=pji_j7j_jB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_jjj_"74;H NR$3#MM_HHN0PD4R""s; -R:fjjNRlOAERQQ_7)sRbHqlR1d_jjm -S=_q1j_djOQ -SjM=kn#_N_jjd_SH -Qqm=1d_jjm -S _=h4;4. -RobQ -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEA7Q_Qb)RsRHlqj1_jSj -m1=q_jjj_SO -Qkj=MNc_#j_jj -_HS=Qmqj1_jSj -mk =MN4_#j_jj;_H -RobQ -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEA7Q_Qb)RsRHl)jW_jSj -mW=)_jjj_SO -Q)j=Wj_jjh_QaQ -SmW=)_jjj - Sm=4kM__N#j_jjHo; -bmRQ;b -NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEQRA_)7QRHbsl1R7_jjd -=Sm7j1_dOj_ -jSQ=nkM__8#j_djHQ -Sm1=7_jjd - Sm=4h_4 -.;oQbRmN; +R(@@:U4d::dc4:dUd4n+jp:Bij_jj _h_R7jfjj:ROlNEwR7wsRbHBlRpji_jhj_ j_7 +=STB_pij_jjh7 _j7 +S=iBp_jjj_ +h SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_jhj_ j_7"N; +H#R3$HM_MPH0N"DRj +";s@R@(.:46U:d:64.:+cj4Bj:pmi_zua_)7 _R:fjjNRlO7ERwbwRsRHlB_pim_zau_) 7T +S=iBp_amz_ u)_S7 +7p=Biz_ma)_u j_6 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pim_zau_) 7 +";N3HR#_$MH0MHPRND";4" +@sR@4(:.dU:c.:4Un:d+:4jB_pij_jj7fjRjR:jlENORw7wRHbslpRBij_jjj_7 +=STB_pij_jj7Sj +7p=Bij_jj +_OSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_j7j_j +";N3HR#_$MH0MHPRND";4" +fsRjR:jlENOR_AQ7RQ)blsHR_q1j +djSqm=1d_jj +_OS=Qjk_MnNj#_dHj_ +mSQ=_q1j +djS=m k_MdNj#_dHj_;b +oR;Qm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR_AQ7RQ)blsHR_q1j +jjSqm=1j_jj +_OS=Qjk_McNj#_jHj_ +mSQ=_q1j +jjS=m hU_g;b +oR;Qm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR_AQ7RQ)blsHR_)Wj +jjS)m=Wj_jj +_OS=Qj)jW_jQj_hSa +Q)m=Wj_jjm +S _=hg +U;oQbRmN; +b$R#MF_bsD0VNRo#Us; +R:fjjNRlOAERQQ_7)sRbH7lR1d_jjm +S=_71j_djOQ +SjM=kn#_8_jjd_SH +Q7m=1d_jjm +S M=kd#_N_jjd_ +H;oQbRmN; b$R#MF_bsD0VNRo#Us; R:fjjNRlOAERQQ_7)sRbHzlR7j1_jSj m7=z1j_jj _OS=Qjk_Mnk_8#j_jjHQ Sm7=z1j_jjm -S M=k4#_N_jjj_ -H;oQbRmN; +S _=hg +U;oQbRmN; b$R#MF_bsD0VNRo#Us; R:fjjNRlOAERQQ_7)sRbHplR7j1_jSj m7=p1j_jj _OS=Qjk_MnD_8#j_jjHQ Sm7=p1j_jjm -S M=k4#_N_jjj_ -H;oQbRmN; +S _=hg +U;oQbRmN; b$R#MF_bsD0VNRo#Us; R:fjjNRlOAERQQ_7)sRbH1lRQrZ jS9 mQ=1ZO _r j9S=Qjk_Mc#CHx mSQ=Z1Q 9rj - Sm=Uh_go; + Sm=4kM__N#j_djHo; bmRQ;b NRM#$_sbF0NVDoU#R;R sfjj:ROlNEQRA_)7QRHbslQR1Z4 r9m S=Z1Q r_O4S9 Qkj=M#d_H xCS=Qm1 QZr -49S=m hg_U;b +49S=m k_M4Nj#_dHj_;b oR;Qm RNb#_$Mb0FsVoDN#;RU fsRjR:jlENORzQAwsRbHqlRr @@ -1459,225 +1449,169 @@ fsRjR:jlENOR_AQ7RQ)blsHR qjSqm=j _OS=Qjq7j_vSq Qqm=jm -S _=h4;4. -RobQ -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEQwAzRHbsl4Rq -=SmqO4_ -jSQ=;q4 -fsRjR:jlENORzQAwsRbHMlR _Xu1Buq m -S=XM uu_1q_B OQ -Sj =MX1u_u qB;R -sfjj:ROlNEQRA_)7QRHbsl RA)S) -m =A)O)_ -jSQ=7th -mSQ=)A )m -S M=k.L._C;ss -RobQ -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEQwAzRHbsltRA_jjd -=SmAjt_dOj_ -jSQ=_Atj;dj -fsRjR:jlENORzmAwsRbHAlRtj_jjm -S=_Atj -jjS=QjAjt_jOj_;R -sfjj:ROlNEARmzbwRsRHlABtqid_jjm -S=qAtBji_dSj -QAj=tiqB_jjd_aQh;R -sfjj:ROlNEARQzbwRsRHlABtqij_jjm -S=qAtBji_jOj_ -jSQ=qAtBji_j -j;sjRf:ljRNROEQwAzRHbslpRBid_jjm -S=iBp_u X_SO -QBj=pji_d -j;sjRf:ljRNROEQwAzRHbslpRBij_jjm -S=iBp_jjj_SO -QBj=pji_j -j;sjRf:ljRNROEQwAzRHbslpRBi1_mZSQ -mp=Bi1_mZOQ_ -jSQ=iBp_Zm1Qs; -R:fjjNRlOAERz]waRHbslpRBiQ_7ez_mam -S=iBp_e7Q_amz -jSQ=7th - Sm=7th;b -oR -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEmwAzRHbslpRBiX_ um -S=iBp_u X -jSQ=iBp_u X_ -O;sjRf:ljRNROEmwAzRHbsluRwz1_B -=Smw_uzBS1 -Qkj=M_.4V_bkOH#_;R -sfjj:ROlNEARQzbwRsRHlw_uz11 h m -S=zwu_h1 1O _ -jSQ=zwu_h1 1 - ;sjRf:ljRNROEmwAzRHbsluRQpd_jj9rj -=SmQ_upjrdjjS9 -QQj=ujp_dOj_r;j9 -fsRjR:jlENORzmAwsRbHQlRujp_d4jr9m -S=pQu_jjdr -49S=QjQ_upj_djO9r4;R -sfjj:ROlNEARmzbwRsRHlQ_upjrdj.S9 -mu=Qpd_jj9r. -jSQ=pQu_jjd_.Or9s; -R:fjjNRlOQERARzwblsHRpQur -j9SQm=uOp_r -j9S=QjQrupj -9;sjRf:ljRNROEQwAzRHbsluRQp9r4 -=SmQ_upO9r4 -jSQ=pQur;49 -fsRjR:jlENORzQAwsRbHQlRu.pr9m -S=pQu_.Or9Q -Sju=Qp9r.;R -sfjj:ROlNEzRAwRa]blsHRq71B -i4S7m=1iqB4Q -Sj1=7q4Bi_aQh - Sm=XM uu_1q_B 7 -j;ombR;b -NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEARQzbwRsRHl7Baqim -S=q7aBOi_ -jSQ=q7aB -i;sjRf:ljRNROEmwAzRHbsleRq SB -me=q SB -Qej=B -B;sjRf:ljRNROEmwAzRHbsl -R S m= -jSQ=kOb_0C#r;d9 -fsRjR:jlENORzQAwsRbHelRuSq -mu=eq -_OS=Qje;uq -fsRjR:jlENORzmAwsRbHelRvSq -mv=eqQ -Sjv=eqh_Qas; -R:fjjNRlOQERARzwblsHRa)1 -=Sm)_1aOQ -Sj1=)as; -R:fjjNRlOmERARzwblsHR1) Sa -m =)1 - aS=Qj) 1az_mas; -R:fjjNRlOAERQQ_7)sRbH)lRWm -S=_)WOQ -SjW=)_jjj_q7v -mSQ= -)WS=m h6_.no; -bmRQ;b -NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEARQzbwRsRHlwjBr9m -S=_wBO9rj -jSQ=rwBj -9;sjRf:ljRNROEQwAzRHbslBRwr -49Swm=Br_O4S9 -Qwj=B9r4;R -sfjj:ROlNEARmzbwRsRHlqtvQq7_q7 )_hpqA m -S=Qqvtqq_7_7) AhqpS -Qtj=h -7;sjRf:ljRNROEmwAzRHbslvRqQ_tqA_z17qqa_)7Q -=SmqtvQqz_A1q_7a7q_QS) -Qqj=vqQt_1Az_a7qqQ_7);_O -fsRjR:jlENORzmAwsRbHqlRvqQt_1Az_q hA_p p -mWSqm=vqQt_1Az_q hA_p p -mWS=Qjk_M4NolHNk_L#M_CNCLD_IDF_ -H;sjRf:ljRNROEmwAzRHbslvRqQ_tqA_z1 Ahqp] _Q -t]Sqm=vqQt_1Az_q hA_p ]]Qt -jSQ=(h_4;_H -fsRjR:jlENORwAzab]RsRHlBhQQ -=SmBhQQ -jSQ=6kM_HOHMm -S _=h.;c( +S M=kd#_N_jjd_ +H;oQbRmN; +b$R#MF_bsD0VNRo#Us; +R:fjjNRlOQERARzwblsHR +q4Sqm=4 +_OS=Qjq +4;sjRf:ljRNROEQwAzRHbsl RMX1u_u qB +=SmMu X_q1uBO _ +jSQ=XM uu_1q;B +fsRjR:jlENOR_AQ7RQ)blsHR)A )m +S=)A ) +_OS=Qjt +h7S=QmA) ) + Sm=.kM.C_Ls +s;oQbRmN; +b$R#MF_bsD0VNRo#Us; +R:fjjNRlOQERARzwblsHR_Atj +djSAm=td_jj +_OS=QjAjt_d +j;sjRf:ljRNROEmwAzRHbsltRA_jjj +=SmAjt_jSj +QAj=tj_jj;_O +fsRjR:jlENORzmAwsRbHAlRtiqB_jjd +=SmABtqid_jjQ +Sjt=Aq_Bij_djQ;ha +fsRjR:jlENORzQAwsRbHAlRtiqB_jjj +=SmABtqij_jj +_OS=QjABtqij_jjs; +R:fjjNRlOQERARzwblsHRiBp_jjd +=SmB_pi _XuOQ +Sjp=Bid_jjs; +R:fjjNRlOQERARzwblsHRiBp_jjj +=SmB_pij_jjOQ +Sjp=Bij_jjs; +R:fjjNRlOQERARzwblsHRiBp_Zm1Qm +S=iBp_Zm1Q +_OS=QjB_pimQ1Z;R +sfjj:ROlNEzRAwRa]blsHRiBp_e7Q_amz +=SmB_pi7_Qem +zaS=Qjt +h7S=m t;h7 RobmN; b$R#MF_bsD0VNRo#Us; -R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_jj_r -d9Sbm=FO#_D3 \O_bkC_#04j4_r -d9S=Qjb_F#O\D 3kOb_0C#__44jr_4dS9 -Qh4=_c.g_ -H;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_#._JGlkN__H4m -S=.h_njj__S4 -Qhj=__g4HQ +R:fjjNRlOmERARzwblsHRiBp_u X +=SmB_pi +XuS=QjB_pi _XuOs; +R:fjjNRlOmERARzwblsHRzwu_ +B1Swm=uBz_1Q +SjM=k.V4_bOk_#;_H +fsRjR:jlENORzQAwsRbHwlRu1z_ h1 +=Smw_uz11 h +_OS=Qjw_uz11 h s; +R:fjjNRlOmERARzwblsHRpQu_jjdr +j9SQm=ujp_djjr9Q +Sju=Qpd_jjr_Oj +9;sjRf:ljRNROEmwAzRHbsluRQpd_jj9r4 +=SmQ_upjrdj4S9 +QQj=ujp_dOj_r;49 +fsRjR:jlENORzmAwsRbHQlRujp_d.jr9m +S=pQu_jjdr +.9S=QjQ_upj_djO9r.;R +sfjj:ROlNEARQzbwRsRHlQrupjS9 +mu=Qpr_OjS9 +QQj=ujpr9s; +R:fjjNRlOQERARzwblsHRpQur +49SQm=uOp_r +49S=QjQrup4 +9;sjRf:ljRNROEQwAzRHbsluRQp9r. +=SmQ_upO9r. +jSQ=pQur;.9 +fsRjR:jlENORwAzab]RsRHl7B1qiS4 +m1=7q4Bi +jSQ=q71B_i4Q +haS=m Mu X_q1uB7 _jo; +b;Rm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENORzQAwsRbH7lRaiqB +=Sm7Baqi +_OS=Qj7Baqis; +R:fjjNRlOmERARzwblsHR qeBm +S= qeBQ +SjB=eBs; +R:fjjNRlOmERARzwblsHRS +m += S=QjO_bkCr#0d +9;sjRf:ljRNROEQwAzRHbsluReqm +S=qeu_SO +Qej=u +q;sjRf:ljRNROEmwAzRHbslvReqm +S=qev +jSQ=qev_aQh;R +sfjj:ROlNEARQzbwRsRHl) +1aS)m=1Oa_ +jSQ=a)1;R +sfjj:ROlNEARmzbwRsRHl) 1am +S=1) Sa +Q)j= a1 _amz;R +sfjj:ROlNEQRA_)7QRHbslWR) +=Sm)OW_ +jSQ=_)Wj_jj7 +vqS=Qm)SW +mh =_;gg +RobQ +m;N#bR$bM_FVs0D#NoR +U;sjRf:ljRNROEQwAzRHbslBRwr +j9Swm=Br_OjS9 +Qwj=B9rj;R +sfjj:ROlNEARQzbwRsRHlw4Br9m +S=_wBO9r4 +jSQ=rwB4 +9;sjRf:ljRNROEmwAzRHbslvRqQ_tqq)77_q hA +p Sqm=vqQt_7q7)h_ q Ap +jSQ=7th;R +sfjj:ROlNEARmzbwRsRHlqtvQqz_A1q_7a7q_QS) +mv=qQ_tqA_z17qqa_)7Q +jSQ=QqvtAq_z71_q_aq7_Q)Os; +R:fjjNRlOmERARzwblsHRQqvtAq_z 1_hpqA m_pWm +S=QqvtAq_z 1_hpqA m_pWQ +SjM=k4l_NH_oNL_k#CLMNDDC_FHI_;R +sfjj:ROlNEARmzbwRsRHlqtvQqz_A1h_ q Ap_t]Q]m +S=QqvtAq_z 1_hpqA Q_]tS] +Qhj=_;(U +fsRjR:jlENORwAzab]RsRHlBhQQ +=SmBhQQ +jSQ=BeB + Sm=UkM_HOHMo; +b;Rm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HNjn_r +j9Shm=_d4n +jSQ=4h_n4d_ +4SQ=iBp_jjj__h Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HHn_N_j4_r +j9Shm=_.4n_S4 +Qhj=_64d +4SQ=)A );_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HNjnr9m +S=4h_nS. +Qhj=_.4n_S4 +QB4=pji_juj_ ;_H +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jNjd__j4_ +=Smh(_4n__4jQ +Sj_=h4_(n4Q S4W=)_jjj_ +O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_Nj_d +_jShm=_n4( +jSQ=4h_(4n__Sj +QM4= _Xu1Buq j_7_ H;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_#._JGlkN -_HShm=_j.n_Sj -Qhj=_j.n_4j_ -4SQ=#bF_ OD\M3k.Lc_o NO_jjd_0HM_jH__ -H;sjRf:ljRNROEq.h7RHbslpRBid_jj__].__H4m -S=.h_nH4__S4 -Qhj=_(dj_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlB_pij_dj]__.Hm -S=.h_nH4_ -jSQ=.h_nH4__S4 -Qb4=FO#_D3 \kcM._NLoOj _dHj_MH0__Hj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__H4__S4 -m_=h._n.H -_4S=QjhU_n_SH -Qh4=_g.n_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_46__SH -m_=h._n.HQ -Sj_=h._n.H -_4S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__jH -_4Shm=_d.n_4H_ -jSQ=nh_g -_HS=Q4hn_.g;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \BpYB v_7q__6j -_HShm=_d.n_SH -Qhj=_d.n_4H_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl_Rt4_nU4m -S=#bF_ OD\b3HD -_4S=Qjh._.n -_HS=Q4h._.c;_H -fsRjR:jlENOR7qh.sRbHtlR_U4n -=Smb_F#O\D 3DHb -jSQ=#bF_ OD\b3HD -_4S=Q4h._.6;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04H4__Nj_.r_4.S9 -m_=hd_jd4Q -Sj_=h. -(jS=Q4O_bkCr#0j -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__Hj._Nr -.9Shm=_ddj -jSQ=dh_j4d_ -4SQ=kOb_0C#_dHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_jH___N.jr_4.S9 -m_=hd_jc4Q -Sjb=Ok#_C09r4 -4SQ=kOb_0C#_jHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_jH___N.j9r. -=Smhj_dcQ -Sj_=hd_jc4Q -S4b=Ok#_C0r_H. -9;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_jj___N.j -_4Shm=_ndj_S4 -Qhj=_g.n_SH -Q)4=Wj_jj;_O -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jj._N_Sj -m_=hd -jnS=Qjhj_dn -_4S=Q4Mu X_q1uB7 _j;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_4nS9 -m_=h4_.gH -_4S=QjhU_.d -_HS=Q4hU_.c;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj9rn -=Smh._4g -_HS=Qjh._4g__H4Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_4cS9 -m_=h4_.6H -_4S=Qjhg_.j -_HS=Q4hg_.4;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj9rc -=Smh._46 -_HS=Qjh._46__H4Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_4dS9 -m_=h4_.dH -_4S=Qjh(_.g -_HS=Q4hg_.d;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj9rd -=Smh._4d -_HS=Qjh._4d__H4Q +_4S7m=1j_jjv_7q__.#kJlG4N_ +jSQ=_)Wj_jjHQ +S4F=b#D_O k\3M_.cLOoN d_jjM_H0s; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vq.J_#lNkG +=Sm7j1_j7j_v.q__l#Jk +GNS=Qj7j1_j7j_v.q__l#Jk_GN4Q +S4F=b#D_O k\3M_cjLOoN d_jjM_H0__4Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__j49r4 +=Smh4_4g__H4Q +Sj_=h(Hn_ +4SQ=Uh_d;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj9r4 +=Smh4_4g +_HS=Qjh4_4g__H4Q S41=)a;_O fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tq6__Hj _4Shm=_644_4j_ @@ -1687,14 +1621,74 @@ R:fjjNRlOqERhR7.blsHR4kM__1vqtvQq__6H _jShm=_644_Sj Qhj=_644_4j_ 4SQ=_1vqtvQqr_H( -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jjr_4dS9 -mF=b#D_O O\3bCk_#40_4__j49rd -jSQ=.h_gHn_ -4SQ=Uh_6;_H -fsRjR:jlENOR7qh.sRbH)lR a1 _amz_#j_JGlkN__(.m -S=1) ma_zja__l#Jk_GN( -_.S=Qj)_1a7rpYcS9 -Q)4=17a_p6Yr9s; +9;sjRf:ljRNROEq.h7RHbsl_Rt4_nU4m +S=#bF_ OD\b3HD +_4S=Qjh._.n +_HS=Q4h._.c;_H +fsRjR:jlENOR7qh.sRbHtlR_U4n +=Smb_F#O\D 3DHb +jSQ=#bF_ OD\b3HD +_4S=Q4h._.6;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjM.C_ +=Smb_F#O\D 3gkM_ OD_jjj__MC.Q +Sjp=Bij_jj _h +4SQ=qeu_H7_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__MCdm +S=#bF_ OD\M3kgD_O j_jjC_M_Sd +QOj=bCk_#j0r9Q +S4b=Ok#_C09r.;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__MCcm +S=#bF_ OD\M3kgD_O j_jjC_M_Sc +Qbj=FO#_D3 \k_MgO_D j_jjM4C_ +4SQ=#bF_ OD\M3kgD_O j_jjC_M_ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MOg_Dj _jMj_Cm +S=#bF_ OD\M3kgD_O j_jjC_M +jSQ=#bF_ OD\M3kgD_O j_jjC_M_Sc +Qb4=FO#_D3 \k_MgO_D j_jjMdC_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44Hc_N_4j_r +.9Shm=_n4g_S4 +QOj=bCk_#40r9Q +S4b=Ok#_C0r_Hj +9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__HNjc_r +.9Shm=_n4g +jSQ=4h_g4n_ +4SQ=kOb_0C#_.Hr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_NH_cr_4.S9 +m_=h4_g64Q +Sj_=h4 +UUS=Q4O_bkCr#0j +9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__HN.cr9m +S=4h_gS6 +Qhj=_64g_S4 +QO4=bCk_#H0_r;d9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcM._NLoOj _dHj_M40_ +=Smb_F#O\D 3.kMco_LN_O j_djH_M04Q +Sj_=h4_(n4Q +S4F=b#D_O k\3M_..LOoN d_jjM_H0s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.Lc_o NO_jjd_0HM +=Smb_F#O\D 3.kMco_LN_O j_djH +M0S=Qjb_F#O\D 3.kMco_LN_O j_djH_M04Q +S4F=b#D_O k\3M_.(LOoN d_jjM_H0s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HHn_N_4._r +j9Shm=_64n_S4 +Qhj=_d4d_SH +Qh4=_64d_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__NH_n__..9rj +=Smhn_46 +_.S=Qj1qv_vqQt_jHr9Q +S4v=1_QqvtHq_r;d9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HN.n__jdr9m +S=4h_nd6_ +jSQ=4h_n46_ +4SQ=4h_n.6_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH___Nn.9rj +=Smhn_46Q +Sj_=h4_n6dQ +S4F=b#D_O k\3MO(_Dj _j8j_j;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HNjn__j4r9m +S=4h_n4d_ +jSQ=4h_dSd +QA4= _))Hs; R:fjjNRlOqERhR7.blsHR1) ma_zja__l#Jk_GN( _dS)m= a1 _amz_#j_JGlkN__(dQ Sj =)1_ am_zajJ_#lNkG_4(_ @@ -1703,275 +1697,215 @@ fsRjR:jlENOR7qh.sRbH)lR a1 _amz_#j_JGlkN _(S)m= a1 _amz_#j_JGlkN _(S=Qj) 1az_ma__j#kJlG(N__Sd Q)4=17a_pnYr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jN4.__jjr9m -S=gh_c -_4S=Qjh6_( -4SQ=)A );_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj._Nr -j9Shm=_ -gcS=Qjhc_g_S4 -QB4=pji_juj_ ;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj._N_4j_r -j9Shm=__g64Q -Sj_=h(Sd -QA4= _))Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jNj._r -j9Shm=_ -g6S=Qjh6_g_S4 -QB4=pji_jhj_ ;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_44S9 -m_=h4_4gH -_4S=Qjhn_U_SH -Qh4=__gdHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_j4S9 -m_=h4_4gHQ -Sj_=h4_4gH -_4S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__HN4.__.jr9m -S=Uh_. -_4S=Qjh(_.cQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HH._Nr -.9Shm=_ -U.S=Qjh._U_S4 -Q14=vv_qQrtq. -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH___N.jr_4.S9 -m_=hU4d_ -jSQ=dh_4Sd -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__NH_.r_j.S9 -m_=hUSd -Qhj=__Ud4Q -S4v=1_Qqvtdqr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_jj___N.4r_4dS9 -m_=h._gn4Q -Sjb=Ok#_C0r_HjS9 -QO4=bCk_#H0_r;49 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__Nj_.r_4dS9 -m_=h. -gnS=Qjhg_.n -_4S=Q4O_bkC_#0H9r.;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44jr_j4S9 -mF=b#D_O O\3bCk_#40_4r_j4S9 -Qbj=FO#_D3 \O_bkC_#04j4__44r9Q -S4F=b#D_O O\3bCk_#40_4__j.9r4;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__NH_.__.49r. -=Smh4_dj -_4S=QjO_bkC_#0H9rj -4SQ=kOb_0C#_4Hr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__HN..__..r9m -S=dh_4.j_ -jSQ=qev_aQh_SH -Qe4=u7q__ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH___N..r_d.S9 -m_=hd_4jdQ -Sjb=Ok#_C09r. -4SQ=kOb_0C#r;d9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HH._N_c._r -.9Shm=_jd4_Sc -Qhj=_jd4_S4 -Qh4=_jd4_ -.;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH___N..9r. -=Smh4_djQ -Sj_=hd_4jcQ -S4_=hd_4jds; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k(D_O j_jjC_b_Nj_.__j4m -S=dh_j4g_ -jSQ=iBp_jjj_ -h S=Q4h4_d.s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k(D_O j_jjC_b_Nj_.__j.m -S=dh_j.g_ -jSQ=qeu_H7_ +R:fjjNRlOqERhR7.blsHR1) ma_zja__l#Jk_GN6 +_4S)m= a1 _amz_#j_JGlkN__64Q +Sj =)1_ am_zajJ_#lNkG_S4 +Q)4=17a_p4Yr9s; +R:fjjNRlOqERhR7.blsHR1) ma_zja__l#Jk_GN6m +S=1) ma_zja__l#Jk_GN6Q +Sj =)1_ am_zajJ_#lNkG_46_ +4SQ=a)1_Y7pr;.9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__HFjd__j4r9m +S=4h_dH6__S4 +Q1j=vv_qQ_tqH9r. +4SQ=_1vqtvQqr_Hc +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__FH_dr_jjS9 +m_=h4_d6HQ +Sj_=h4_d6H +_4S=Q41qv_vqQt_nHr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.O(_Dj _jMj_Cj_8_S4 +mF=b#D_O k\3M_.(O_D j_jjM8C_j +_4S=QjO_bkCr#0dS9 +Qh4=_g4g_ +4;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.(O_D j_jjM8C_j__.jm +S=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_S. +Qej=vQq_hHa_ +4SQ=qeu_H7_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM(D_O j_jjC_M__8jdm +S=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_Sd +Qbj=FO#_D3 \k(M._ OD_jjj__MC84j_ +4SQ=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.(O_D j_jjM8C_jm +S=#bF_ OD\M3k.O(_Dj _jMj_Cj_8 +jSQ=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_Sd +QO4=bCk_#.0r9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k6D_O j_jjC_b_S4 +mF=b#D_O k\3MO6_Dj _jbj_C +_4S=QjO_bkC_#0H9rd +4SQ=iBp_jjj_;u +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M6O_D j_jjb.C_ +=Smb_F#O\D 36kM_ OD_jjj__bC.Q +Sjb=Ok#_C09r4 4SQ=kOb_0C#r;.9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M(O_D j_jjbjC___N.jm -S=dh_jSg -Qhj=_gdj_S4 -Qh4=_gdj_ -.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MO(_Dj _jbj_C__jN4._ -=Smhj_dU -_4S=QjB_pij_jjuS -Qh4=_j.(_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MO(_Dj _jbj_C__jN.._ -=Smhj_dU -_.S=QjO_bkC_#0H9rj -4SQ=kOb_0C#_dHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k(D_O j_jjC_b_Nj_.m -S=dh_jSU -Qhj=_Udj_S4 -Qh4=_Udj_ -.;sjRf:ljRNROEq.h7RHbsl R)1_ am_zajJ_#lNkG_46_ -=Sm) 1az_ma__j#kJlG6N__S4 -Q)j= a1 _amz_#j_JGlkN -_4S=Q4)_1a7rpY4 -9;sjRf:ljRNROEq.h7RHbsl R)1_ am_zajJ_#lNkG_S6 -m =)1_ am_zajJ_#lNkG_S6 -Q)j= a1 _amz_#j_JGlkN__64Q -S41=)ap_7Y9r.;R +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M6O_D j_jjbdC_ +=Smb_F#O\D 36kM_ OD_jjj__bCdQ +SjF=b#D_O k\3MO6_Dj _jbj_C +_4S=Q4b_F#O\D 36kM_ OD_jjj__bC.s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k6D_O j_jjC_b +=Smb_F#O\D 36kM_ OD_jjj_ +bCS=Qjb_F#O\D 36kM_ OD_jjj__bCdQ +S4b=Ok#_C0r_Hj +9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MOg_Dj _jMj_C +_4Sbm=FO#_D3 \k_MgO_D j_jjM4C_ +jSQ=kOb_0C#_4Hr9Q +S4b=Ok#_C0r_Hd +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_.H_r +d9Shm=_d4._.H_ +jSQ=4h_6Hc_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_dHr9m +S=4h_.Hd_ +jSQ=4h_.Hd__S4 +Qh4=_d4._.H_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__c4r9m +S=4h_.H6__S4 +Qhj=_646_SH +Qh4=_n46_ +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_cHr9m +S=4h_.H6_ +jSQ=4h_.H6__S4 +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__64r9m +S=4h_.H(__S4 +Qhj=_(46_SH +Qh4=_U46_ +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_.H_r +69Shm=_(4._.H_ +jSQ=4h_6Hg_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_6Hr9m +S=4h_.H(_ +jSQ=4h_.H(__S4 +Qh4=_(4._.H_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__n4r9m +S=4h_.Hg__S4 +Qhj=_j4n_SH +Qh4=_44n_ +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_nHr9m +S=4h_.Hg_ +jSQ=4h_.Hg__S4 +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3nkM__Loj_dj4m +S=#bF_ OD\M3kno_L_jjd_S4 +QMj= _Xu1Buq j_7 +4SQ=_q1j_dj7 +j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLn_od_jjm +S=#bF_ OD\M3kno_L_jjd +jSQ=#bF_ OD\M3kno_L_jjd_S4 +QB4=pji_j7j_js; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k(D_O j_jjj_8_S4 +mF=b#D_O k\3MO(_Dj _j8j_j +_4S=Qjb_F#O\D 3iBp_jjj_1h_Y_hB.9rj +4SQ=_q1j_djj_jj1BYh_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MO(_Dj _j8j_jm +S=#bF_ OD\M3k(D_O j_jjj_8 +jSQ=#bF_ OD\M3k(D_O j_jjj_8_S4 +QM4= _Xu1Buq j_7;R sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlG(N__S4 m =)1_ am_zajJ_#lNkG_4(_ jSQ=1) ma_zja__l#Jk_GN6Q S41=)ap_7Y9rd;R +sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlG(N__S. +m =)1_ am_zajJ_#lNkG_.(_ +jSQ=a)1_Y7pr +c9S=Q4)_1a7rpY6 +9;sjRf:ljRNROEq.h7RHbslMRkUH_OH6M_ +=Smk_MUOMHH_S6 +Qqj=_.HrgS9 +Qq4=_dHrj +9;sjRf:ljRNROEq.h7RHbslMRkUH_OHnM_ +=Smk_MUOMHH_Sn +Qkj=MOU_H_HM4Q +S4M=kUH_OH.M_;R +sfjj:ROlNEhRq7b.RsRHlk_MUOMHH_S( +mM=kUH_OH(M_ +jSQ=UkM_HOHM +_dS=Q4k_MUOMHH_ +c;sjRf:ljRNROEq.h7RHbslMRkUH_OHUM_ +=Smk_MUOMHH_SU +Qkj=MOU_H_HMnQ +S4M=kUH_OH(M_;R +sfjj:ROlNEhRq7b.RsRHlk_MUOMHH +=Smk_MUOMHH +jSQ=UkM_HOHM +_US=Q4k_MUOMHH_ +6;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HN4.__S4 +m_=h4_4n4Q +SjB=w_jOr9Q +S4B=w_4Or9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_.__4.m +S=4h_4.n_ +jSQ=Oq_r94( +4SQ=Hq_r94n;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH._N_d4_ +=Smh4_4n +_dS=Qjqr_H4 +U9S=Q4qr_H4;g9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj___N.4 +_cShm=_n44_Sc +Qhj=_n44_S4 +Qh4=_n44_ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HN4._ +=Smh4_4nQ +Sj_=h4_4ncQ +S4_=h4_4nds; +R:fjjNRlOqERhR7.blsHR.kM.C_Lsjs___N.4 +_jSkm=M_..LsCs_j4_ +jSQ=.kM.C_Ls4s_ +4SQ=zwu_h1 1O _;R sfjj:ROlNEhRq7b.RsRHlk.M._sLCs__jNS. mM=k.L._C ssS=Qjk.M._sLCs__4jQ -S4_=hd;cj +S4_=h4;4n fsRjR:jlENOR7qh.sRbHklRM_.4V_bkOj#___N.4m S=.kM4b_Vk#_O_S4 Qwj=u1z_ h1_SH -Qh4=_jdc;R +Qh4=_n44;R sfjj:ROlNEhRq7b.RsRHlk4M._kVb__O#j._N =Smk4M._kVb_ O#S=Qjk4M._kVb__O#4Q S4M=k.L._C_ss4s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kno_L_jjd_S4 -mF=b#D_O k\3MLn_od_jj -_4S=QjMu X_q1uB7 _jQ -S41=q_jjd_;7j -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MnLjo_dSj -mF=b#D_O k\3MLn_od_jjQ -SjF=b#D_O k\3MLn_od_jj -_4S=Q4B_pij_jj7 -j;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__j4r9m +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_4dS9 +m_=h4_.dH +_4S=Qjh6_4. +_HS=Q4h6_4d;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__d4r9m +S=#bF_ OD\b3Ok#_C04_4_4j_r +d9S=Qjhg_4g +_HS=Q4hg_4(;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4_r +d9Sbm=FO#_D3 \O_bkC_#04j4_r +d9S=Qjb_F#O\D 3kOb_0C#__44jr_4dS9 +Qh4=_U4g_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__j49r4 +=Smb_F#O\D 3kOb_0C#__44jr_44S9 +Qhj=_c4g_SH +Qh4=_.4g_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__j.9r4 +=Smb_F#O\D 3kOb_0C#__44jr_.4S9 +Qhj=_44g_SH +Qh4=_d4g_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4r_j4S9 +mF=b#D_O O\3bCk_#40_4r_j4S9 +Qbj=FO#_D3 \O_bkC_#04j4__44r9Q +S4F=b#D_O O\3bCk_#40_4__j.9r4;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__j4r9m S=4h_dH4__S4 -Qhj=__g(HQ -S4_=h(H(_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__.j_r +Qhj=_d4n_SH +Qh4=_(4d_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__.H_r j9Shm=_44d_.H_ -jSQ=gh_c -_HS=Q4h6_g_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__jdr9m +jSQ=4h_nH._ +4SQ=4h_nHc_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jdr9m S=4h_dH4__Sd Qhj=_44d_4H_ 4SQ=4h_dH4__ -.;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH_r -j9Shm=_44d_SH -Qhj=_44d_dH_ -4SQ=gh_n;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj._N_44_r -j9Shm=__gn4Q -Sj_=h(Hd_ -4SQ=(h_6;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj._N_.4_r -j9Shm=__gn.Q -Sj_=h. -64S=Q41qv_vqQt_jHr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jN4.__jdr9m -S=gh_n -_dS=Qjhn_g_S4 -Qh4=__gn.s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jN4._r -j9Shm=_ -gnS=Qjhn_g_Sd -Q14=vv_qQ_tqH9rd;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44j__j49r4 -=Smb_F#O\D 3kOb_0C#__44jr_44S9 -Qhj=__UUHQ -S4_=hgHj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44j__j.9r4 -=Smb_F#O\D 3kOb_0C#__44jr_.4S9 -Qhj=_g.g_SH -Qh4=_.d4_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HN4.__Sc -m_=hd_cjcQ -Sj_=hd_cj4Q -S4_=hd_cj.s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_. -_4Shm=_jdc -jSQ=dh_ccj_ -4SQ=dh_cdj_;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Nj_. -_4Skm=MO6_H_HM4Q -Sj1=q_jjd__7jHQ -S4_=qOjr.9s; -R:fjjNRlOqERhR7.blsHR6kM_HOHM__jN.._ -=Smk_M6OMHH_S. -Qqj=_.Or4S9 -Qq4=_.Or. -9;sjRf:ljRNROEq.h7RHbslMRk6H_OHjM___N.dm -S=6kM_HOHM -_dS=Qjqr_O. -d9S=Q4qr_H.;c9 -fsRjR:jlENOR7qh.sRbHklRMO6_H_HMj._N_Sc -mM=k6H_OHcM_ -jSQ=Hq_r9.6 -4SQ=Hq_r9.n;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Nj_. -_6Skm=MO6_H_HM6Q -Sj_=qH4rd9Q -S4_=qH(r.9s; -R:fjjNRlOqERhR7.blsHR6kM_HOHM__jNn._ -=Smk_M6OMHH_Sn -Qqj=_.HrUS9 -Qq4=_.Hrg -9;sjRf:ljRNROEq.h7RHbslMRk6H_OHjM___N.(m -S=6kM_HOHM -_(S=Qjk_M6OMHH_S4 -Qk4=MO6_H_HM.s; -R:fjjNRlOqERhR7.blsHR6kM_HOHM__jNU._ -=Smk_M6OMHH_SU -Qkj=MO6_H_HMdQ -S4M=k6H_OHcM_;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Nj_. -_gSkm=MO6_H_HMgQ -SjM=k6H_OH6M_ -4SQ=6kM_HOHM;_n -fsRjR:jlENOR7qh.sRbHklRMO6_H_HMj._N_ -4jSkm=MO6_H_HM4Sj -Qkj=MO6_H_HM(Q -S4M=k6H_OHUM_;R -sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_Nj_.4_4 -=Smk_M6OMHH_ -44S=Qjk_M6OMHH_Sg -Qq4=_dHrj -9;sjRf:ljRNROEq.h7RHbslMRk6H_OHjM__ -N.Skm=MO6_H -HMS=Qjk_M6OMHH_ -4jS=Q4k_M6OMHH_;44 -fsRjR:jlENOR7qh.sRbHklRM_..LsCs_Nj_.__4jm -S=.kM.C_Ls4s__Sj -Qkj=M_..LsCs_S4 -Qw4=u1z_ h1_ -O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__6.r9m -S=4h_.H(__S. -Qhj=_U.U_SH -Qh4=_g.U_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH_r -69Shm=_(4._SH -Qhj=_(4._4H_ -4SQ=4h_.H(__ -.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.cLOoN d_jjM_H0__Hj._F_S4 -mF=b#D_O k\3M_.cLOoN d_jjM_H0__Hj__H4Q -Sj_=h6Hj_ -4SQ=.h_nHg_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMco_LN_O j_djH_M0H__jFS. -mF=b#D_O k\3M_.cLOoN d_jjM_H0__Hj -_HS=Qjb_F#O\D 3.kMco_LN_O j_djH_M0H__jH -_4S=Q4b_F#O\D 3.kM.o_LN_O j_djH;M0 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj___Fc4m -S=Uh_j__j4Q -Sj =MX1u_u qB_ -7jS=Q4b_F#O\D 36kM_NLoOj _dHj_M80__ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HFSc -m_=hUjj_ -jSQ=Uh_j__j4Q -S4v=1_QqvtHq__(Hr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jF4c__j4r9m -S=(h_6__H4Q -Sjv=1_QqvtHq_r -.9S=Q41qv_vqQt_nHr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jF4c_r -j9Shm=__(6HQ -Sj_=h(H6__S4 -Q14=vv_qQ_tqH9rc;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__Fj_c__4j9rj -=Smh6_.4__j4Q -Sj_=h._cgHQ -S41=q_jjd_jjj_h1YB;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hjc_Fr -j9Shm=_4.6_Sj -Qhj=_4.6_4j_ -4SQ=XM uu_1q_B 7 -j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_448j#_d8j_j +.;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jHr9m +S=4h_dH4_ +jSQ=4h_dH4__Sd +Qh4=_64n_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_448j#_d8j_j _4Sbm=FO#_D3 \k4M4__8#j_dj8Hj__S4 Q1j=Q_Z Or_H4S9 Qq4=j__OHs; @@ -1979,27 +1913,22 @@ R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k484_#d_jjj_8 =Smb_F#O\D 34kM4#_8_jjd__8jHQ SjF=b#D_O k\3M_448j#_d8j_j__H4Q S4Q=1ZO _r;j9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj___N.4 -_4Shm=_jdc_S4 -Qwj=Br_OjS9 -Qw4=Br_O4 -9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j__HN4.__S. -m_=hd_cj.Q -Sj_=qO(r49Q -S4_=qHnr49s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_.__4dm -S=dh_cdj_ -jSQ=Hq_r94U -4SQ=Hq_r94g;R -sfjj:ROlNEhRQesRbHplR7j1_jQj_h4a__SH -m_=hcS. -Qhj=__c.js; -R:fjjNRlOQERhbeRsRHlh6_4_SH -m_=h4H6_ -jSQ=4h_6s; -R:fjjNRlOQERhbeRsRHl)jW_j7j_v.q__SH -m_=hcSj -Qhj=__cjjs; +fsRjR:jlENOR7qh.sRbHklRMOU_H_HM4m +S=UkM_HOHM +_4S=Qjqr_Hd +49S=Q4Mu X_q1uB7 _js; +R:fjjNRlOqERhR7.blsHRUkM_HOHM +_.Skm=MOU_H_HM.Q +Sj1=q_jjd__7jHQ +S4_=qHcr.9s; +R:fjjNRlOqERhR7.blsHRUkM_HOHM +_dSkm=MOU_H_HMdQ +Sj_=qH6r.9Q +S4_=qHnr.9s; +R:fjjNRlOqERhR7.blsHRUkM_HOHM +_cSkm=MOU_H_HMcQ +Sj_=qH(r.9Q +S4_=qHUr.9s; R:fjjNRlOQERhbeRsRHlhn_4_SH m_=h4Hn_ jSQ=4h_ns; @@ -2033,850 +1962,657 @@ jSQ=#bF_ OD\M3kno_L_jjd;R sfjj:ROlNEhRQesRbHblRFO#_D3 \k_MULjo_dHj_ =Smb_F#O\D 3UkM__Loj djS=Qjb_F#O\D 3UkM__Loj_djjs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__j49r6 -=Smh._4(__H4Q -Sj_=h._UnHQ -S41=)a;_O +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_FH_c +_4Shm=_4.6_4j_ +jSQ=_1vqtvQq__HH9r( +4SQ=#bF_ OD\M3k6o_LN_O j_djH_M08;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj__ +FcShm=_4.6_Sj +Qhj=_4.6_4j_ +4SQ=XM uu_1q_B 7 +j;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_4H_r +.9Shm=_44._4H_ +jSQ=4h_6Hj_ +4SQ=4h_6H4_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#_r +.9Shm=_44._SH +Qhj=_44._4H_ +4SQ=a)1_ +O;sjRf:ljRNROEQRheblsHR_71j_dj7jj__SH +m_=h6Sj +Qhj=__6jjs; +R:fjjNRlOQERhbeRsRHlh__UHm +S=Uh__SH +Qhj=_ +U;sjRf:ljRNROEQRheblsHR_qj7_vq4 +_HShm=_ +cnS=Qjhn_c_ +j;sjRf:ljRNROEQRheblsHRgh__SH +m_=hg +_HS=Qjh;_g +fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_H4_ +=Smh6_c +jSQ=ch_6;_j +fsRjR:jlENOReQhRHbsl_Rh4Hj_ +=Smhj_4_SH +Qhj=_;4j +fsRjR:jlENOReQhRHbsl1Rq_jjj_aQh_H4_ +=Smhc_c +jSQ=ch_c;_j +fsRjR:jlENOReQhRHbsl_Rh4H._ +=Smh._4_SH +Qhj=_;4. +fsRjR:jlENOReQhRHbsl1Rq_jjd_jjj_h1YB__4Hm +S=ch_dQ +Sj_=hcjd_;R +sfjj:ROlNEhRQesRbHhlR__4dHm +S=4h_d +_HS=Qjhd_4;R +sfjj:ROlNEhRQesRbHplR7j1_jQj_h4a__SH +m_=hcS. +Qhj=__c.js; +R:fjjNRlOQERhbeRsRHlhc_4_SH +m_=h4Hc_ +jSQ=4h_cs; +R:fjjNRlOQERhbeRsRHl)jW_jQj_h.a__SH +m_=hcS4 +Qhj=__c4js; +R:fjjNRlOQERhbeRsRHlh6_4_SH +m_=h4H6_ +jSQ=4h_6s; +R:fjjNRlOQERhbeRsRHl)jW_j7j_v.q__SH +m_=hcSj +Qhj=__cjjs; +R:fjjNRlOQERhbeRsRHlQ_upj_dj4r_H4S9 +m_=hdS4 +Qhj=__d4js; +R:fjjNRlOQERhbeRsRHlhd_._SH +m_=h.Hd_ +jSQ=.h_ds; +R:fjjNRlOQERhbeRsRHlQ_upj_dj4r_HjS9 +m_=hdSj +Qhj=__djjs; +R:fjjNRlOQERhbeRsRHlQ_upOr_H.S9 +mu=Qp__OH9r. +jSQ=pQu_.Or9s; +R:fjjNRlOQERhbeRsRHlQ_up7jj__.Hr9m +S=6h_cQ +Sj_=h6jc_;R +sfjj:ROlNEhRQesRbHQlRuOp__4Hr9m +S=pQu_HO_r +49S=QjQ_upO9r4;R +sfjj:ROlNEhRQesRbHQlRu7p_j__jH9r4 +=Smhd_6 +jSQ=6h_d;_j +fsRjR:jlENOReQhRHbsluRQp__OH9rj +=SmQ_upOr_HjS9 +QQj=uOp_r;j9 fsRjR:jlENOReQhRHbsluRQpj_7_Hj_r j9Shm=_ 6.S=Qjh._6_ -j;sjRf:ljRNROEQRheblsHRXM uu_1q_B O -_HSMm= _Xu1Buq __OHQ -Sj =MX1u_u qB_ -O;sjRf:ljRNROEQRheblsHRXM uu_1q_B 7jj__SH -m_=h6S6 -Qhj=__66js; -R:fjjNRlOQERhbeRsRHl7j1_d7j_j__jHm -S=6h_jQ -Sj_=h6jj_;R -sfjj:ROlNEhRQesRbHhlR_Hd_ -=Smh__dHQ -Sj_=hds; -R:fjjNRlOQERhbeRsRHl7j1_j7j_v4q__SH -m_=hcSg -Qhj=__cgjs; -R:fjjNRlOQERhbeRsRHlh__nHm -S=nh__SH -Qhj=_ -n;sjRf:ljRNROEQRheblsHR_q1j_jj7_vq4 -_HShm=_ -cUS=QjhU_c_ -j;sjRf:ljRNROEQRheblsHRUh__SH -m_=hU -_HS=Qjh;_U -fsRjR:jlENOReQhRHbsljRq_q7v_H4_ -=Smhn_c -jSQ=ch_n;_j -fsRjR:jlENOReQhRHbsl_Rhg -_HShm=_Hg_ -jSQ=gh_;R -sfjj:ROlNEhRQesRbH7lR1iqB4h_Qa__4Hm -S=ch_6Q -Sj_=hcj6_;R -sfjj:ROlNEhRQesRbHhlR__4.Hm -S=4h_. -_HS=Qjh._4;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1h4B__SH -m_=hcSd -Qhj=__cdjs; -R:fjjNRlOQERhbeRsRHlhd_4_SH -m_=h4Hd_ -jSQ=4h_ds; -R:fjjNRlOQERhbeRsRHlhU_.U -_HShm=_U.U_SH -Qhj=_U.U;R -sfjj:ROlNEhRQesRbHhlR_g.U_SH -m_=h._UgHQ -Sj_=h.;Ug -fsRjR:jlENOReQhRHbsljRq_HO_ -=SmqOj__SH -Qqj=j;_O -fsRjR:jlENOReQhRHbslQR1ZO __4Hr9m -S=Z1Q __OH9r4 -jSQ=Z1Q r_O4 -9;sjRf:ljRNROEQRheblsHR.h_6 -_HShm=__.6HQ -Sj_=h. -6;sjRf:ljRNROEQRheblsHRpQu_jjd_H4_r -.9Shm=_ -d.S=Qjh._d_ -j;sjRf:ljRNROEQRheblsHR.h_c -_HShm=__.cHQ -Sj_=h. -c;sjRf:ljRNROEQRheblsHRpQu_jjd_H4_r -49Shm=_ -d4S=Qjh4_d_ -j;sjRf:ljRNROEQRheblsHR.h_d -_HShm=__.dHQ -Sj_=h. -d;sjRf:ljRNROEQRheblsHRpQu_jjd_H4_r -j9Shm=_ -djS=Qjhj_d_ -j;sjRf:ljRNROEQRheblsHRpQu_HO_r -.9SQm=uOp__.Hr9Q -Sju=Qpr_O. -9;sjRf:ljRNROEQRheblsHRpQu__7jjr_H.S9 -m_=h6Sc -Qhj=__6cjs; -R:fjjNRlOQERhbeRsRHlQ_upOr_H4S9 -mu=Qp__OH9r4 -jSQ=pQu_4Or9s; -R:fjjNRlOQERhbeRsRHlQ_up7jj__4Hr9m -S=6h_dQ -Sj_=h6jd_;R -sfjj:ROlNEhRQesRbHQlRuOp__jHr9m -S=pQu_HO_r -j9S=QjQ_upO9rj;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \O_bkC_#04j4__Hj_r -d9Sbm=FO#_D3 \O_bkC_#04d4r9Q -SjF=b#D_O O\3bCk_#40_4r_jd -9;sjRf:ljRNROEQRheblsHRgh_4 -_HShm=__g4HQ -Sj_=hg -4;sjRf:ljRNROEQRheblsHR_71j_jj7_vq.J_#lNkG_HH_ -=Smhn_.jQ -Sj_=h._njjs; -R:fjjNRlOQERhbeRsRHlhj_d4 -_HShm=_4dj_SH -Qhj=_4dj;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \7j1_j7j_vcq___Vjj -_HSbm=FO#_D3 \7j1_j7j_vcq_ -jSQ=#bF_ OD\137_jjj_q7v_jc_;R -sfjj:ROlNEhRQesRbHhlR_6dj_SH -m_=hd_j6HQ -Sj_=hd;j6 -fsRjR:jlENOReQhRHbsl_Rhd_jnHm -S=dh_jHn_ -jSQ=dh_j -n;sjRf:ljRNROEQRheblsHRQqvtAq_z71_q_aq7_Q)j__jHm -S=QqvtAq_z71_q_aq7_Q)OQ -Sjv=qQ_tqA_z17qqa_)7Q_jO_;R -sfjj:ROlNEhRQesRbHhlR_(dj_SH -m_=hd_j(HQ -Sj_=hd;j( -fsRjR:jlENOReQhRHbslMRk4Nc_lNHo_#Lk_08NNH_8s__Hj -_HShm=_6.n -jSQ=.h_nj6_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \kcM._NLoOj _dHj_MH0__Fj_. -_HSbm=FO#_D3 \kcM._NLoOj _dHj_MH0__Sj -Qbj=FO#_D3 \kcM._NLoOj _dHj_MH0__Hj_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj._F_nHr9m -S=nh_.Q -Sj_=hnj._;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj._F_6Hr9m -S=.h_(Sn -Qhj=_n.(_ -j;sjRf:ljRNROEQRheblsHRiBp_jjd_.]__FH_. -_HShm=_(.( -jSQ=.h_(j(_;R -sfjj:ROlNEhRQesRbHhlR_n.U_SH -m_=h._UnHQ -Sj_=h.;Un -fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_#4_JGlkN__HHm -S=.h_6SU -Qhj=_U.6_ -j;sjRf:ljRNROEQRheblsHR.h_UHd_ -=SmhU_.d -_HS=QjhU_.ds; -R:fjjNRlOQERhbeRsRHlhU_.c -_HShm=_c.U_SH -Qhj=_c.U;R -sfjj:ROlNEhRQesRbHhlR_j.g_SH -m_=h._gjHQ -Sj_=h.;gj -fsRjR:jlENOReQhRHbsl_Rh._g4Hm -S=.h_gH4_ -jSQ=.h_g -4;sjRf:ljRNROEQRheblsHR.h_(Hg_ -=Smh(_.g -_HS=Qjh(_.gs; -R:fjjNRlOQERhbeRsRHlhg_.d -_HShm=_d.g_SH -Qhj=_d.g;R -sfjj:ROlNEhRQesRbHhlR__U.Hm -S=Uh_. -_HS=Qjh._U;R -sfjj:ROlNEhRQesRbHhlR__UdHm -S=Uh_d -_HS=Qjhd_U;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HHr_H.S9 -m_=h. -6gS=Qjh6_.g;_j -fsRjR:jlENOReQhRHbsl_RhUHc_ -=Smhc_U_SH -Qhj=_;Uc -fsRjR:jlENOReQhRHbslMRk4v_1_Qqvt6q__jH__SH -m_=h4 -46S=Qjh4_46;_j -fsRjR:jlENOReQhRHbsl_RhUH6_ -=Smh6_U_SH -Qhj=_;U6 -fsRjR:jlENOReQhRHbsl_Rh._gcHm -S=.h_gHc_ -jSQ=.h_g -c;sjRf:ljRNROEQRheblsHR.h_gHn_ -=Smhg_.n -_HS=Qjhg_.ns; -R:fjjNRlOQERhbeRsRHlhj_4g -_HShm=_g4j_SH -Qhj=_g4j;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \k(Md__N#j_dj8Hj__SH -m_=h. -cnS=Qjhc_.n;_j -fsRjR:jlENOReQhRHbslMRk6H_OHHM_ -=Smk_M6OMHH_SH -Qkj=MO6_H;HM -fsRjR:jlENOReQhRHbslMRkUH_OHHM__Hj_ -=Smhc_.(Q -Sj_=h._c(js; -R:fjjNRlOQERhbeRsRHl1 QZ_q7v_#d_JGlkN__HHm -S=.h_cSU -Qhj=_U.c_ -j;sjRf:ljRNROEQRheblsHRiBp_jjj__7jHm -S=iBp_jjj__7jHQ -Sjp=Bij_jjj_7;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1hHB_ -=Smqj1_djj_j1j_Y_hBHQ -Sj1=q_jjd_jjj_h1YBs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_#M#_HH__Fj_cr_HjS9 -m_=h. -64S=Qjh6_.4;_j -fsRjR:jlENOReQhRHbslFRb#D_O k\3MNd_#d_jjj_8_HH___F.Hm -S=#bF_ OD\M3kd#_N_jjd_ -8jS=Qjb_F#O\D 3dkM__N#j_dj8Hj_;R -sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqdJ_#lNkG_FH_. -_HSbm=FO#_D3 \k_M6LOoN d_jjM_H0 -_8S=Qjb_F#O\D 36kM_NLoOj _dHj_M80__ -H;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M#__HH__jF4c__jHr9m -S=(h_6Q -Sj_=h(H6_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \1 QZ_q7v_jn__Fj_cr_HjS9 -m_=h(Sn -Qhj=__(nHs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__Fj_cr_H4S9 -m_=h(SU -Qhj=__(Ujs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkM(#_N_jjd__8jHc_F_SH -m_=hUSj -Qhj=__Ujjs; -R:fjjNRlOQERhbeRsRHlB_pi _XuO -_HSBm=p i_XOu__SH -QBj=p i_XOu_;R -sfjj:ROlNEhRQesRbHhlR_n44_SH -m_=h4_4nHQ -Sj_=h4;4n -fsRjR:jlENOReQhRHbslvR1_QqvtMq_#H#__jH___Fcjr_HjS9 -m_=h(Sd -Qhj=__(dHs; -R:fjjNRlOQERhbeRsRHlhj_44 -_HShm=_44j_SH -Qhj=_44j;R -sfjj:ROlNEhRQesRbHBlRpji_jhj__h1YBr_H4 -j9SBm=pji_jhj__h1YBr_H4 -j9S=QjB_pij_jjhY_1h4Brj -9;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kgD_O j_jj__M#O$M_SH -mF=b#D_O k\3MOg_Dj _jMj__M#$O -_HS=Qjb_F#O\D 3gkM_ OD_jjj_#M_$;MO -fsRjR:jlENOReQhRHbslFRb#D_O k\3M_4cO_D j_jjM$_#MHO_ -=Smb_F#O\D 34kMcD_O j_jj__M#O$M -jSQ=#bF_ OD\M3k4Oc_Dj _jMj__M#$O;_j -fsRjR:jlENOReQhRHbslFRb#D_O k\3M_..LOoN d_jjM_H0__Hjm -S=#bF_ OD\M3k.L._o NO_jjd_0HM -jSQ=#bF_ OD\M3k.L._o NO_jjd_0HM_ -H;sjRf:ljRNROEQRheblsHRUh_n -_HShm=__UnHQ -Sj_=hU -n;sjRf:ljRNROEQRheblsHRgh_d -_HShm=__gdHQ -Sj_=hg -d;sjRf:ljRNROEQRheblsHRgh_g -_HShm=__ggHQ -Sj_=hg -g;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj__4Hr9m -S=#bF_ OD\Q31Z7 _vnq_r -49S=Qjb_F#O\D 3Z1Q v_7q__nj9r4;R -sfjj:ROlNEhRQesRbHhlR_j4j_SH -m_=h4_jjHQ -Sj_=h4;jj -fsRjR:jlENOReQhRHbslFRb#D_O 1\3Q_Z 7_vqn__jjr_HjS9 -mF=b#D_O 1\3Q_Z 7_vqn9rj -jSQ=#bF_ OD\Q31Z7 _vnq__jjr9s; -R:fjjNRlOQERhbeRsRHl7B1qiQ4_h4a__l#Jk_GNH -_HShm=_6.c -jSQ=.h_cj6_;R -sfjj:ROlNEhRQesRbHhlR_U4j_SH -m_=h4_jUHQ -Sj_=h4;jU -fsRjR:jlENOReQhRHbslFRb#D_O k\3MO(_Dj _jbj_C__jHm -S=#bF_ OD\M3k(D_O j_jjC_b -jSQ=#bF_ OD\M3k(D_O j_jjC_b_ -j;sjRf:ljRNROEQRheblsHR_71j_jj Ahqp4 __l#Jk_GNH__jHm -S=.h_nSc -Qhj=_c.n_ -j;sjRf:ljRNROEQRheblsHRdh_jHc_ -=Smhj_dc -_HS=Qjhj_dcs; -R:fjjNRlOQERhbeRsRHlhj_dd -_HShm=_ddj_SH -Qhj=_ddj;R -sfjj:ROlNEhRQesRbHelRuOq__SH -mu=eq__OHQ -Sju=eq;_O -fsRjR:jlENOReQhRHbsluReq__7j -_HShm=_ -6nS=Qjhn_6_ j;sjRf:ljRNROEQRheblsHRq7aBOi__SH ma=7q_BiO _HS=Qj7Baqi;_O fsRjR:jlENOReQhRHbslaR7q_Bi7jj__SH m_=h6S( Qhj=__6(js; -R:fjjNRlOQERhbeRsRHlh(_g_SH -m_=hgH(_ -jSQ=gh_(s; -R:fjjNRlOQERhbeRsRHlhn_g_SH -m_=hgHn_ -jSQ=gh_ns; -R:fjjNRlOQERhbeRsRHlh6_g_SH -m_=hgH6_ -jSQ=gh_6s; +R:fjjNRlOQERhbeRsRHle_uqO +_HSem=uOq__SH +Qej=uOq_;R +sfjj:ROlNEhRQesRbHelRu7q__Hj_ +=Smhn_6 +jSQ=6h_n;_j +fsRjR:jlENOReQhRHbsl RMX1u_u qB_HO_ +=SmMu X_q1uBO __SH +QMj= _Xu1Buq ;_O +fsRjR:jlENOReQhRHbsl RMX1u_u qB__7jj +_HShm=_ +66S=Qjh6_6_ +j;sjRf:ljRNROEQRheblsHR4h_6HU_ +=Smh6_4U +_HS=Qjh6_4Us; +R:fjjNRlOQERhbeRsRHlh6_46 +_HShm=_646_SH +Qhj=_646;R +sfjj:ROlNEhRQesRbHhlR_n46_SH +m_=h4_6nHQ +Sj_=h4;6n +fsRjR:jlENOReQhRHbsl_Rh4_6cHm +S=4h_6Hc_ +jSQ=4h_6 +c;sjRf:ljRNROEQRheblsHR4h_6H._ +=Smh6_4. +_HS=Qjh6_4.s; +R:fjjNRlOQERhbeRsRHlh6_4d +_HShm=_d46_SH +Qhj=_d46;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r +n9Shm=_.4c +jSQ=4h_cj._;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r +c9Shm=_44c +jSQ=4h_cj4_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r +69Shm=_U4d +jSQ=4h_djU_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFjd__jHr9m +S=4h_dS. +Qhj=_.4d_ +H;sjRf:ljRNROEQRheblsHR_qjO +_HSqm=j__OHQ +Sjj=q_ +O;sjRf:ljRNROEQRheblsHRZ1Q __OH9r4 +=Sm1 QZ_HO_r +49S=Qj1 QZ_4Or9s; +R:fjjNRlOQERhbeRsRHlh6_._SH +m_=h.H6_ +jSQ=.h_6s; +R:fjjNRlOQERhbeRsRHlQ_upj_dj4r_H.S9 +m_=hdS. +Qhj=__d.js; +R:fjjNRlOQERhbeRsRHlhc_._SH +m_=h.Hc_ +jSQ=.h_cs; +R:fjjNRlOQERhbeRsRHlhd_g_SH +m_=hgHd_ +jSQ=gh_ds; R:fjjNRlOQERhbeRsRHlhc_g_SH m_=hgHc_ jSQ=gh_cs; -R:fjjNRlOQERhbeRsRHlh4_dd -_HShm=_dd4_SH -Qhj=_dd4;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFjd___F.H9rd -=Smhd_4nQ -Sj_=h4_dnHs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__Fj_cr_HjS9 -m_=hUS4 -Qhj=__U4js; -R:fjjNRlOQERhbeRsRHlh4_d. -_HShm=_.d4_SH -Qhj=_.d4;R -sfjj:ROlNEhRQesRbHhlR__gjHm -S=gh_j -_HS=Qjhj_g;R +R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkM(#_N_jjd__8jH +_HShm=_c.c +jSQ=.h_cjc_;R +sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_#4_JGlkN__Hj +_HShm=_6.c +jSQ=.h_cj6_;R +sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqdJ_#lNkG_HH_ +=Smhc_.nQ +Sj_=h._cnjs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkM__N#j_dj8jj___F.Hm +S=#bF_ OD\M3kd#_N_jjd_ +8jS=Qjb_F#O\D 3dkM__N#j_dj8Hj_;R +sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqdJ_#lNkG_FH_. +_HSbm=FO#_D3 \k_M6LOoN d_jjM_H0 +_8S=Qjb_F#O\D 36kM_NLoOj _dHj_M80__ +H;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj___FcH9rj +=Smhc_.gQ +Sj_=h._cgHs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkM(#_N_jjd__8jHc_F_SH +m_=h. +64S=Qjh6_.4;_j +fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH___FcH9rj +=Smh4_( +jSQ=(h_4;_j +fsRjR:jlENOReQhRHbsl_Rh4_jcHm +S=4h_jHc_ +jSQ=4h_j +c;sjRf:ljRNROEQRheblsHR4h_nHj_ +=Smhn_4j +_HS=Qjhn_4js; +R:fjjNRlOQERhbeRsRHlhn_44 +_HShm=_44n_SH +Qhj=_44n;R +sfjj:ROlNEhRQesRbHhlR_g46_SH +m_=h4_6gHQ +Sj_=h4;6g +fsRjR:jlENOReQhRHbsl_Rh4_6(Hm +S=4h_6H(_ +jSQ=4h_6 +(;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__nHr9m +S=4kM_a)1_Y7p_lH__nHr9Q +SjM=k41_)ap_7Y__Hl9rn;R +sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r +(9Skm=M)4_17a_pHY__Hl_r +(9S=Qjk_M4)_1a7_pYHr_l( +9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__UHr9m +S=4kM_a)1_Y7p_lH__UHr9Q +SjM=k41_)ap_7Y__Hl9rU;R +sfjj:ROlNEhRQesRbHhlR__(nHm +S=(h_n +_HS=Qjhn_(;R +sfjj:ROlNEhRQesRbHhlR__UdHm +S=Uh_d +_HS=Qjhd_U;R +sfjj:ROlNEhRQesRbHhlR__UcHm +S=Uh_c +_HS=Qjhc_U;R +sfjj:ROlNEhRQesRbHklRM14_vv_qQ_tq6__Hj +_HShm=_644 +jSQ=4h_4j6_;R +sfjj:ROlNEhRQesRbHhlR__UnHm +S=Uh_n +_HS=Qjhn_U;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \1 QZ_q7v_jn__Hj_r +49Sbm=FO#_D3 \1 QZ_q7v_4nr9Q +SjF=b#D_O 1\3Q_Z 7_vqnr_j4 +9;sjRf:ljRNROEQRheblsHRUh_( +_HShm=__U(HQ +Sj_=hU +(;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj__jHr9m +S=#bF_ OD\Q31Z7 _vnq_r +j9S=Qjb_F#O\D 3Z1Q v_7q__nj9rj;R sfjj:ROlNEhRQesRbHhlR__UUHm S=Uh_U _HS=QjhU_U;R -sfjj:ROlNEhRQesRbHhlR_g.g_SH -m_=h._ggHQ -Sj_=h.;gg -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH___F.H9rc -=Smh(_.6Q -Sj_=h._(6js; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__FH_.r_H.S9 +sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_#4_JGlkN__4H +_HShm=_4.c +jSQ=.h_cj4_;R +sfjj:ROlNEhRQesRbH7lR1iqB4h_Qa__4#kJlGHN__SH m_=h. -(cS=Qjh(_.c;_j -fsRjR:jlENOReQhRHbslFRb#D_O O\3bCk_#40_4__jj._F_dHr9m -S=.h_(Sd -Qhj=_d.(_ -H;sjRf:ljRNROEQRheblsHR#bF_ OD\b3Ok#_C04_4_jj___F.H9r4 -=Smh(_..Q -Sj_=h._(.Hs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3kOb_0C#__44H__jFH._r -.9Shm=_j.( -jSQ=.h_(Hj_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFjd__FH_.r_HjS9 -m_=h. -nUS=Qjhn_.U;_H -fsRjR:jlENOReQhRHbsl_Rhd_4jHm -S=dh_4Hj_ -jSQ=dh_4 -j;sjRf:ljRNROEQRheblsHRdh_4H4_ -=Smh4_d4 -_HS=Qjh4_d4s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__FH_.__jH9r. -=Smhn_.(Q -Sj_=h._n(js; -R:fjjNRlOQERhbeRsRHlhj_dg -_HShm=_gdj_SH -Qhj=_gdj;R -sfjj:ROlNEhRQesRbHhlR_Udj_SH -m_=hd_jUHQ -Sj_=hd;jU -fsRjR:jlENOReQhRHbslMRk41_)ap_7Y__Hlr_HnS9 -mM=k41_)ap_7Y__Hlr_HnS9 -Qkj=M)4_17a_pHY__nlr9s; -R:fjjNRlOQERhbeRsRHlk_M4)_1a7_pYH__lH9r( -=Smk_M4)_1a7_pYH__lH9r( -jSQ=4kM_a)1_Y7p_lH_r;(9 -fsRjR:jlENOReQhRHbslMRk41_)ap_7Y__Hlr_HUS9 -mM=k41_)ap_7Y__Hlr_HUS9 -Qkj=M)4_17a_pHY__Ulr9s; -R:fjjNRlOQERhbeRsRHlk_M4)_1a7_pYH__lH9r. -=Smk_M4)_1a7_pYH__lH9r. -jSQ=4kM_a)1_Y7p_lH_r;.9 -fsRjR:jlENOReQhRHbsl_RhgHU_ -=SmhU_g_SH -Qhj=_;gU -fsRjR:jlENOReQhRHbsl_Rh4_j6Hm -S=4h_jH6_ -jSQ=4h_j -6;sjRf:ljRNROEQRheblsHR.h_. -_HShm=__..HQ -Sj_=h. -.;sjRf:ljRNROEQRheblsHRqAtBji_dQj_h4a__SH -m_=hdSd -Qhj=__ddjs; -R:fjjNRlOQERhbeRsRHlhU_4_SH -m_=h4HU_ -jSQ=4h_Us; -R:fjjNRlOQERhbeRsRHle_vqQ_ha4 -_HShm=_ -d(S=Qjh(_d_ -j;sjRf:ljRNROEQRheblsHR4h_c -_HShm=__4cHQ -Sj_=h4 -c;sjRf:ljRNROEQRheblsHR_)Wj_jjQ_ha. -_HShm=_ -c4S=Qjh4_c_ -j;sjRf:ljRNROEQRheblsHR4h_j -_HShm=__4jHQ -Sj_=h4 -j;sjRf:ljRNROEQRheblsHR_q1j_jjQ_ha4 -_HShm=_ -ccS=Qjhc_c_ -j;sjRf:ljRNROEQRheblsHR#bF_ OD\b3Ok#_C04_4_jj__4Hr9m -S=#bF_ OD\b3Ok#_C04_4r -49S=Qjb_F#O\D 3kOb_0C#__44j9r4;R +c.S=Qjhc_..;_j +fsRjR:jlENOReQhRHbsl1Rq_jjj_aQh_#4_JGlkN__HHm +S=.h_cSd +Qhj=_d.c_ +j;sjRf:ljRNROEQRheblsHR#bF_ OD\b3Ok#_C04_4_Hj_r +49Sbm=FO#_D3 \O_bkC_#0444r9Q +SjF=b#D_O O\3bCk_#40_4r_j4 +9;sjRf:ljRNROEQRheblsHR4h_gHU_ +=Smhg_4U +_HS=Qjhg_4Us; +R:fjjNRlOQERhbeRsRHlhg_4( +_HShm=_(4g_SH +Qhj=_(4g;R +sfjj:ROlNEhRQesRbHhlR_g4g_SH +m_=h4_ggHQ +Sj_=h4;gg +fsRjR:jlENOReQhRHbslFRb#D_O O\3bCk_#40_4__jH9rd +=Smb_F#O\D 3kOb_0C#_r44dS9 +Qbj=FO#_D3 \O_bkC_#04j4_r;d9 +fsRjR:jlENOReQhRHbsl_Rh4_64Hm +S=4h_6H4_ +jSQ=4h_6 +4;sjRf:ljRNROEQRheblsHR4h_6Hj_ +=Smh6_4j +_HS=Qjh6_4js; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_#M#_HH___Fdjr_HjS9 +m_=h4 +d6S=Qjhd_46;_H +fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH___FcH9r4 +=Smh6_..Q +Sj_=h._6.js; +R:fjjNRlOQERhbeRsRHlh6_U_SH +m_=hUH6_ +jSQ=Uh_6s; +R:fjjNRlOQERhbeRsRHl) 1az_ma__4Hm +S=dh_UQ +Sj_=hdjU_;R sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r -d9Skm=M)4_17a_pHY__Hl_r -d9S=Qjk_M4)_1a7_pYHr_ld -9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__cHr9m -S=4kM_a)1_Y7p_lH__cHr9Q -SjM=k41_)ap_7Y__Hl9rc;R +.9Skm=M)4_17a_pHY__Hl_r +.9S=Qjk_M4)_1a7_pYHr_l. +9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__dHr9m +S=4kM_a)1_Y7p_lH__dHr9Q +SjM=k41_)ap_7Y__Hl9rd;R sfjj:ROlNEhRQesRbHklRM)4_17a_pHY__Hl_r -69Skm=M)4_17a_pHY__Hl_r -69S=Qjk_M4)_1a7_pYHr_l6 -9;sjRf:ljRNROEQRheblsHR1) ma_zja__l#Jk_GNHm -S=1) ma_zja__l#Jk_GNHQ -Sj =)1_ am_zajJ_#lNkG;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY_r -d9Skm=M)4_17a_pHY_r -d9S=Qjk_M4)_1a7rpYd -9;sjRf:ljRNROEq.h7RHbslMRk41_)ap_7Y__Hl9rd -=Smk_M4)_1a7_pYHr_ldS9 -Q)j= a1 _amz_#j_JGlkN -_HS=Q4k_M4)_1a7_pYH9rd;R -sfjj:ROlNEhRQesRbH)lR a1 _amz_SH -m =)1_ am_zaHQ -Sj =)1_ am;za +c9Skm=M)4_17a_pHY__Hl_r +c9S=Qjk_M4)_1a7_pYHr_lc +9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_lH__6Hr9m +S=4kM_a)1_Y7p_lH__6Hr9Q +SjM=k41_)ap_7Y__Hl9r6;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \k_M(O_D j_jjbHC_ +=Smb_F#O\D 3(kM_ OD_jjj_ +bCS=Qjb_F#O\D 3(kM_ OD_jjj__bCjs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kM(D_O j_jjC_M__8jHm +S=#bF_ OD\M3k.O(_Dj _jMj_Cj_8_SH +Qbj=FO#_D3 \k(M._ OD_jjj__MC8 +j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k.O4_Dj _jMj_Cj_8_SH +mF=b#D_O k\3M_.4O_D j_jjM8C_j +_HS=Qjb_F#O\D 3.kM4D_O j_jjC_M_;8j +fsRjR:jlENOReQhRHbslFRb#D_O k\3M_.dO_D j_jjM8C_j +_HSbm=FO#_D3 \kdM._ OD_jjj__MC8Sj +Qbj=FO#_D3 \kdM._ OD_jjj__MC8jj_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r +d9Shm=_n4d +jSQ=4h_dHn_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__HFHd_r +.9Shm=_j4c +jSQ=4h_cjj_;R +sfjj:ROlNEhRQesRbHhlR_64g_SH +m_=h4_g6HQ +Sj_=h4;g6 +fsRjR:jlENOReQhRHbsl_Rh4_gnHm +S=4h_gHn_ +jSQ=4h_g +n;sjRf:ljRNROEQRheblsHR#bF_ OD\b3Ok#_C04_4_FH_cr_H.S9 +m_=h4 +UUS=QjhU_4U;_H +fsRjR:jlENOReQhRHbslFRb#D_O O\3bCk_#40_4__jFHc_r +49Shm=_g4U +jSQ=4h_UHg_;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \O_bkC_#04j4___FcH9rd +=Smhg_4jQ +Sj_=h4_gjHs; +R:fjjNRlOQERhbeRsRHlhg_4d +_HShm=_d4g_SH +Qhj=_d4g;R +sfjj:ROlNEhRQesRbHhlR_44g_SH +m_=h4_g4HQ +Sj_=h4;g4 +fsRjR:jlENOReQhRHbsl_Rh4_g.Hm +S=4h_gH._ +jSQ=4h_g +.;sjRf:ljRNROEQRheblsHR4h_gHc_ +=Smhg_4c +_HS=Qjhg_4cs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3_71j_jj7_vqc4_V_SH +mF=b#D_O 7\31j_jjv_7q__cVS4 +Qbj=FO#_D3 \7j1_j7j_vcq___V4js; +R:fjjNRlOQERhbeRsRHlhn_4. +_HShm=_.4n_SH +Qhj=_.4n;R +sfjj:ROlNEhRQesRbHhlR_d4n_SH +m_=h4_ndHQ +Sj_=h4;nd +fsRjR:jlENOReQhRHbsl_Rh4_ncHm +S=4h_nHc_ +jSQ=4h_n +c;sjRf:ljRNROEQRheblsHR4h_nH6_ +=Smhn_46 +_HS=Qjhn_46s; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kM.o_LN_O j_djH_M0Hm +S=#bF_ OD\M3k.L._o NO_jjd_0HM +jSQ=#bF_ OD\M3k.L._o NO_jjd_0HM_ +j;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kgD_O j_jj__M#O$M_SH +mF=b#D_O k\3MOg_Dj _jMj__M#$O +_HS=Qjb_F#O\D 3gkM_ OD_jjj_#M_$;MO +fsRjR:jlENOReQhRHbslpRBij_jj__h1BYh_4HrjS9 +mp=Bij_jj__h1BYh_4HrjS9 +QBj=pji_jhj__h1YBjr49s; +R:fjjNRlOQERhbeRsRHlb_F#O\D 34kMcD_O j_jj__M#O$M_SH +mF=b#D_O k\3M_4cO_D j_jjM$_#MSO +Qbj=FO#_D3 \kcM4_ OD_jjj_#M_$_MOjs; +R:fjjNRlOQERhbeRsRHlh._._SH +m_=h.H._ +jSQ=.h_.s; +R:fjjNRlOQERhbeRsRHlABtqid_jjh_Qa__4Hm +S=dh_dQ +Sj_=hdjd_;R +sfjj:ROlNEhRQesRbHhlR__4UHm +S=4h_U +_HS=QjhU_4;R +sfjj:ROlNEhRQesRbHelRvQq_h4a__SH +m_=hdS( +Qhj=__d(js; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3gkM_ OD_jjj__MCHm +S=#bF_ OD\M3kgD_O j_jjC_M_SH +Qbj=FO#_D3 \k_MgO_D j_jjM +C;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k6D_O j_jjC_b_SH +mF=b#D_O k\3MO6_Dj _jbj_C +_HS=Qjb_F#O\D 36kM_ OD_jjj_;bC +fsRjR:jlENOReQhRHbsl_Rhn +_HShm=_Hn_ +jSQ=nh_;R +sfjj:ROlNEhRQesRbHqlR1j_jjv_7q__4Hm +S=ch_UQ +Sj_=hcjU_;R +sfjj:ROlNEhRQesRbHhlR_Hd_ +=Smh__dHQ +Sj_=hds; +R:fjjNRlOQERhbeRsRHl7j1_j7j_v4q__SH +m_=hcSg +Qhj=__cgjs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kMgo_LN_O j_djH_M0Hm +S=#bF_ OD\M3k.Lg_o NO_jjd_0HM_SH +Qbj=FO#_D3 \kgM._NLoOj _dHj_M +0;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k.Ln_o NO_jjd_0HM_SH +mF=b#D_O k\3M_.nLOoN d_jjM_H0 +_HS=Qjb_F#O\D 3.kMno_LN_O j_djH;M0 +fsRjR:jlENOReQhRHbslFRb#D_O k\3M_.(LOoN d_jjM_H0 +_HSbm=FO#_D3 \k(M._NLoOj _dHj_MS0 +Qbj=FO#_D3 \k(M._NLoOj _dHj_Mj0_;R +sfjj:ROlNEhRQesRbHBlRpji_d]j__#j_JGlkN +_HSBm=pji_d]j__#j_JGlkN +_HS=QjB_pij_dj]__j#kJlG +N;sjRf:ljRNROEQRheblsHR(h__SH +m_=h( +_HS=Qjh;_( +fsRjR:jlENOReQhRHbslpRBid_jj__]4 +_HShm=_ +c(S=Qjh(_c_ +j;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M#__HHd_F_jHr9m +S=4h_dSd +Qhj=_d4d_ +H;sjRf:ljRNROEQRheblsHR4h_(Hn_ +=Smh(_4n +_HS=Qjh(_4ns; +R:fjjNRlOQERhbeRsRHlh(_46 +_HShm=_64(_SH +Qhj=_64(;R +sfjj:ROlNEhRQesRbHqlRvqQt_1Az_a7qqQ_7)__jHm +S=QqvtAq_z71_q_aq7_Q)OQ +Sjv=qQ_tqA_z17qqa_)7Q_jO_;R +sfjj:ROlNEhRQesRbHklRM_.4V_bkOH#_ +=Smk4M._kVb__O#HQ +SjM=k.V4_bOk_#s; +R:fjjNRlOqERhR7.blsHRnkM__N#j +djSkm=MNn_#d_jjQ +Sj1=q_jjj_q7v_SH +Qq4=1j_jj;_H +fsRjR:jlENOReQhRHbslYRBB_p 7_vqH9rj +=SmBpYB v_7qr_HjS9 +QBj=Y Bp_q7vr;j9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \knM._NLoOj _dHj_MS0 +mF=b#D_O k\3M_.nLOoN d_jjM_H0Q +SjY=BB_p 7rvq4S9 +QB4=Y Bp_q7v_jHr9s; +R:fjjNRlOQERhbeRsRHlBpYB v_7qr_H4S9 +mY=BB_p 7_vqH9r4 +jSQ=BBYp7 _v4qr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.Lg_o NO_jjd_0HM +=Smb_F#O\D 3.kMgo_LN_O j_djH +M0S=QjBpYB v_7q9rj +4SQ=BBYp7 _vHq_r;49 +fsRjR:jlENOR7qh.sRbHtlR_j4n +=Smh._.jQ +Sjp=Bij_jj _u +4SQ=BBYp7 _vjqr9s; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vq4m +S=ch_g +_jS=Qjh__dHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q +_4Shm=__cUjQ +Sj_=hn +_HS=Q4)_1aOs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3.kMco_LN_O j_djH_M0Hm +S=#bF_ OD\M3k.Lc_o NO_jjd_0HM_SH +Qbj=FO#_D3 \kcM._NLoOj _dHj_M +0;sjRf:ljRNROEQRheblsHR_q1j_jj7_vqj +3sSqm=1j_jjv_7q3_jk +MdS=Qjqj1_j7j_v4q__l#Jk;GN +fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q3_jlm +S=_q1j_jj7_vqjM3k4Q +Sj1=q_jjj_q7v +4SQ=_q1j_jj7_vq4J_#lNkG;R +sfjj:ROlNEhRq7b.RsRHlqj1_j7j_vjq_3SM +m1=q_jjj_q7v_kj3MSj +Qbj=FO#_D3 \kcM._NLoOj _dHj_MH0_ +4SQ=_q1j_jj7_vqjM3kds; +R:fjjNRlOmER)b.RsRHlqj1_j7j_vjq_3Sb +m_=hnQ +Sj1=q_jjj_q7v_kj3MS4 +Qq4=1j_jjv_7q3_jk;Mj +fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_sj3 +=Sm7j1_j7j_vjq_3dkM +jSQ=_71j_jj7_vq.J_#lNkG;R +sfjj:ROlNEhRq7b.RsRHl7j1_j7j_vjq_3Sl +m1=7_jjj_q7v_kj3MS4 +Q7j=1j_jjv_7qQ +S41=7_jjj_q7v_#._JGlkNs; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vqj +3MS7m=1j_jjv_7q3_jk +MjS=Qjb_F#O\D 3_71j_jj7_vqcQ +S41=7_jjj_q7v_kj3M +d;sjRf:ljRNROEmR).blsHR_71j_jj7_vqj +3bShm=_Sd +Q7j=1j_jjv_7q3_jk +M4S=Q47j1_j7j_vjq_3jkM;R +sfjj:ROlNEhRQesRbHQlR_c.d +=Smqj1_jHj_ +jSQ=_q1j_jjOs; +R:fjjNRlOQERhbeRsRHl7j1_j7j_vHq_ +=Sm7j1_j7j_vHq_ +jSQ=_71j_jj7;vq +fsRjR:jlENOR7qh.sRbHklRM8n_#d_jjm +S=nkM__8#j +djS=Qjqj1_jHj_ +4SQ=_71j_jj7_vqHs; +R:fjjNRlOQERhbeRsRHlk_M4NolHNk_L#M_CNCLD_IDF_SH +mM=k4l_NH_oNL_k#CLMNDDC_FHI_ +jSQ=4kM_HNloLN_kC#_MDNLCF_DIs; +R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)jd_N +=Smh(_46Q +Sjt=Aq_Bij_djQ +haS=Q4)jW_jHj_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__rFdjS9 +m_=h4_ddHQ +Sjv=1_QqvtHq_r +49S=Q41qv_vqQt_6Hr9s; +R:fjjNRlOQERhbeRsRHlQd_.6m +S=)A ) +_HS=QjA) )_ +O;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__NH_nr_4jS9 +m_=h4 +ncS=QjA) )_SH +Qh4=_n4d_ +H;sjRf:ljRNROEq.h7RHbslpRBid_jj__]4m +S=ch_( +_jS=QjB_pij_dj]__j#kJlGHN_ +4SQ=(h__ +H;sjRf:ljRNROEq.h7RHbslpRBid_jj__].m +S=6h_UQ +Sj_=hcS( +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlB_pij_dj] +_jShm=_S( +QBj=pji_d]j_ +4SQ=#bF_ OD\M3k.Lc_o NO_jjd_0HM;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__S4 +mF=b#D_O B\3Y Bp_q7v_46r9Q +SjM=k4Y_BB_p 7_vq49r. +4SQ=4kM_0s#_ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_j6_ +=Smb_F#O\D 3BBYp7 _v6q_r +j9S=Qjk_M4BpYB v_7qr_44S9 +Qk4=Ms4_#.0_;R +sfjj:ROlNEhRq7b.RsRHl7j1_j7j_vjq__l#Jk +GNS7m=1j_jjv_7q__j#kJlGSN +Q)j=Wj_jj +_OS=Q4b_F#O\D 3.kMco_LN_O j_djH;M0 +fsRjR:jlENOReQhRHbslpRBiX_ u +_HSBm=p i_XHu_ +jSQ=iBp_u X_ +O;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_#4_JGlkNm +S=_q1j_jj7_vq4J_#lNkG +jSQ=iBp_u X_SH +Qb4=FO#_D3 \kcM._NLoOj _dHj_M +0;sjRf:ljRNROEq.h7RHbslMRk4#_s0 +_.Skm=Ms4_#.0_ +jSQ=4h_(4n_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.(LOoN d_jjM_H0m +S=#bF_ OD\M3k.L(_o NO_jjd_0HM_Sj +Qbj=FO#_D3 \knM._NLoOj _dHj_MH0_ +4SQ=#bF_ OD\M3k.Lg_o NO_jjd_0HM_ +H;sjRf:ljRNROEQRheblsHR_q1j_jj7_vqHm +S=_q1j_jj7_vqHQ +Sj1=q_jjj_q7v;R +sfjj:ROlNEhRq7b.RsRHlB_pij_dj]__j#kJlGSN +mp=Bid_jj__]jJ_#lNkG +jSQ=_q1j_jj7_vq4J_#lNkG +4SQ=_q1j_jj7_vqHs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3(kM_ OD_jjj__8jHm +S=#bF_ OD\M3k(D_O j_jjj_8_SH +Qbj=FO#_D3 \k_M(O_D j_jj8 +j;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HdS9 +mv=1_QqvtHq_r +d9S=Qj1qv_vqQtr;d9 +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +j9S1m=vv_qQ_tqH9rj +jSQ=_1vqtvQq9rj;R +sfjj:ROlNEhRQesRbHBlRpji_jhj_ +_HSBm=pji_jhj_ +_HS=QjB_pij_jjh + ;sjRf:ljRNROEQRheblsHRiBp_jjj__u Hm +S=iBp_jjj__u HQ +Sjp=Bij_jj _u;R +sfjj:ROlNEhRQesRbHMlR _Xu1Buq j_7_SH +m =MX1u_u qB__7jHQ +Sj =MX1u_u qB_;7j fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haHm S=qAtBji_dQj_hHa_ jSQ=qAtBji_dQj_h -a;sjRf:ljRNROEq.h7RHbslMRk4#_N_jjj_Sj -mM=k4#_N_jjj_SH -QAj=tiqB_jjd_aQh -4SQ=1) ma_z -a;sjRf:ljRNROEq.h7RHbsl_Rt4 -cnShm=_d.4 -jSQ=.h_4S4 -Q)4=17a_p6Yr9s; -R:fjjNRlOqERhR7.blsHR4t_cSc -m_=h. -44S=Qjhj_.gQ -S41=)ap_7Y9rc;R -sfjj:ROlNEhRq7b.RsRHltc_4.m -S=.h_jSg -Q)j= a1 _amz_#j_JGlkN -_6S=Q4)_1a7rpYd -9;sjRf:ljRNROEQRheblsHR(h_4 -_HShm=__(4HQ -Sj_=h( -4;sjRf:ljRNROEQRheblsHR4kM_HNloLN_kC#_MDNLCF_DI -_HSkm=MN4_lNHo_#Lk_NCML_DCD_FIHQ -SjM=k4l_NH_oNL_k#CLMNDDC_F -I;sjRf:ljRNROEQRheblsHR.kM4b_Vk#_O_SH -mM=k.V4_bOk_# -_HS=Qjk4M._kVb_;O# -fsRjR:jlENOR)Xm.sRbHtlR_44c -=Smk_M4)_1a7rpYcS9 -Q)j= a1 _amz_#j_JGlkN -_6S=Q4)_1a7rpYd -9;sjRf:ljRNROEX.m)RHbsl_Rt4 -dgSkm=M)4_17a_pdYr9Q -Sj_=h. -j6S=Q4)_1a7rpY. -9;sjRf:ljRNROEq.h7RHbslFRb#D_O )\317a_p6Y__rHPnS9 -mF=b#D_O )\317a_p6Y___HPH9rn -jSQ=a)1_SO -Qk4=M)4_17a_pHY__Hl_r;(9 -fsRjR:jlENOReQhRHbslMRk41_)ap_7Yr_H(S9 -mM=k41_)ap_7Yr_H(S9 -Qkj=M)4_17a_p(Yr9s; -R:fjjNRlOqERhR7.blsHR4kM_a)1_Y7p_lH_r -(9Skm=M)4_17a_pHY__(lr9Q -Sj =)1_ am_zajJ_#lNkG_SH -Qk4=M)4_17a_pHY_r;(9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr -69Sbm=FO#_D3 \)_1a7_pY6P_H_6Hr9Q -Sj1=)a -_OS=Q4k_M4)_1a7_pYH__lH9rn;R -sfjj:ROlNEhRQesRbHklRM)4_17a_pHY_r -n9Skm=M)4_17a_pHY_r -n9S=Qjk_M4)_1a7rpYn -9;sjRf:ljRNROEq.h7RHbslMRk41_)ap_7Y__Hl9rn -=Smk_M4)_1a7_pYHr_lnS9 -Q)j= a1 _amz_#j_JGlkN -_HS=Q4k_M4)_1a7_pYH9rn;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P9rc -=Smb_F#O\D 3a)1_Y7p_H6_Pr_HcS9 -Q)j=1Oa_ -4SQ=4kM_a)1_Y7p_lH__6Hr9s; -R:fjjNRlOQERhbeRsRHlk_M4)_1a7_pYH9r6 -=Smk_M4)_1a7_pYH9r6 -jSQ=4kM_a)1_Y7pr;69 -fsRjR:jlENOR7qh.sRbHklRM)4_17a_pHY__6lr9m -S=4kM_a)1_Y7p_lH_r -69S=Qj) 1az_ma__j#kJlGHN_ -4SQ=4kM_a)1_Y7p_6Hr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\13)ap_7Y__6HdPr9m -S=#bF_ OD\13)ap_7Y__6HHP_r -d9S=Qj)_1aOQ -S4M=k41_)ap_7Y__Hlr_Hc -9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_cHr9m -S=4kM_a)1_Y7p_cHr9Q -SjM=k41_)ap_7Y9rc;R -sfjj:ROlNEhRq7b.RsRHlk_M4)_1a7_pYHr_lcS9 -mM=k41_)ap_7Y__Hl9rc -jSQ=1) ma_zja__l#Jk_GNHQ -S4M=k41_)ap_7Yr_Hc -9;sjRf:ljRNROEq.h7RHbslFRb#D_O )\317a_p6Y__rHP.S9 -mF=b#D_O )\317a_p6Y___HPH9r. -jSQ=a)1_SO -Qk4=M)4_17a_pHY__Hl_r;d9 -fsRjR:jlENOR7qh.sRbH)lR a1 _amz_H.__ -N.Shm=_64j -jSQ=1) ma_zja__l#Jk_GNHQ -S4 =)1_ am_zaHs; -R:fjjNRlOQERhbeRsRHlh(_U_HH_ -=Smh(_U_HH_ -jSQ=Uh_(;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_H_Nj_.9rj -=SmhU_g -jSQ=Uh_(__HHQ -S4 =)1_ am_zajJ_#lNkG_ -H;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_.Hr9m -S=4kM_a)1_Y7p_.Hr9Q -SjM=k41_)ap_7Y9r.;R -sfjj:ROlNEhRq7b.RsRHlk_M4)_1a7_pYHr_l.S9 -mM=k41_)ap_7Y__Hl9r. -jSQ=1) ma_zja__l#Jk_GNHQ -S4M=k41_)ap_7Yr_H. -9;sjRf:ljRNROEq.h7RHbslFRb#D_O )\317a_p6Y__rHP4S9 -mF=b#D_O )\317a_p6Y___HPH9r4 -jSQ=a)1_SO -Qk4=M)4_17a_pHY__Hl_r;.9 -fsRjR:jlENOReQhRHbslMRk41_)ap_7Yr_HUS9 -mM=k41_)ap_7Yr_HUS9 -Qkj=M)4_17a_pUYr9s; -R:fjjNRlOqERhR7.blsHR4kM_a)1_Y7p_lH_r -U9Skm=M)4_17a_pHY__Ulr9Q -Sj =)1_ am_zajJ_#lNkG_SH -Qk4=M)4_17a_pHY_r;U9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr -(9Sbm=FO#_D3 \)_1a7_pY6P_H_(Hr9Q -Sj1=)a -_OS=Q4k_M4)_1a7_pYH__lH9rU;R -sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlGSN -m =)1_ am_zajJ_#lNkG -jSQ=1) ma_zja__l#Jk_GN(Q -S41=)ap_7Y9r(;R -sfjj:ROlNEmRX)b.RsRHltd_4(m -S=4kM_a)1_Y7pr -.9S=Qj) 1az_ma__j#kJlG4N_ -4SQ=a)1_Y7pr;49 -fsRjR:jlENOR)Xm.sRbHtlR_g4c -=Smk_M4)_1a7rpYUS9 -Q)j= a1 _amz_#j_JGlkN -_(S=Q4)_1a7rpY( -9;sjRf:ljRNROEX.m)RHbsl_Rt4 -c(Skm=M)4_17a_p(Yr9Q -Sj_=h. -4dS=Q4)_1a7rpYn -9;sjRf:ljRNROEX.m)RHbsl_Rt4 -c6Skm=M)4_17a_pnYr9Q -Sj_=h. -44S=Q4)_1a7rpY6 -9;sjRf:ljRNROEX.m)RHbsl_Rt4 -cdSkm=M)4_17a_p6Yr9Q -Sj_=h. -jgS=Q4)_1a7rpYc -9;sjRf:ljRNROEQRheblsHRkOb_0C#_dj__ -3sSOm=bCk_#j0__3d_k -MdS=QjB_pij_jjh7 _js; -R:fjjNRlOqERhR7.blsHRkOb_0C#_dj__ -3lSOm=bCk_#j0__3d_k -M4S=Qjb_F#O\D 3kOb_0C#_r44dS9 -QB4=pji_jhj_ j_7;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__d3SM -mb=Ok#_C0__jdk_3MSj -QOj=bCk_#d0r9Q -S4b=Ok#_C0__jdk_3M -d;sjRf:ljRNROEmR).blsHRkOb_0C#_dj__ -3bShm=_ -.gS=QjO_bkC_#0j__d34kM -4SQ=kOb_0C#_dj__M3kjs; -R:fjjNRlOQERhbeRsRHlO_bkC_#0j__.3Ss -mb=Ok#_C0__j.k_3MSd -QBj=pji_jhj_ j_7;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__.3Sl -mb=Ok#_C0__j.k_3MS4 -Qhj=_n4U_SH -QB4=pji_jhj_ j_7;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__.3SM -mb=Ok#_C0__j.k_3MSj -QOj=bCk_#.0r9Q -S4b=Ok#_C0__j.k_3M -d;sjRf:ljRNROEmR).blsHRkOb_0C#_.j__ -3bShm=_ -.US=QjO_bkC_#0j__.34kM -4SQ=kOb_0C#_.j__M3kjs; -R:fjjNRlOQERhbeRsRHlO_bkC_#0j__43Ss -mb=Ok#_C0__j4k_3MSd -QBj=pji_jhj_ j_7;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__43Sl -mb=Ok#_C0__j4k_3MS4 -Qbj=FO#_D3 \O_bkC_#0444r9Q -S4p=Bij_jj _h_;7j -fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__34_Mm -S=kOb_0C#_4j__M3kjQ -Sjb=Ok#_C09r4 -4SQ=kOb_0C#_4j__M3kds; -R:fjjNRlOmER)b.RsRHlO_bkC_#0j__43Sb -m_=h.S( -QOj=bCk_#j0__34_k -M4S=Q4O_bkC_#0j__43jkM;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_h4a_ -=Smhc_c_Sj -Qhj=__4jHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbH)lRWj_jjh_Qa -_.Shm=__c4jQ -Sj_=h4Hc_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvReqh_Qa -_4Shm=__d(jQ -Sj_=h4HU_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_ha4m -S=dh_d -_jS=Qjh._._SH -Q)4=1Oa_;R -sfjj:ROlNEhRQesRbHAlRtiqB_jjd_aQh_sj3 -=SmABtqid_jjh_Qa3_jk -MdS=Qjh4_4ds; -R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3Sl -mt=Aq_Bij_djQ_hajM3k4Q -Sjt=Aq_Bij_djQ -haS=Q4h4_4ds; -R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3SM -mt=Aq_Bij_djQ_hajM3kjQ -Sjt=Aq_Bij_jjOQ -S4t=Aq_Bij_djQ_hajM3kds; -R:fjjNRlOmER)b.RsRHlABtqid_jjh_Qa3_jbm -S=.h_.Q -Sjt=Aq_Bij_djQ_hajM3k4Q -S4t=Aq_Bij_djQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHle_vqQ_haj -3sSem=vQq_hja_3dkM -jSQ=#bF_ OD\M3k(D_O j_jjC_b;R -sfjj:ROlNEhRq7b.RsRHle_vqQ_haj -3lSem=vQq_hja_34kM -jSQ=kOb_0C#r -49S=Q4b_F#O\D 3(kM_ OD_jjj_;bC -fsRjR:jlENOR7qh.sRbHelRvQq_hja_3SM -mv=eqh_Qa3_jk -MjS=Qje_vqQ -haS=Q4e_vqQ_hajM3kds; -R:fjjNRlOmER)b.RsRHle_vqQ_haj -3bShm=_ -4US=Qje_vqQ_hajM3k4Q -S4v=eqh_Qa3_jk;Mj -fsRjR:jlENOReQhRHbslWR)_jjj_aQh_sj3 -=Sm)jW_jQj_hja_3dkM -jSQ=4h_4 -6;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_lj3 -=Sm)jW_jQj_hja_34kM -jSQ=.h_nSc -Qh4=_644;R -sfjj:ROlNEhRq7b.RsRHl)jW_jQj_hja_3SM -mW=)_jjj_aQh_kj3MSj -Q)j=Wj_jjh_QaQ -S4W=)_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR_)Wj_jjQ_haj -3bShm=_ -4cS=Qj)jW_jQj_hja_34kM -4SQ=_)Wj_jjQ_hajM3kjs; -R:fjjNRlOqERhR7.blsHR4t_dSn -m =)1_ am_zajJ_#lNkG_S4 -QBj=pji_jhj_ Q -S41=)ap_7Y9rj;R -sfjj:ROlNEhRq7b.RsRHltd_4Um -S=.h_jS6 -Q)j= a1 _amz_#j_JGlkN -_4S=Q4)_1a7rpY4 -9;sjRf:ljRNROEX.m)RHbslFRb#D_O )\317a_p6Y___HPj._Gr -j9Shm=__U(HQ -Sjp=Bij_jj _h -4SQ=a)1_Y7pr;j9 -fsRjR:jlENOR7qh.sRbH)lR a1 _amz_H._ -=Smhc_.c -_HS=Qjhj_46 -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\13)ap_7Y__6HjP_r -j9Sbm=FO#_D3 \)_1a7_pY6P_H_jHr9Q -Sj_=hgHU_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH__rF..S9 -m_=h._(cjQ -Sj_=h. -nUS=Q41qv_vqQt_dHr9s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_cHr9m -S=_1vqtvQqr_HcS9 -Q1j=vv_qQrtqc -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__rF.cS9 -m_=h._(6jQ -Sj_=h._nUHQ -S4v=1_Qqvtcqr9s; -R:fjjNRlOXERmR).blsHRkOb_0C#_jj__rG.jS9 -m_=hnHn_ -jSQ=iBp_jjj__h 7Sj -QO4=bCk_#j0r9s; -R:fjjNRlOQERhbeRsRHlB_pij_jjuH _ -=SmB_pij_jjuH _ -jSQ=iBp_jjj_;u -fsRjR:jlENOReQhRHbsl_RQ. -dcSAm= _))HQ -Sj =A)O)_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj._l_3d_sm -S=_1vqtvQqs_##_0#H__jld.__M3kdQ -Sjv=1_Qqvtdqr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jld.__ -3lS1m=vv_qQ_tq#0s##__Hj._l_3d_k -M4S=QjA) )_SH -Q14=vv_qQrtqd -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH___l.dM_3 -=Sm1qv_vqQt_##s0H#__lj_.__d3jkM -jSQ=iBp_jjj__u HQ -S4v=1_Qqvt#q_s##0_jH___l.dk_3M -d;sjRf:ljRNROEmR).blsHR_1vqtvQqs_##_0#H__jld.__ -3bShm=_g.( -jSQ=_1vqtvQqs_##_0#H__jld.__M3k4Q -S4v=1_Qqvt#q_s##0_jH___l.dk_3M -j;sjRf:ljRNROEX.m)RHbslFRb#D_O B\3Y Bp_q7v_46__GH_.m -S=nh_U -_HS=QjBpYB v_7q9r4 -4SQ=.h_. -j;sjRf:ljRNROEX.m)RHbslFRb#D_O B\3Y Bp_q7v_j6__GH_.m -S=nh_g -_HS=QjB_pij_jjuS -QB4=Y Bp_q7vr;j9 -fsRjR:jlENOReQhRHbslbROk#_C0r_H4S9 -mb=Ok#_C0r_H4S9 -QOj=bCk_#40r9s; -R:fjjNRlOQERhbeRsRHle_vqQ_haHm -S=qev_aQh_SH -Qej=vQq_h -a;sjRf:ljRNROEQRheblsHRqeu_H7_ -=Sme_uq7 -_HS=Qje_uq7s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rd -=SmO_bkC_#0H9rd -jSQ=kOb_0C#r;d9 -fsRjR:jlENOReQhRHbslbROk#_C0r_HjS9 -mb=Ok#_C0r_HjS9 -QOj=bCk_#j0r9s; -R:fjjNRlOqERhR7.blsHR4t_nSj -m_=h. -.jS=QjB_pij_jjuS -QB4=Y Bp_q7vr;j9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__Nj_.r_44S9 -m_=hgSj -Qhj=_j.(_SH -QO4=bCk_#d0r9s; -R:fjjNRlOQERhbeRsRHl7Baqij_7_SH -ma=7q_Bi7Hj_ -jSQ=q7aB7i_js; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__HNd._r -.9Shm=_4d4 -jSQ=q7aB7i_j -_HS=Q4e_uq7s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_jj___N..9r4 -=Smh4_d.Q -Sj_=h._(.HQ -S4b=Ok#_C09rj;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__NH_.r_4.S9 -m_=hd -4dS=QjB_pij_jjh7 _jQ -S4_=h.;n( -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04H4__.jr9m -S=4h_UHn_ -jSQ=dh_jHd_ -4SQ=dh_jHc_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r6 -=Sm1qv_vqQt_6Hr9Q -Sjv=1_Qqvt6qr9s; -R:fjjNRlOqERhR7.blsHR_71j_jj Ahqp4 __l#Jk_GNH -_jShm=_c.n_Sj -Q)j=W -_HS=Q41qv_vqQtr;69 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_M(O_D j_jjbjC_ -=Smb_F#O\D 3(kM_ OD_jjj__bCjQ -Sj_=hd_jUHQ -S4_=hd_jgHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__HFj._r -.9Shm=_(.n_Sj -Qhj=_jd4_SH -Qh4=_4d4_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_d__jH._Fr -j9Shm=_U.n_SH -QAj= _))OQ -S4p=Bij_jj _u_ -H;sjRf:ljRNROEQRheblsHRkOb_0C#_.Hr9m -S=kOb_0C#_.Hr9Q -Sjb=Ok#_C09r.;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44H__jF..r9m -S=.h_(Hj_ -jSQ=kOb_0C#r -49S=Q4O_bkCr#0. -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jj._Fr -49Shm=_..(_SH -QOj=bCk_#H0_r -49S=Q4O_bkC_#0H9rd;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44j__jFd.r9m -S=.h_(Hd_ -jSQ=kOb_0C#r -j9S=Q4O_bkCr#04 -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__rN.dS9 -m_=h. -gdS=Qjhd_4nQ -S4v=1_QqvtHq_r;c9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFjd__rF.dS9 -m_=h4_dnHQ -Sj_=hd_4dHQ -S4v=1_Qqvtdqr9s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_jHr9m -S=_1vqtvQqr_HjS9 -Q1j=vv_qQrtqj -9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HdS9 -mv=1_QqvtHq_r -d9S=Qj1qv_vqQtr;d9 -fsRjR:jlENOR7qh.sRbH7lRaiqB__7jjm -S=6h_( -_jS=Qj7Baqi__OHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHelRu7q__Sj -m_=h6jn_ -jSQ=a)1_SO -Qe4=uOq__ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLc_o NO_jjj_NH_.m -S=4h_4Sd -QAj=tiqB_jjj_SO -QB4=pji_juj_ ;_H +a;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_Nj_d__j4m +S=4h_(4n_ +jSQ=_q1j_jjHQ +S4t=Aq_Bij_djQ_haHs; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3ckMjo_LN_O j_djH_M04 +_HSbm=FO#_D3 \kjMc_NLoOj _dHj_M40__SH +Qbj=FO#_D3 \kjMc_NLoOj _dHj_M40_;R +sfjj:ROlNEhRQesRbH7lR1j_jjv_7q__j#kJlGHN_ +=Sm7j1_j7j_vjq__l#Jk_GNHQ +Sj1=7_jjj_q7v_#j_JGlkNs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\137_jjj_q7v_Vc_jm +S=#bF_ OD\137_jjj_q7v_Sc +Q7j=1j_jjv_7q__j#kJlGHN_ +4SQ=#bF_ OD\137_jjj_q7v_Vc_4s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\137_jjj_q7v_Vc_4m +S=#bF_ OD\137_jjj_q7v_Vc_4 +_jS=Qjqj1_j7j_vHq_ +4SQ=#bF_ OD\M3k.Lc_o NO_jjd_0HM;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)_ +=SmqtvQqz_A1q_7a7q_QO)__Sj +Qhj=_64(_SH +Qh4=_n4(_ +H;sjRf:ljRNROEQRheblsHR.Q_dSn +mW=)_jjj_SH +Q)j=Wj_jj;_O +fsRjR:jlENOReQhRHbslvReqh_Qa3_jsm +S=qev_aQh_kj3MSd +Qbj=FO#_D3 \k_M(O_D j_jjb +C;sjRf:ljRNROEq.h7RHbslvReqh_Qa3_jlm +S=qev_aQh_kj3MS4 +QOj=bCk_#40r9Q +S4F=b#D_O k\3MO(_Dj _jbj_Cs; +R:fjjNRlOqERhR7.blsHRqev_aQh_Mj3 +=Sme_vqQ_hajM3kjQ +Sjv=eqh_QaQ +S4v=eqh_Qa3_jk;Md +fsRjR:jlENOR.m)RHbslvReqh_Qa3_jbm +S=4h_UQ +Sjv=eqh_Qa3_jk +M4S=Q4e_vqQ_hajM3kjs; +R:fjjNRlOQERhbeRsRHlB_pim_zau_) 7 +_HSBm=pmi_zua_)7 __SH +QBj=pmi_zua_)7 _;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 34kM4D_O j_jj__M#O$M +=Smb_F#O\D 34kM4D_O j_jj__M#O$M +jSQ=iBp_u X_SO +QB4=pmi_zua_)7 __ +H;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k4O4_Dj _jMj__M#$O +_HSbm=FO#_D3 \k4M4_ OD_jjj_#M_$_MOHQ +SjF=b#D_O k\3M_44O_D j_jjM$_#M +O;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MOg_Dj _jMj__M#$Om +S=#bF_ OD\M3kgD_O j_jj__M#O$M +jSQ=iBp_jjj_1h_YrhBgS9 +Qb4=FO#_D3 \k4M4_ OD_jjj_#M_$_MOHs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k4Oc_Dj _jMj__M#$Om +S=#bF_ OD\M3k4Oc_Dj _jMj__M#$O +_jS=QjB_pij_jjhY_1hHB_r94j +4SQ=#bF_ OD\M3kgD_O j_jj__M#O$M_ +H;sjRf:ljRNROEQRheblsHR.Q_dS( +m7=z1j_jj +_HS=Qjz_71j_jjOs; +R:fjjNRlOQERhbeRsRHlQd_.Um +S=1p7_jjj_SH +Qpj=7j1_jOj_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM.o_LN_O j_djH +M0Sbm=FO#_D3 \k.M._NLoOj _dHj_Mj0_ +jSQ=1p7_jjj_SO +Qz4=7j1_jOj_;R +sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja__l#Jk_GNH__HNS. +m_=hUSj +Q1j=vv_qQrtq4S9 +Qb4=FO#_D3 \kcM4_ OD_jjj_#M_$;MO fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_#j_JGlkN__jNS. mv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jJ_#lNkG jSQ=qAtBji_dQj_hHa_ @@ -2893,317 +2629,596 @@ mv=1_Qqvt#q_s##0_jH___l.4k_3MSj QBj=pji_juj_ _HS=Q41qv_vqQt_##s0H#__lj_.__43dkM;R sfjj:ROlNE)Rm.sRbH1lRvv_qQ_tq#0s##__Hj._l_34_bm -S=Uh_nQ +S=(h_nQ Sjv=1_Qqvt#q_s##0_jH___l.4k_3MS4 Q14=vv_qQ_tq#0s##__Hj._l_34_k;Mj +fsRjR:jlENOR)Xm.sRbHtlR_44n +=Smk_M4BpYB v_7qr_4.S9 +QBj=Y Bp_q7vr +49S=Q4h._.js; +R:fjjNRlOXERmR).blsHR4t_6Sg +mM=k4Y_BB_p 7_vq49r4 +jSQ=iBp_jjj_ +u S=Q4BpYB v_7q9rj;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3ckMjo_LN_O j_djH_M04m +S=#bF_ OD\M3kcLj_o NO_jjd_0HM_S4 +QBj=pji_d]j_ +4SQ=iBp_u X_ +O;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H.S9 +mv=1_QqvtHq_r +.9S=Qj1qv_vqQtr;.9 +fsRjR:jlENOReQhRHbslFRb#D_O k\3M_.gO_D j_jjM8C_j +_HSbm=FO#_D3 \kgM._ OD_jjj__MC8Hj_ +jSQ=#bF_ OD\M3k.Og_Dj _jMj_Cj_8;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___Nnj9r. +=Smh6_44Q +Sjv=1_QqvtHq_r +.9S=Q4b_F#O\D 3.kMgD_O j_jjC_M__8jHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hn_Nr +.9Shm=_j46 +jSQ=4h_cSj +Q14=vv_qQ_tqH9rd;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMgD_O j_jjC_M_ +8jSbm=FO#_D3 \kgM._ OD_jjj__MC8Sj +QBj=pji_jhj_ j_7 +4SQ=#bF_ OD\M3k.Od_Dj _jMj_Cj_8;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kMdD_O j_jjC_M_ +8jSbm=FO#_D3 \kdM._ OD_jjj__MC8jj_ +jSQ=#bF_ OD\M3k.O4_Dj _jMj_Cj_8_SH +Qb4=FO#_D3 \k(M._ OD_jjj__MC8Hj_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3(kM_ OD_jjj_ +bCSbm=FO#_D3 \k_M(O_D j_jjbjC_ +jSQ=#bF_ OD\M3k6D_O j_jjC_b_SH +Qb4=FO#_D3 \k_MgO_D j_jjMHC_;R +sfjj:ROlNEhRQesRbH7lRaiqB__7jHm +S=q7aB7i_j +_HS=Qj7Baqij_7;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM4D_O j_jjC_M_ +8jSbm=FO#_D3 \k4M._ OD_jjj__MC8Sj +Q7j=aiqB__7jHQ +S4u=eq;_7 +fsRjR:jlENOReQhRHbslbROk#_C0__jds_3 +=SmO_bkC_#0j__d3dkM +jSQ=iBp_jjj__h 7 +j;sjRf:ljRNROEq.h7RHbslbROk#_C0__jdl_3 +=SmO_bkC_#0j__d34kM +jSQ=#bF_ OD\b3Ok#_C04_4r +d9S=Q4B_pij_jjh7 _js; +R:fjjNRlOqERhR7.blsHRkOb_0C#_dj__ +3MSOm=bCk_#j0__3d_k +MjS=QjO_bkCr#0dS9 +QO4=bCk_#j0__3d_k;Md +fsRjR:jlENOR.m)RHbslbROk#_C0__jdb_3 +=Smhg_. +jSQ=kOb_0C#_dj__M3k4Q +S4b=Ok#_C0__jdk_3M +j;sjRf:ljRNROEQRheblsHRkOb_0C#_.j__ +3sSOm=bCk_#j0__3._k +MdS=QjB_pij_jjh7 _js; +R:fjjNRlOqERhR7.blsHRkOb_0C#_.j__ +3lSOm=bCk_#j0__3._k +M4S=QjhU_4n +_HS=Q4B_pij_jjh7 _js; +R:fjjNRlOqERhR7.blsHRkOb_0C#_.j__ +3MSOm=bCk_#j0__3._k +MjS=QjO_bkCr#0.S9 +QO4=bCk_#j0__3._k;Md +fsRjR:jlENOR.m)RHbslbROk#_C0__j.b_3 +=SmhU_. +jSQ=kOb_0C#_.j__M3k4Q +S4b=Ok#_C0__j.k_3M +j;sjRf:ljRNROEQRheblsHRkOb_0C#_4j__ +3sSOm=bCk_#j0__34_k +MdS=QjB_pij_jjh7 _js; +R:fjjNRlOqERhR7.blsHRkOb_0C#_4j__ +3lSOm=bCk_#j0__34_k +M4S=Qjb_F#O\D 3kOb_0C#_r444S9 +QB4=pji_jhj_ j_7;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__43SM +mb=Ok#_C0__j4k_3MSj +QOj=bCk_#40r9Q +S4b=Ok#_C0__j4k_3M +d;sjRf:ljRNROEmR).blsHRkOb_0C#_4j__ +3bShm=_ +.(S=QjO_bkC_#0j__434kM +4SQ=kOb_0C#_4j__M3kjs; +R:fjjNRlOqERhR7.blsHRqev_aQh_S4 +m_=hdj(_ +jSQ=4h_U +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRqAtBji_dQj_h4a_ +=Smhd_d_Sj +Qhj=__..HQ +S41=)a;_O +fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haj +3sSAm=tiqB_jjd_aQh_kj3MSd +Qhj=_;g( +fsRjR:jlENOR7qh.sRbHAlRtiqB_jjd_aQh_lj3 +=SmABtqid_jjh_Qa3_jk +M4S=QjABtqid_jjh_QaQ +S4_=hg +(;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_haj +3MSAm=tiqB_jjd_aQh_kj3MSj +QAj=tiqB_jjj_SO +QA4=tiqB_jjd_aQh_kj3M +d;sjRf:ljRNROEmR).blsHRqAtBji_dQj_hja_3Sb +m_=h.S. +QAj=tiqB_jjd_aQh_kj3MS4 +QA4=tiqB_jjd_aQh_kj3M +j;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jF4cr9m +S=4h_UHg_ +jSQ=kOb_0C#_4Hr9Q +S4b=Ok#_C0r_Hd +9;sjRf:ljRNROEQRheblsHRkOb_0C#_4Hr9m +S=kOb_0C#_4Hr9Q +Sjb=Ok#_C09r4;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44Hc_Fr +.9Shm=_U4U_SH +QOj=bCk_#40r9Q +S4b=Ok#_C09r.;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44H9r. +=SmhU_4n +_HS=Qjhg_46 +_HS=Q4hg_4n;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4___Ncj9rd +=Smhg_4UQ +Sj_=h4_gjHQ +S4b=Ok#_C0r_H. +9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jNdcr9m +S=4h_gS( +Qhj=_j4g +4SQ=kOb_0C#r;d9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4___Nc.9r4 +=Smhg_4cQ +Sj_=h4_UUHQ +S4b=Ok#_C09rd;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44jc_N_44r9m +S=4h_gSd +Qhj=_g4U_SH +QO4=bCk_#j0r9s; +R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rd +=SmO_bkC_#0H9rd +jSQ=kOb_0C#r;d9 +fsRjR:jlENOReQhRHbslbROk#_C0r_H.S9 +mb=Ok#_C0r_H.S9 +QOj=bCk_#.0r9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\b3Ok#_C04_4_Nj_cr_j4S9 +m_=h4 +g.S=QjO_bkC_#0H9r. +4SQ=kOb_0C#_dHr9s; +R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rj +=SmO_bkC_#0H9rj +jSQ=kOb_0C#r;j9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__rNc4S9 +m_=h4 +g4S=QjhU_4gQ +S4b=Ok#_C0r_Hj +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_d9r. +=Smhc_4j +_jS=Qjhd_4. +_HS=Q41qv_vqQtr;.9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFddr9m +S=4h_dHn_ +jSQ=_1vqtvQq9rd +4SQ=#bF_ OD\M3k.Og_Dj _jMj_Cj_8_ +H;sjRf:ljRNROEq.h7RHbsl_Rt4 +cnShm=_d.4 +jSQ=.h_4S4 +Q)4=17a_p6Yr9s; +R:fjjNRlOqERhR7.blsHR4t_cSc +m_=h. +44S=Qjhj_.gQ +S41=)ap_7Y9rc;R +sfjj:ROlNEhRq7b.RsRHltc_4.m +S=.h_jSg +Q)j= a1 _amz_#j_JGlkN +_6S=Q4)_1a7rpYd +9;sjRf:ljRNROEq.h7RHbsl_Rt4 +dUShm=_6.j +jSQ=1) ma_zja__l#Jk_GN4Q +S41=)ap_7Y9r4;R +sfjj:ROlNEhRq7b.RsRHltd_4nm +S=1) ma_zja__l#Jk_GN4Q +Sjp=Bij_jj _h +4SQ=a)1_Y7pr;j9 fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj._Nr -c9Shm=_j.g -jSQ=.h_(S6 -Q14=vv_qQ_tqH9r6;R +49Shm=_ +UdS=Qjh6_..Q +S4v=1_QqvtHq_r;.9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_McLOoN j_jj__HNS. +m_=hgS( +QAj=tiqB_jjj_SO +QB4=pji_juj_ ;_H +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +49S1m=vv_qQ_tqH9r4 +jSQ=_1vqtvQq9r4;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Fj_c9r4 +=Smh6_.. +_jS=QjB_pij_jjhH _ +4SQ=_1vqtvQq9r4;R +sfjj:ROlNEmRX)b.RsRHlO_bkC_#0j9rj +=Smhn_. +jSQ=iBp_jjj__h 7Sj +QO4=bCk_#j0r9s; +R:fjjNRlOQERhbeRsRHle_vqQ_haHm +S=qev_aQh_SH +Qej=vQq_h +a;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.(O_D j_jjM8C_j +_.Shm=_g4g_S4 +QOj=bCk_#H0_r +j9S=Q4O_bkC_#0H9r4;R +sfjj:ROlNEhRQesRbHelRu7q__SH +mu=eq__7HQ +Sju=eq;_7 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4___Nc49rd +=Smhg_4gQ +Sj_=h4_gg4Q +S4b=Ok#_C0r_H. +9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jFdcr9m +S=4h_gHj_ +jSQ=kOb_0C#r +j9S=Q4O_bkCr#04 +9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_cHr9m +S=4kM_a)1_Y7p_cHr9Q +SjM=k41_)ap_7Y9rc;R +sfjj:ROlNEhRq7b.RsRHlk_M4)_1a7_pYHr_lcS9 +mM=k41_)ap_7Y__Hl9rc +jSQ=1) ma_zja__l#Jk_GNHQ +S4M=k41_)ap_7Yr_Hc +9;sjRf:ljRNROEq.h7RHbslFRb#D_O )\317a_p6Y__rHP.S9 +mF=b#D_O )\317a_p6Y___HPH9r. +jSQ=a)1_SO +Qk4=M)4_17a_pHY__Hl_r;d9 +fsRjR:jlENOReQhRHbslMRk41_)ap_7Yr_HdS9 +mM=k41_)ap_7Yr_HdS9 +Qkj=M)4_17a_pdYr9s; +R:fjjNRlOqERhR7.blsHR4kM_a)1_Y7p_lH_r +d9Skm=M)4_17a_pHY__dlr9Q +Sj =)1_ am_zajJ_#lNkG_SH +Qk4=M)4_17a_pHY_r;d9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr +49Sbm=FO#_D3 \)_1a7_pY6P_H_4Hr9Q +Sj1=)a +_OS=Q4k_M4)_1a7_pYH__lH9r.;R +sfjj:ROlNEhRQesRbHklRM)4_17a_pHY_r +.9Skm=M)4_17a_pHY_r +.9S=Qjk_M4)_1a7rpY. +9;sjRf:ljRNROEq.h7RHbslMRk41_)ap_7Y__Hl9r. +=Smk_M4)_1a7_pYHr_l.S9 +Q)j= a1 _amz_#j_JGlkN +_HS=Q4k_M4)_1a7_pYH9r.;R +sfjj:ROlNEhRq7b.RsRHl) 1az_ma +_4Shm=__dUjQ +Sj =)1_ am_zajJ_#lNkG_SH +Q)4= a1 _amz_ +H;sjRf:ljRNROEq.h7RHbsl R)1_ am_za.m +S=6h_gQ +Sj_=hdSU +Q)4=1Oa_;R +sfjj:ROlNEhRQesRbH)lR a1 _amz_#j_JGlkN +_HS)m= a1 _amz_#j_JGlkN +_HS=Qj) 1az_ma__j#kJlG +N;sjRf:ljRNROEQRheblsHR(h_(__HHm +S=(h_(__HHQ +Sj_=h(H(_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P__jNj.r9m +S=Uh_6Q +Sj_=h(H(__SH +Q)4= a1 _amz_#j_JGlkN;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_H_jjr9m +S=#bF_ OD\13)ap_7Y__6HHP_r +j9S=Qjh6_U_SH +Q)4=1Oa_;R +sfjj:ROlNEmRX)b.RsRHlb_F#O\D 3a)1_Y7p_H6_P__jGj.r9m +S=(h_( +_HS=QjB_pij_jjhS +Q)4=17a_pjYr9s; +R:fjjNRlOXERmR).blsHR4t_dS( +mM=k41_)ap_7Y9r. +jSQ=1) ma_zja__l#Jk_GN4Q +S41=)ap_7Y9r4;R +sfjj:ROlNEhRq7b.RsRHl) 1az_ma__j#kJlGSN +m =)1_ am_zajJ_#lNkG +jSQ=1) ma_zja__l#Jk_GN(Q +S41=)ap_7Y9r(;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P9r( +=Smb_F#O\D 3a)1_Y7p_H6_Pr_H(S9 +Q)j=1Oa_ +4SQ=4kM_a)1_Y7p_lH__UHr9s; +R:fjjNRlOQERhbeRsRHlk_M4)_1a7_pYH9rU +=Smk_M4)_1a7_pYH9rU +jSQ=4kM_a)1_Y7pr;U9 +fsRjR:jlENOR7qh.sRbHklRM)4_17a_pHY__Ulr9m +S=4kM_a)1_Y7p_lH_r +U9S=Qj) 1az_ma__j#kJlGHN_ +4SQ=4kM_a)1_Y7p_UHr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\13)ap_7Y__6HnPr9m +S=#bF_ OD\13)ap_7Y__6HHP_r +n9S=Qj)_1aOQ +S4M=k41_)ap_7Y__Hlr_H( +9;sjRf:ljRNROEQRheblsHR4kM_a)1_Y7p_(Hr9m +S=4kM_a)1_Y7p_(Hr9Q +SjM=k41_)ap_7Y9r(;R +sfjj:ROlNEhRq7b.RsRHlk_M4)_1a7_pYHr_l(S9 +mM=k41_)ap_7Y__Hl9r( +jSQ=1) ma_zja__l#Jk_GNHQ +S4M=k41_)ap_7Yr_H( +9;sjRf:ljRNROEq.h7RHbslFRb#D_O )\317a_p6Y__rHP6S9 +mF=b#D_O )\317a_p6Y___HPH9r6 +jSQ=a)1_SO +Qk4=M)4_17a_pHY__Hl_r;n9 +fsRjR:jlENOReQhRHbslMRk41_)ap_7Yr_HnS9 +mM=k41_)ap_7Yr_HnS9 +Qkj=M)4_17a_pnYr9s; +R:fjjNRlOqERhR7.blsHR4kM_a)1_Y7p_lH_r +n9Skm=M)4_17a_pHY__nlr9Q +Sj =)1_ am_zajJ_#lNkG_SH +Qk4=M)4_17a_pHY_r;n9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)_1a7_pY6P_Hr +c9Sbm=FO#_D3 \)_1a7_pY6P_H_cHr9Q +Sj1=)a +_OS=Q4k_M4)_1a7_pYH__lH9r6;R +sfjj:ROlNEhRQesRbHklRM)4_17a_pHY_r +69Skm=M)4_17a_pHY_r +69S=Qjk_M4)_1a7rpY6 +9;sjRf:ljRNROEq.h7RHbslMRk41_)ap_7Y__Hl9r6 +=Smk_M4)_1a7_pYHr_l6S9 +Q)j= a1 _amz_#j_JGlkN +_HS=Q4k_M4)_1a7_pYH9r6;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3a)1_Y7p_H6_P9rd +=Smb_F#O\D 3a)1_Y7p_H6_Pr_HdS9 +Q)j=1Oa_ +4SQ=4kM_a)1_Y7p_lH__cHr9s; +R:fjjNRlOQERhbeRsRHlQd_.gm +S=_q1j_djHQ +Sj1=q_jjd_ +O;sjRf:ljRNROEq.h7RHbsl1Rq_jjd__7jj__HNS. +m_=hUSg +Qqj=1d_jj +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jNj.r9m +S=Uh_UQ +Sj_=h(S4 +Q14=vv_qQ_tqH9rj;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jNj.r9m +S=Uh_(Q +Sjt=Aq_Bij_djQ_haHQ +S4_=h.;cg +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__Nj_.9r4 +=Smhn_U +jSQ=qAtBji_dQj_hHa_ +4SQ=.h_cHg_;R sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_H6__Nj_.m S=Uh_cQ Sjp=Bij_jj _u 4SQ=_1vqtvQq9rj;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3kOb_0C#__44j__jNd.r9m -S=Uh_6Q +sfjj:ROlNEhRQesRbHqlR1d_jjj_7_SH +m1=q_jjd__7jHQ +Sj1=q_jjd_;7j +fsRjR:jlENOReQhRHbsl R)1_ am_zaHm +S=1) ma_zHa_ +jSQ=1) ma_z +a;sjRf:ljRNROEq.h7RHbslMRkd#_N_jjd +=Smk_MdNj#_dHj_ +jSQ=1) ma_zSa +Qk4=MN4_#d_jj;_H +fsRjR:jlENOR)Xm.sRbHtlR_g4c +=Smk_M4)_1a7rpYUS9 +Q)j= a1 _amz_#j_JGlkN +_(S=Q4)_1a7rpY( +9;sjRf:ljRNROEX.m)RHbsl_Rt4 +c(Skm=M)4_17a_p(Yr9Q Sj_=h. -(dS=Q4O_bkCr#0d -9;sjRf:ljRNROEq.h7RHbslFRb#D_O O\3bCk_#40_4__jj._N_djr9m -S=.h_gSc -Qhj=_d.(_SH -QO4=bCk_#H0_r;.9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__Nj_.9r4 -=SmhU_U -jSQ=.h_(S. -QO4=bCk_#H0_r;j9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \O_bkC_#04j4__Nj_.r_j4S9 -m_=h. -ggS=QjO_bkC_#0H9r. -4SQ=kOb_0C#_dHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jj__M#O$M -=Smb_F#O\D 3gkM_ OD_jjj_#M_$ -MOS=QjB_pij_jjhY_1hgBr9Q -S4F=b#D_O k\3M_44O_D j_jjM$_#MHO_;R -sfjj:ROlNEhRQesRbHBlRpmi_zua_)7 __SH -mp=Biz_ma)_u __7HQ -Sjp=Biz_ma)_u ;_7 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k4M4_ OD_jjj_#M_$ -MOSbm=FO#_D3 \k4M4_ OD_jjj_#M_$ -MOS=QjB_pi _XuOQ -S4p=Biz_ma)_u __7Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jN.._r -j9Shm=_ -g(S=QjA) )_SH -Qh4=_n4d_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__rN.jS9 -m_=h4 -j4S=Qjh4_U -4SQ=_1vqtvQqr_Hj -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__rNcjS9 -m_=h4 -4nS=Qjhn_.UQ -S4v=1_Qqvtjqr9s; +4dS=Q4)_1a7rpYn +9;sjRf:ljRNROEX.m)RHbsl_Rt4 +c6Skm=M)4_17a_pnYr9Q +Sj_=h. +44S=Q4)_1a7rpY6 +9;sjRf:ljRNROEX.m)RHbsl_Rt4 +cdSkm=M)4_17a_p6Yr9Q +Sj_=h. +jgS=Q4)_1a7rpYc +9;sjRf:ljRNROEX.m)RHbsl_Rt4 +c4Skm=M)4_17a_pcYr9Q +Sj =)1_ am_zajJ_#lNkG_S6 +Q)4=17a_pdYr9s; +R:fjjNRlOXERmR).blsHR4t_dSg +mM=k41_)ap_7Y9rd +jSQ=.h_jS6 +Q)4=17a_p.Yr9s; +R:fjjNRlOqERhR7.blsHR_71j_jj Ahqp4 __l#Jk_GN4 +_HShm=_4.c_Sj +Qhj=_U.c +4SQ=#bF_ OD\M3kd#_N_jjd__8jHs; R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_jjS9 m_=h4_4(HQ -Sj_=h(H(_ -4SQ=4h_jH4_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__Fj_cr_jjS9 -m_=h(Hd_ -jSQ=_1vqtvQqr_H4S9 -Q14=vv_qQ_tqH9r6;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__Fj_.9rj -=Smh(_(_SH -Qhj=_n44_SH -Q)4=1Oa_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r4 -=Sm1qv_vqQt_4Hr9Q -Sjv=1_Qqvt4qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jFjcr9m -S=Uh_4 -_jS=QjB_pij_jjhS -Q14=vv_qQrtq4 -9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HnS9 -mv=1_QqvtHq_r -n9S=Qj1qv_vqQtr;n9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj._N_njr9m -S=.h_USc -Qhj=_4.6 -4SQ=_1vqtvQqr_Hn -9;sjRf:ljRNROEQRheblsHRiBp_jjj__h Hm -S=iBp_jjj__h HQ -Sjp=Bij_jj _h;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Nj_.r_jcS9 -m_=h. -g4S=QjB_pij_jjhH _ -4SQ=_1vqtvQqr_Hc -9;sjRf:ljRNROEQRheblsHR.Q_dS( -m1=q_jjd_SH -Qqj=1d_jj;_O -fsRjR:jlENOR7qh.sRbHqlR1d_jjj_7_Hj__ -N.Shm=_.4j -jSQ=_q1j_djHQ +Sj_=hUHU_ +4SQ=4h_dH(_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__njr_jjS9 +mF=b#D_O 1\3Q_Z 7_vqnr_jjS9 +Qhj=__U(HQ S41=)a;_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__Nj_.9rj -=Smhj_4jQ -Sjt=Aq_Bij_djQ_haHQ -S4_=h( -n;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jj._Nr -49Shm=_ -ggS=QjABtqid_jjh_Qa -_HS=Q4hn_(_ -H;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H.S9 -mv=1_QqvtHq_r -.9S=Qj1qv_vqQtr;.9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj._Nr -49Shm=_ -gdS=QjhU_( -4SQ=_1vqtvQqr_H. -9;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_#j_JGlkN__HH._N -=Smh._g -jSQ=_1vqtvQq9r4 -4SQ=#bF_ OD\M3k4Oc_Dj _jMj__M#$Os; -R:fjjNRlOQERhbeRsRHlMu X_q1uB7 _j -_HSMm= _Xu1Buq j_7_SH -QMj= _Xu1Buq j_7;R -sfjj:ROlNEhRq7b.RsRHlk_M4Nj#_dHj__ -N.Shm=_ -UgS=QjABtqid_jjh_Qa -_HS=Q4Mu X_q1uB7 _j;_H -fsRjR:jlENOReQhRHbsl_RQ. -d6Spm=7j1_jHj_ -jSQ=1p7_jjj_ -O;sjRf:ljRNROEQRheblsHR.Q_dSn -m7=z1j_jj -_HS=Qjz_71j_jjOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.L._o NO_jjd_0HM -=Smb_F#O\D 3.kM.o_LN_O j_djH_M0HQ -Sj7=p1j_jj -_OS=Q4z_71j_jjOs; -R:fjjNRlOqERhR7.blsHR_71j_jj Ahqp4 __l#Jk_GN4m -S=_71j_jj Ahqp4 __l#Jk_GN4Q -Sj_=h. -U.S=Q4b_F#O\D 3dkM__N#j_dj8Hj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 34kMcD_O j_jj__M#O$M -=Smb_F#O\D 34kMcD_O j_jj__M#O$M_Sj -QBj=pji_jhj__h1YBr_H4 -j9S=Q4b_F#O\D 3gkM_ OD_jjj_#M_$_MOHs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 34kM4D_O j_jj__M#O$M_SH -mF=b#D_O k\3M_44O_D j_jjM$_#MHO_ -jSQ=#bF_ OD\M3k4O4_Dj _jMj__M#$Os; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_SH -m_=h._cnjQ -Sj_=h4_jUHQ -S4_=h4_jgHs; -R:fjjNRlOqERhR7.blsHRq71B_i4Q_ha4J_#lNkG_SH -m_=h._c6jQ -Sj_=hgH._ -4SQ=#bF_ OD\M3kd#_N_jjd__8jHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj_r -j9Sbm=FO#_D3 \1 QZ_q7v_jn_r -j9S=Qjhj_4j -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj_r -49Sbm=FO#_D3 \1 QZ_q7v_jn_r -49S=Qjhg_g_SH -Q)4=1Oa_;R +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__4jr9m +S=#bF_ OD\Q31Z7 _vnq__4jr9Q +Sj_=hUHn_ +4SQ=a)1_ +O;sjRf:ljRNROEQRheblsHR_1vqtvQq__HH9r( +=Sm1qv_vqQt_HH_r +(9S=Qj1qv_vqQt_(Hr9s; +R:fjjNRlOQERhbeRsRHlB_pij_jj7H4_ +=SmB_pij_jj7H4_ +jSQ=iBp_jjj_;74 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \B_pij_jjuY_1h.B__Nj_.9rj +=Smb_F#O\D 3iBp_jjj_1u_Y_hB.9rj +jSQ=iBp_jjj_ +7jS=Q4B_pij_jj7H4_;R sfjj:ROlNEhRq7b.RsRHlk_M.sHI__ -NcShm=_n.6 -jSQ=qAtBji_dQj_hHa_ -4SQ=1) ma_z -a;sjRf:ljRNROEQRheblsHRiBp_jjj__74Hm -S=iBp_jjj__74HQ -Sjp=Bij_jj4_7;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3iBp_jjj_1u_Y_hB.__jNj.r9m -S=#bF_ OD\p3Bij_jj__u1BYh_j.r9Q -Sjp=Bij_jjj_7 -4SQ=iBp_jjj__74Hs; -R:fjjNRlOqERhR7.blsHRdkM__N#j_djH._N -=Smh4_4.Q -Sj_=h. -6nS=Q4Mu X_q1uB7 _j;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k(Md__N#j_dj8Hj___N.jm -S=4h_jSg -Qhj=_jdc +N.Shm=_ +ggS=QjABtqid_jjh_Qa +_HS=Q4) 1az_mas; +R:fjjNRlOqERhR7.blsHR4kM__N#j_jjH._N +=SmhU_g +jSQ=qAtBji_dQj_hSa +Q)4= a1 _amz;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkM(#_N_jjd__8jH._N_Sj +m_=hgSc +Qhj=_n44 4SQ=#bF_ OD\M3kd#_N_jjd__8jHs; R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdN(_#d_jjj_8_NH_.m -S=4h_jSU -Qhj=_ -UjS=Q4b_F#O\D 3dkM__N#j_dj8Hj_;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_h4a__l#Jk_GNj._N -=Smqj1_jQj_h4a__l#Jk -GNS=Qj1qv_vqQt_6Hr9Q -S4F=b#D_O k\3MNd_#d_jjj_8_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O q\3jv_7q__dj._N -=Smb_F#O\D 3_qj7_vqdQ -Sjt=Aq_Bij_djQ_haHQ -S47=z1j_jj;_O -fsRjR:jlENOReQhRHbsl4Rq_SH -m4=q_SH -Qqj=4;_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_t]Q]__dH._N -=Smhj_4cQ -Sj4=q_SH -QA4=tiqB_jjd_aQh_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O q\3vqQt_1Az_q hA_p 7_vqp_mWd__HNS. -m_=h4 -jdS=QjqO4_ -4SQ=qAtBji_dQj_hHa_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)__Nj_.m -S=dh_jS6 -QAj=tiqB_jjd_aQh -4SQ=_)Wj_jjHs; -R:fjjNRlOQERhbeRsRHlQd_.Um -S=_)Wj_jjHQ -SjW=)_jjj_ -O;sjRf:ljRNROEq.h7RHbslFRb#D_O 7\31j_jjv_7q__cVjj__ -N.Shm=_4dj -jSQ=_q1j_jj7 -vqS=Q4)jW_jHj_;R -sfjj:ROlNEhRq7b.RsRHl7j1_j7j_v.q__l#Jk_GNH._N -=Smh4_g -jSQ=iBp_jjd_S] -QB4=p i_XOu_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Nj_.r_46S9 -m_=h. -UgS=QjB_pij_jjhS -Q14=vv_qQ_tqH9rn;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Nj_.r_j6S9 -m_=h. -UUS=QjA) )_SH -Q14=vv_qQrtq6 -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__rN.6S9 -m_=h. -UnS=Qjh(_.nQ -S4v=1_QqvtHq_r;69 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj._Nr -n9Shm=_d.U -jSQ=nh_.Q -S4v=1_QqvtHq_r;(9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjc_Fr -49Shm=__(UjQ -Sjp=Bij_jj _h_SH -Q14=vv_qQrtq4 -9;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jjc_Fr -j9Shm=__(nHQ -Sj7=p1j_jj -_HS=Q4z_71j_jjHs; -R:fjjNRlOqERhR7.blsHRZ1Q v_7q__d#kJlGHN__ -F.Sbm=FO#_D3 \k_M6LOoN d_jjM_H0__8HQ -Sjt=Aq_Bij_djQ -haS=Q4ABtqid_jjh_Qa;_7 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MdNj#_d8j_j__HH._F -=Smb_F#O\D 3dkM__N#j_dj8Hj_ -jSQ=_q1j_dj7Hj_ -4SQ=)A );_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \B_pij_jjhY_1h.B__Fj_c9rj -=Smhc_.g -_HS=QjB_pij_jj7Hj_ -4SQ=iBp_jjj_;74 -fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqdJ_#lNkG_SH -m_=h._cUjQ -Sj1=)a -_OS=Q4b_F#O\D 36kM_NLoOj _dHj_M80__ -H;sjRf:ljRNROEq.h7RHbslMRkUH_OHHM__Sj -m_=h._c(jQ -Sj =MX1u_u qB__7jHQ -S4M=k6H_OHHM_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Fj_.9r6 -=Smh(_.n -_jS=QjB_pij_jjuS -Q14=vv_qQrtqn -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__rF.nS9 -m_=hnj._ -jSQ=.h_nHU_ -4SQ=_1vqtvQq9rn;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__H4__ -F.Shm=_g.n_SH -Qqj=1j_jj +S=gh_dQ +Sj_=h. +64S=Q4b_F#O\D 3dkM__N#j_dj8Hj_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3_qj7_vqd__jNS. +mF=b#D_O q\3jv_7q +_dS=QjABtqid_jjh_Qa +_HS=Q4z_71j_jjOs; +R:fjjNRlOQERhbeRsRHlqH4_ +=SmqH4_ +jSQ=_q4Os; +R:fjjNRlOqERhR7.blsHR#bF_ OD\v3qQ_tqA_z1 Ahqp7 _v]q_Q_t]d__HNS. +m_=hgS4 +Qqj=4 _HS=Q4ABtqid_jjh_Qa;_H -fsRjR:jlENOR)Xm.sRbHblRFO#_D3 \kcM._NLoOj _dHj_MH0__Gj_.m -S=6h_j -_HS=QjBpYB v_7q9rj -4SQ=BBYp7 _v4qr9s; -R:fjjNRlOqERhR7.blsHR4kMcl_NH_oNL_k#8NN0_s8H_jH_ -=Smhn_.6 -_jS=QjABtqid_jjh_Qa -_HS=Q4)jW_jHj_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)__Sj -mv=qQ_tqA_z17qqa_)7Q_jO_ -jSQ=dh_jH6_ -4SQ=dh_jHn_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3_71j_jj7_vqcj_V_Sj -mF=b#D_O 7\31j_jjv_7q__cjQ -Sj_=hd_j4HQ -S4F=b#D_O k\3M_.cLOoN d_jjM_H0__Hj;_H -fsRjR:jlENOReQhRHbslvR1_QqvtHq__(Hr9m -S=_1vqtvQq__HH9r( -jSQ=_1vqtvQqr_H( -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_HH_r -.9Shm=_g.6_Sj -Qhj=__U.HQ -S4_=hUHd_;R -sfjj:ROlNEhRq7b.RsRHlqj1_j7j_v4q__l#Jk_GNHm -S=.h_6jU_ -jSQ=iBp_u X_HO_ -4SQ=#bF_ OD\M3k.Lc_o NO_jjd_0HM_jH__ -H;sjRf:ljRNROEQRheblsHR_q1j_jj7_vqHm -S=_q1j_jj7_vqHQ -Sj1=q_jjj_q7v;R -sfjj:ROlNEhRQesRbHQlR_g.d -=Smqj1_jHj_ -jSQ=_q1j_jjOs; -R:fjjNRlOqERhR7.blsHRnkM__N#j_djj._N -=Smk_MnNj#_dSj -Qqj=1j_jjv_7q -_HS=Q4qj1_jHj_;R -sfjj:ROlNEhRQesRbHBlRpji_d]j__SH -mp=Bid_jj__]HQ -Sjp=Bid_jj;_] -fsRjR:jlENOR7qh.sRbHBlRpji_d]j__H.__ -N.Shm=_(dj -jSQ=iBp_jjd_H]_ -4SQ=.h_( -(;sjRf:ljRNROEX.m)RHbsl_Rt4 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_Wpm_Hd__ +N.Shm=_ +gjS=QjqO4_ +4SQ=qAtBji_dQj_hHa_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___Fnjc_Nr +j9Shm=_c4j +jSQ=4h_dS. +Q14=vv_qQrtqj +9;sjRf:ljRNROEQRheblsHR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___lcjs_3 +=Smk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hljc__M3kdQ +Sjt=Aq_Bij_djQ;ha +fsRjR:jlENOR7qh.sRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_c__j3Sl +mM=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]Hc_l_3j_k +M4S=Qj1qv_vqQt_HH_r +(9S=Q4ABtqid_jjh_Qas; +R:fjjNRlOqERhR7.blsHR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___lcjM_3 +=Smk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hljc__M3kjQ +Sjv=qQ_tqA_z1 Ahqp7 _v]q_Q +t]S=Q4k_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hljc__M3kds; +R:fjjNRlOmER)b.RsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hljc__ +3bShm=_ +(US=Qjk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hljc__M3k4Q +S4M=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]Hc_l_3j_k;Mj +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjc_Fr +j9Shm=__(4jQ +Sjp=Bij_jj _h +4SQ=_1vqtvQq9r4;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jFjcr9m +S=.h_cHg_ +jSQ=1p7_jjj_SH +Qz4=7j1_jHj_;R +sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_#d_JGlkN__HFS. +mF=b#D_O k\3ML6_o NO_jjd_0HM_H8_ +jSQ=qAtBji_dQj_hSa +QA4=tiqB_jjd_aQh_ +7;sjRf:ljRNROEQRheblsHR.Q_cSj +mW=)_SH +Q)j=W;_O +fsRjR:jlENOReQhRHbsl1R7_jjj_q hA_p 4J_#lNkG_H4__3lcsm +S=_71j_jj Ahqp4 __l#Jk_GN4__Hlkc3MSd +Q1j=vv_qQrtq6 +9;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p 4J_#lNkG_H4__3lclm +S=_71j_jj Ahqp4 __l#Jk_GN4__Hlkc3MS4 +Q)j=W +_HS=Q41qv_vqQtr;69 +fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_#4_JGlkN__4Hc_l3SM +m1=7_jjj_q hA_p 4J_#lNkG_H4__3lck +MjS=Qj1qv_vqQt_dHr9Q +S41=7_jjj_q hA_p 4J_#lNkG_H4__3lck;Md +fsRjR:jlENOR.m)RHbsl1R7_jjj_q hA_p 4J_#lNkG_H4__3lcbm +S=.h_cSU +Q7j=1j_jjh_ q Ap_#4_JGlkN__4Hc_l34kM +4SQ=_71j_jj Ahqp4 __l#Jk_GN4__Hlkc3M +j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MNd_#d_jjj_8_Fj_.m +S=#bF_ OD\M3kd#_N_jjd__8jHQ +Sj1=q_jjd__7jHQ +S4 =A)O)_;R +sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_#d_JGlkN +_HShm=_n.c_Sj +Q)j=1Oa_ +4SQ=#bF_ OD\M3k6o_LN_O j_djH_M08;_H +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +69S1m=vv_qQ_tqH9r6 +jSQ=_1vqtvQq9r6;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __4#kJlGHN__Sj +m_=h._c6jQ +SjW=)_SH +Q14=vv_qQrtq6 +9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_d(Nj#_d8j_j +_HShm=_c.c_Sj +Qhj=__gdHQ +S4_=hgHc_;R +sfjj:ROlNEhRq7b.RsRHlqj1_jQj_h4a__l#Jk_GNHm +S=.h_cjd_ +jSQ=_1vqtvQqr_H6S9 +Qb4=FO#_D3 \k_MdNj#_d8j_j;_H +fsRjR:jlENOR7qh.sRbH7lR1iqB4h_Qa__4#kJlGHN_ +=Smhc_.. +_jS=Qjhj_U_SH +Qb4=FO#_D3 \k_MdNj#_d8j_j;_H +fsRjR:jlENOReQhRHbsl_Rh._cUHm +S=.h_cHU_ +jSQ=.h_c +U;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_n9r6 +=Smh6_4(Q +Sj_=h4 +dUS=Q41qv_vqQt_nHr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hn_N_6jr9m +S=4h_6SU +QAj= _))HQ +S4v=1_Qqvt6qr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hn_N_64r9m +S=4h_6Sg +QBj=pji_juj_ +_HS=Q41qv_vqQt_6Hr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hn_Nr +n9Shm=_j4n +jSQ=4h_cS. +Q14=vv_qQ_tqH9r(;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___Nnj9rn +=Smhn_44Q +Sjv=1_QqvtHq_r +n9S=Q4b_F#O\D 3(kM_ OD_jjj__8jHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hd_F_jjr9m +S=4h_dH._ +jSQ=)A ) +_OS=Q4B_pij_jjuH _;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rFd6S9 +m_=h4_dUjQ +Sjp=Bij_jj _h_SH +Q14=vv_qQrtq6 +9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HcS9 +mv=1_QqvtHq_r +c9S=Qj1qv_vqQtr;c9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFcdr9m +S=4h_cj4_ +jSQ=4h_dH._ +4SQ=_1vqtvQq9rc;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9rn +=Sm1qv_vqQt_nHr9Q +Sjv=1_Qqvtnqr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hd_Fr +n9Shm=_.4c_Sj +Qhj=_.4d_SH +Q14=vv_qQrtqn +9;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_SH +m1=q_jjd_jjj_h1YB +_HS=Qjqj1_djj_j1j_Y;hB +fsRjR:jlENOReQhRHbslpRBij_jjj_7_SH +mp=Bij_jjj_7_SH +QBj=pji_j7j_js; +R:fjjNRlOqERhR7.blsHR#bF_ OD\p3Bij_jj__h1BYh_j.__rNcjS9 +mF=b#D_O B\3pji_jhj__h1YBr_.jS9 +QBj=pji_j7j_j +_HS=Q4B_pij_jj7 +4;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_n__jFj.r9m +S=4h_dH(_ +jSQ=4h_jHc_ +4SQ=a)1_ +O;sjRf:ljRNROEX.m)RHbsl_Rt4 n(Shm=_n.. jSQ=pQu_r7j.S9 QQ4=uOp_r;.9 @@ -3224,12 +3239,6 @@ sfjj:ROlNEhRq7b.RsRHlk_Md#CHx =Smk_Md#CHx jSQ=Z1Q v_7q9r4 4SQ=Z1Q v_7qr_Hj -9;sjRf:ljRNROEQRheblsHR_q1j_dj7Hj_ -=Smqj1_d7j_j -_HS=Qjqj1_d7j_js; -R:fjjNRlOQERhbeRsRHlqr_H. -c9Sqm=_.HrcS9 -Qqj=_.Orc 9;sjRf:ljRNROEq.h7RHbslMRk.L._C_ssj._N_S4 mM=k.L._C_ss4Q Sj1=q_jjd_SH @@ -3237,46 +3246,38 @@ QA4=tiqB_jjj_ O;sjRf:ljRNROEQRheblsHRzwu_h1 1H _ =Smw_uz11 h _HS=Qjw_uz11 h ;_O -fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]Hm -S=QqvtAq_z 1_hpqA v_7qQ_]tH]_ -jSQ=QqvtAq_z 1_hpqA v_7qQ_]t -];sjRf:ljRNROEQRheblsHR4kM_QqvtAq_z 1_hpqA v_7qQ_]tj]___l.js_3 -=Smk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__jlj.__M3kdQ -Sjt=Aq_Bij_djQ;ha -fsRjR:jlENOR7qh.sRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lj_.__j3Sl -mM=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]j._l_3j_k -M4S=Qj1qv_vqQt_(Hr9Q -S4t=Aq_Bij_djQ;ha -fsRjR:jlENOR7qh.sRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lj_.__j3SM -mM=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]j._l_3j_k -MjS=QjqtvQqz_A1h_ q Ap_q7v_t]Q] -_HS=Q4k_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__jlj.__M3kds; -R:fjjNRlOmER)b.RsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__jlj.__ -3bShm=_ -(4S=Qjk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__jlj.__M3k4Q -S4M=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]j._l_3j_k;Mj -fsRjR:jlENOReQhRHbsl_RQ. -cjS)m=W -_HS=Qj)OW_;R -sfjj:ROlNEhRQesRbHklRM14_vv_qQ_tq(__Hls.3 -=Smk_M41qv_vqQt_H(__3l.k -MdS=Qj1qv_vqQtr;69 -fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tq(__Hll.3 -=Smk_M41qv_vqQt_H(__3l.k -M4S=Qj)HW_ -4SQ=_1vqtvQq9r6;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_H(__3l.Mm -S=4kM__1vqtvQq__(H._l3jkM -jSQ=_1vqtvQqr_HdS9 -Qk4=M14_vv_qQ_tq(__Hlk.3M -d;sjRf:ljRNROEmR).blsHR4kM__1vqtvQq__(H._l3Sb -m_=h. -U.S=Qjk_M41qv_vqQt_H(__3l.k -M4S=Q4k_M41qv_vqQt_H(__3l.k;Mj -fsRjR:jlENOR7qh.sRbHBlRpji_d]j__H.__ -F.Shm=_(.(_Sj -Qqj=1j_jjv_7q -_HS=Q4B_pi _XuO;_H +fsRjR:jlENOR7qh.sRbHklRMN4_#d_jjm +S=4kM__N#j_djHQ +Sjt=Aq_Bij_djQ_haHQ +S4 =MX1u_u qB__7jHs; +R:fjjNRlOqERhR7.blsHR4kMcl_NH_oNL_k#8NN0_s8H +=SmkcM4_HNloLN_k8#_N_0N8 +HsS=QjABtqid_jjh_Qa +_HS=Q4)jW_jHj_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__rNndS9 +m_=h4 +6.S=Qjhd_4nQ +S4v=1_QqvtHq_r;c9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HNjn_r +d9Shm=_d46 +jSQ=)A ) +_HS=Q41qv_vqQtr;d9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HN4n_r +d9Shm=_c46 +jSQ=iBp_jjj__u HQ +S4v=1_QqvtHq_r;d9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HNcnr9m +S=4h_6S6 +Qhj=_44c +4SQ=_1vqtvQqr_H6 +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_NH_nr_jcS9 +m_=h4 +6nS=QjB_pij_jjhH _ +4SQ=_1vqtvQqr_Hc +9;sjRf:ljRNROEq.h7RHbslMRkn8_D#j_jj +_4Skm=Mkn_8j#_j4j_ +jSQ=_71j_jj AhqpS +Q74=1d_jj;_H fsRjR:jlENOReQhRHbsl7Rp1j_jjh_Qa _HSpm=7j1_jQj_hHa_ jSQ=1p7_jjj_aQh;R @@ -3284,52 +3285,45 @@ sfjj:ROlNEhRq7b.RsRHlk_MnD_8#j jjSkm=MDn_8j#_jSj Qpj=7j1_jQj_hHa_ 4SQ=nkM_#k8_jjj_ -4;sjRf:ljRNROEQRheblsHR1z7_jjj_aQh_SH -m7=z1j_jjh_Qa -_HS=Qjz_71j_jjQ;ha -fsRjR:jlENOR7qh.sRbHklRMkn_8j#_jSj -mM=kn8_k#j_jjQ -Sj7=z1j_jjh_Qa -_HS=Q4k_Mnk_8#j_jj4s; -R:fjjNRlOQERhbeRsRHlqr_H. -69Sqm=_.Hr6S9 -Qqj=_.Or6 -9;sjRf:ljRNROEQRheblsHRHq_r9.n +4;sjRf:ljRNROEQRheblsHRHq_r9.c =Smqr_H. -n9S=Qjqr_O.;n9 -fsRjR:jlENOReQhRHbsl_RqH(r.9m -S=Hq_r9.( -jSQ=Oq_r9.(;R -sfjj:ROlNEhRQesRbHqlR_.HrUS9 -m_=qHUr.9Q -Sj_=qOUr.9s; +c9S=Qjqr_O.;c9 +fsRjR:jlENOReQhRHbsl_RqH6r.9m +S=Hq_r9.6 +jSQ=Oq_r9.6;R +sfjj:ROlNEhRQesRbHqlR_.HrnS9 +m_=qHnr.9Q +Sj_=qOnr.9s; R:fjjNRlOQERhbeRsRHlqr_H. -g9Sqm=_.HrgS9 -Qqj=_.Org -9;sjRf:ljRNROEQRheblsHRHq_r9dj -=Smqr_Hd -j9S=Qjqr_Od;j9 -fsRjR:jlENOReQhRHbsl_RqH4rd9m -S=Hq_r9d4 -jSQ=Oq_r9d4;R -sfjj:ROlNEhRQesRbHqlR_4HrUS9 -m_=qHUr49Q -Sj_=qOUr49s; -R:fjjNRlOQERhbeRsRHlqr_H4 -g9Sqm=_4HrgS9 -Qqj=_4Org -9;sjRf:ljRNROEX.m)RHbsl_Rt4 -n6Shm=_c.. -jSQ=pQu_r7jjS9 -QQ4=uOp_r;j9 -fsRjR:jlENOR)Xm.sRbHtlR_n4n -=Smh._.6Q -Sju=Qpj_7r -49S=Q4Q_upO9r4;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_#j_JGlkN -_HSqm=vqQt_1Az_q hA_p 7_vq]]Qt_#j_JGlkN -_HS=QjqtvQqz_A1h_ q Ap_q7v_t]Q]__j#kJlG -N;sjRf:ljRNROEQRheblsHRiBp_amz_ u)__6jHm +(9Sqm=_.Hr(S9 +Qqj=_.Or( +9;sjRf:ljRNROEQRheblsHRHq_r9.U +=Smqr_H. +U9S=Qjqr_O.;U9 +fsRjR:jlENOReQhRHbsl_RqHgr.9m +S=Hq_r9.g +jSQ=Oq_r9.g;R +sfjj:ROlNEhRQesRbHqlR_dHrjS9 +m_=qHjrd9Q +Sj_=qOjrd9s; +R:fjjNRlOQERhbeRsRHlqr_Hd +49Sqm=_dHr4S9 +Qqj=_dOr4 +9;sjRf:ljRNROEQRheblsHRHq_r94U +=Smqr_H4 +U9S=Qjqr_O4;U9 +fsRjR:jlENOReQhRHbsl_RqHgr49m +S=Hq_r94g +jSQ=Oq_r94g;R +sfjj:ROlNEmRX)b.RsRHltn_46m +S=.h_.Sc +QQj=u7p_j9rj +4SQ=pQu_jOr9s; +R:fjjNRlOXERmR).blsHR4t_nSn +m_=h. +.6S=QjQ_up74jr9Q +S4u=Qpr_O4 +9;sjRf:ljRNROEQRheblsHRiBp_amz_ u)__6jHm S=iBp_amz_ u)__6jHQ Sjp=Biz_ma)_u j_6;R sfjj:ROlNEhRQesRbHhlR_c.._SH @@ -3341,187 +3335,164 @@ jSQ=.h_. 6;sjRf:ljRNROEQRheblsHR.h_.Hn_ =Smh._.n _HS=Qjh._.ns; -R:fjjNRlOQERhbeRsRHlQc_..m -S=_71j_djHQ -Sj1=7_jjd_ -O;sjRf:ljRNROEq.h7RHbslMRkn8_D#j_jj -_4Skm=Mkn_8j#_j4j_ -jSQ=_71j_jj AhqpS -Q74=1d_jj;_H -fsRjR:jlENOReQhRHbsl_Rh4_j.Hm -S=4h_jH._ -jSQ=4h_j -.;sjRf:ljRNROEq.h7RHbsl1R7_jjd__7jjm -S=6h_j -_jS=Qj7j1_dHj_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl RMX1u_u qB__7jjm -S=6h_6 -_jS=Qj)_1aOQ -S4 =MX1u_u qB_HO_;R -sfjj:ROlNEhRq7b.RsRHlQ_up7jj_r -j9Shm=__6.jQ -Sju=Qp__OH9rj -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsluRQpj_7_4jr9m -S=6h_d -_jS=QjQ_upOr_H4S9 -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlQ_up7jj_r -.9Shm=__6cjQ -Sju=Qp__OH9r. -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsluRQpd_jjr_4jS9 -m_=hdjj_ -jSQ=.h_d -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_44r9m -S=dh_4 -_jS=Qjhc_._SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_dj49r. -=Smh._d_Sj -Qhj=__.6HQ +R:fjjNRlOQERhbeRsRHlz_71j_jjQ_haHm +S=1z7_jjj_aQh_SH +Qzj=7j1_jQj_h +a;sjRf:ljRNROEq.h7RHbslMRkn8_k#j_jjm +S=nkM_#k8_jjj +jSQ=1z7_jjj_aQh_SH +Qk4=Mkn_8j#_j4j_;R +sfjj:ROlNEhRQesRbHQlR_..c +=Sm7j1_dHj_ +jSQ=_71j_djOs; +R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]__j#kJlGHN_ +=SmqtvQqz_A1h_ q Ap_q7v_t]Q]__j#kJlGHN_ +jSQ=QqvtAq_z 1_hpqA v_7qQ_]tj]__l#Jk;GN +fsRjR:jlENOReQhRHbsl_RhUHg_ +=Smhg_U_SH +Qhj=_;Ug +fsRjR:jlENOR7qh.sRbH7lRaiqB__7jjm +S=6h_( +_jS=Qj7Baqi__OHQ S41=)a;_O -fsRjR:jlENOReQhRHbslQR1Z7 _vjq__3j_sm -S=Z1Q v_7q__jjk_3MSd -Qhj=_U.c;R +fsRjR:jlENOR7qh.sRbHQlRu7p_jr_jjS9 +m_=h6j._ +jSQ=pQu_HO_r +j9S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRpQu__7jj9r4 +=Smhd_6_Sj +QQj=uOp__4Hr9Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbHQlRu7p_jr_j.S9 +m_=h6jc_ +jSQ=pQu_HO_r +.9S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRpQu_jjd_j4r9m +S=dh_j +_jS=Qjhd_._SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_dj49r4 +=Smh4_d_Sj +Qhj=__.cHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHQlRujp_d4j_r +.9Shm=__d.jQ +Sj_=h.H6_ +4SQ=a)1_ +O;sjRf:ljRNROEQRheblsHRZ1Q v_7q__jjs_3 +=Sm1 QZ_q7v_jj__M3kdQ +Sj_=h.;cn +fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__j3Sl +mQ=1Z7 _vjq__3j_k +M4S=Qjb_F#O\D 3Z1Q v_7qr_njS9 +Qh4=_n.c;R sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_jj__ -3lS1m=Q_Z 7_vqj__j34kM +3MS1m=Q_Z 7_vqj__j3jkM +jSQ=Z1Q v_7q9rj +4SQ=Z1Q v_7q__jjk_3M +d;sjRf:ljRNROEmR).blsHRZ1Q v_7q__jjb_3 +=Smh +_cS=Qj1 QZ_q7v_jj__M3k4Q +S4Q=1Z7 _vjq__3j_k;Mj +fsRjR:jlENOReQhRHbslQR1Z7 _vjq__34_sm +S=Z1Q v_7q__j4k_3MSd +Qhj=_n.c;R +sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_4j__ +3lS1m=Q_Z 7_vqj__434kM jSQ=#bF_ OD\Q31Z7 _vnq_r -j9S=Q4hc_.Us; -R:fjjNRlOqERhR7.blsHRZ1Q v_7q__jjM_3 -=Sm1 QZ_q7v_jj__M3kjQ -SjQ=1Z7 _vjqr9Q -S4Q=1Z7 _vjq__3j_k;Md -fsRjR:jlENOR.m)RHbslQR1Z7 _vjq__3j_bm -S=ch_ -jSQ=Z1Q v_7q__jjk_3MS4 -Q14=Q_Z 7_vqj__j3jkM;R -sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqj__43Ss -mQ=1Z7 _vjq__34_k -MdS=Qjhc_.Us; -R:fjjNRlOqERhR7.blsHRZ1Q v_7q__j4l_3 -=Sm1 QZ_q7v_4j__M3k4Q -SjF=b#D_O 1\3Q_Z 7_vqn9r4 -4SQ=.h_c -U;sjRf:ljRNROEq.h7RHbslQR1Z7 _vjq__34_Mm -S=Z1Q v_7q__j4k_3MSj -Q1j=Q_Z 7rvq4S9 -Q14=Q_Z 7_vqj__43dkM;R -sfjj:ROlNE)Rm.sRbH1lRQ_Z 7_vqj__43Sb -m_=h6Q -SjQ=1Z7 _vjq__34_k -M4S=Q41 QZ_q7v_4j__M3kjs; -R:fjjNRlOQERhbeRsRHlQ_upj_djj__j3Ss -mu=Qpd_jj__jjk_3MSd -Qbj=FO#_D3 \H;bD -fsRjR:jlENOR7qh.sRbHQlRujp_djj__3j_lm -S=pQu_jjd_jj__M3k4Q -Sju=Qpr_OjS9 -Qb4=FO#_D3 \H;bD -fsRjR:jlENOR7qh.sRbHQlRujp_djj__3j_Mm -S=pQu_jjd_jj__M3kjQ -Sju=Qpd_jjr_OjS9 -QQ4=ujp_djj__3j_k;Md -fsRjR:jlENOR.m)RHbsluRQpd_jj__jjb_3 -=Smhd_. -jSQ=pQu_jjd_jj__M3k4Q +49S=Q4hc_.ns; +R:fjjNRlOqERhR7.blsHRZ1Q v_7q__j4M_3 +=Sm1 QZ_q7v_4j__M3kjQ +SjQ=1Z7 _v4qr9Q +S4Q=1Z7 _vjq__34_k;Md +fsRjR:jlENOR.m)RHbslQR1Z7 _vjq__34_bm +S=6h_ +jSQ=Z1Q v_7q__j4k_3MS4 +Q14=Q_Z 7_vqj__43jkM;R +sfjj:ROlNEhRQesRbHQlRujp_djj__3j_sm +S=pQu_jjd_jj__M3kdQ +SjF=b#D_O H\3b +D;sjRf:ljRNROEq.h7RHbsluRQpd_jj__jjl_3 +=SmQ_upj_djj__j34kM +jSQ=pQu_jOr9Q +S4F=b#D_O H\3b +D;sjRf:ljRNROEq.h7RHbsluRQpd_jj__jjM_3 +=SmQ_upj_djj__j3jkM +jSQ=pQu_jjd_jOr9Q S4u=Qpd_jj__jjk_3M -j;sjRf:ljRNROEQRheblsHRpQu_jjd_4j__ -3sSQm=ujp_djj__34_k -MdS=Qjb_F#O\D 3DHb;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__43Sl -mu=Qpd_jj__j4k_3MS4 -QQj=uOp_r -49S=Q4b_F#O\D 3DHb;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__43SM -mu=Qpd_jj__j4k_3MSj -QQj=ujp_dOj_r -49S=Q4Q_upj_djj__43dkM;R -sfjj:ROlNE)Rm.sRbHQlRujp_djj__34_bm -S=.h_cQ -Sju=Qpd_jj__j4k_3MS4 -QQ4=ujp_djj__34_k;Mj -fsRjR:jlENOReQhRHbsluRQpd_jj__j.s_3 -=SmQ_upj_djj__.3dkM -jSQ=#bF_ OD\b3HDs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_.j__ -3lSQm=ujp_djj__3._k -M4S=QjQ_upO9r. -4SQ=#bF_ OD\b3HDs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_.j__ -3MSQm=ujp_djj__3._k -MjS=QjQ_upj_djO9r. -4SQ=pQu_jjd_.j__M3kds; -R:fjjNRlOmER)b.RsRHlQ_upj_djj__.3Sb -m_=h.S6 -QQj=ujp_djj__3._k -M4S=Q4Q_upj_djj__.3jkM;R -sfjj:ROlNEhRQesRbHhlR_c4j_SH -m_=h4_jcHQ -Sj_=h4;jc -fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j -3sSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MSd -Qbj=FO#_D3 \k_M6LOoN d_jjM_H0;_8 -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_lj3 -=SmqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk -M4S=Qjhj_4c -_HS=Q4b_F#O\D 36kM_NLoOj _dHj_M80_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]3_jMm -S=QqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM -jSQ=QqvtAq_z 1_hpqA v_7qQ_]tS] -Qq4=vqQt_1Az_q hA_p 7_vq]]Qt_kj3M -d;sjRf:ljRNROEmR).blsHRQqvtAq_z 1_hpqA v_7qQ_]tj]_3Sb -m_=h.Sj -Qqj=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MS4 -Qq4=vqQt_1Az_q hA_p 7_vq]]Qt_kj3M -j;sjRf:ljRNROEQRheblsHR_Atj_jjj -3sSAm=tj_jj3_jk -MdS=Qjb_F#O\D 3UkM__Loj;dj -fsRjR:jlENOR7qh.sRbHAlRtj_jj3_jlm -S=_Atj_jjjM3k4Q -Sjt=A_jjd_SO -Qb4=FO#_D3 \k_MULjo_d -j;sjRf:ljRNROEq.h7RHbsltRA_jjj_Mj3 -=SmAjt_jjj_3jkM -jSQ=_Atj_jjOQ -S4t=A_jjj_kj3M -d;sjRf:ljRNROEmR).blsHR_Atj_jjj +d;sjRf:ljRNROEmR).blsHRpQu_jjd_jj__ 3bShm=_ -.4S=QjAjt_jjj_34kM -4SQ=_Atj_jjjM3kjs; -R:fjjNRlOqERhR7.blsHR_71j_jj Ahqp4 _ -=Smhj_n -jSQ=4h_4Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbHAlRtj_jj -_4Shm=__dcjQ -Sj_=h.H4_ +.dS=QjQ_upj_djj__j34kM +4SQ=pQu_jjd_jj__M3kjs; +R:fjjNRlOQERhbeRsRHlQ_upj_djj__43Ss +mu=Qpd_jj__j4k_3MSd +Qbj=FO#_D3 \H;bD +fsRjR:jlENOR7qh.sRbHQlRujp_djj__34_lm +S=pQu_jjd_4j__M3k4Q +Sju=Qpr_O4S9 +Qb4=FO#_D3 \H;bD +fsRjR:jlENOR7qh.sRbHQlRujp_djj__34_Mm +S=pQu_jjd_4j__M3kjQ +Sju=Qpd_jjr_O4S9 +QQ4=ujp_djj__34_k;Md +fsRjR:jlENOR.m)RHbsluRQpd_jj__j4b_3 +=Smhc_. +jSQ=pQu_jjd_4j__M3k4Q +S4u=Qpd_jj__j4k_3M +j;sjRf:ljRNROEQRheblsHRpQu_jjd_.j__ +3sSQm=ujp_djj__3._k +MdS=Qjb_F#O\D 3DHb;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__.3Sl +mu=Qpd_jj__j.k_3MS4 +QQj=uOp_r +.9S=Q4b_F#O\D 3DHb;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__.3SM +mu=Qpd_jj__j.k_3MSj +QQj=ujp_dOj_r +.9S=Q4Q_upj_djj__.3dkM;R +sfjj:ROlNE)Rm.sRbHQlRujp_djj__3._bm +S=.h_6Q +Sju=Qpd_jj__j.k_3MS4 +QQ4=ujp_djj__3._k;Mj +fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_S4 +m_=hnSj +Qhj=_ +44S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_Atj_jj4m +S=dh_c +_jS=Qjh4_._SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q] +_4Shm=__d6jQ +Sj_=h.Hj_ 4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]4m -S=dh_6 -_jS=Qjhj_._SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_S4 -m_=hdjn_ -jSQ=4h_g +O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_m4W_ +=Smhn_d_Sj +Qhj=__4gHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_h4a_ +=Smhg_d_Sj +Qhj=__4nHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbH)lRWj_jjv_7q +_.Shm=__cjjQ +Sj_=h4H6_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_S. +m_=hcj4_ +jSQ=4h_c _HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR1z7_jjj_aQh_S4 -m_=hdjg_ -jSQ=4h_n +R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_S4 +m_=hcj._ +jSQ=4h_d _HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_)Wj_jj7_vq.m -S=ch_j -_jS=Qjh6_4_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlp_71j_jjQ_ha4m -S=ch_. -_jS=Qjhd_4_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hB4m -S=ch_d -_jS=Qjh._4_SH +R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_S4 +m_=hcjd_ +jSQ=4h_. +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_q1j_jjQ_ha4m +S=ch_c +_jS=Qjhj_4_SH Q)4=1Oa_;R sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_h4a_ =Smh6_c_Sj @@ -3531,108 +3502,61 @@ O;sjRf:ljRNROEq.h7RHbsljRq_q7v_S4 m_=hcjn_ jSQ=Uh__SH Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlqj1_j7j_v4q_ -=SmhU_c_Sj -Qhj=_Hn_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_S4 -m_=hcjg_ -jSQ=dh__SH +sfjj:ROlNEhRq7b.RsRHl7j1_d7j_j +_jShm=__6jjQ +Sj1=7_jjd_SH Q)4=1Oa_;R -sfjj:ROlNEhRQesRbHklRMDn_8j#_jHj_ -=Smk_MnD_8#j_jjHQ -SjM=kn8_D#j_jjs; -R:fjjNRlOQERhbeRsRHl7j1_j7j_vjq_3Ss -m1=7_jjj_q7v_kj3MSd -Qhj=_j.n;R -sfjj:ROlNEhRq7b.RsRHl7j1_j7j_vjq_3Sl -m1=7_jjj_q7v_kj3MS4 -Qbj=FO#_D3 \7j1_j7j_vcq_ -4SQ=.h_n -j;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_Mj3 -=Sm7j1_j7j_vjq_3jkM -jSQ=_71j_jj7 -vqS=Q47j1_j7j_vjq_3dkM;R -sfjj:ROlNE)Rm.sRbH7lR1j_jjv_7q3_jbm -S=dh_ -jSQ=_71j_jj7_vqjM3k4Q -S41=7_jjj_q7v_kj3M -j;sjRf:ljRNROEQRheblsHR_q1j_jj7_vqj -3sSqm=1j_jjv_7q3_jk -MdS=Qjh6_.Us; -R:fjjNRlOqERhR7.blsHR_q1j_jj7_vqj -3lSqm=1j_jjv_7q3_jk -M4S=Qjb_F#O\D 3.kMco_LN_O j_djH_M0H -_jS=Q4h6_.Us; -R:fjjNRlOqERhR7.blsHR_q1j_jj7_vqj -3MSqm=1j_jjv_7q3_jk -MjS=Qjqj1_j7j_vSq -Qq4=1j_jjv_7q3_jk;Md -fsRjR:jlENOR.m)RHbsl1Rq_jjj_q7v_bj3 -=Smh -_nS=Qjqj1_j7j_vjq_34kM -4SQ=_q1j_jj7_vqjM3kjs; -R:fjjNRlOQERhbeRsRHlq7j_vjq_3Ss -mj=q_q7v_kj3MSd -Qbj=FO#_D3 \k_M6LOoN d_jjM_H0;_8 -fsRjR:jlENOR7qh.sRbHqlRjv_7q3_jlm -S=_qj7_vqjM3k4Q -SjF=b#D_O q\3jv_7q -_dS=Q4b_F#O\D 36kM_NLoOj _dHj_M80_;R -sfjj:ROlNEhRq7b.RsRHlq7j_vjq_3SM -mj=q_q7v_kj3MSj -Qqj=jv_7qQ -S4j=q_q7v_kj3M -d;sjRf:ljRNROEmR).blsHR_qj7_vqj -3bShm=_SU -Qqj=jv_7q3_jk -M4S=Q4q7j_vjq_3jkM;R -sfjj:ROlNEhRQesRbHhlR__g.Hm -S=gh_. -_HS=Qjh._g;R -sfjj:ROlNEhRQesRbH7lR1iqB4h_Qa3_jsm -S=q71B_i4Q_hajM3kdQ -Sj_=h.;c6 -fsRjR:jlENOR7qh.sRbH7lR1iqB4h_Qa3_jlm -S=q71B_i4Q_hajM3k4Q -Sj_=hgH._ -4SQ=.h_c -6;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_Mj3 -=Sm7B1qiQ4_hja_3jkM -jSQ=q71B_i4Q -haS=Q47B1qiQ4_hja_3dkM;R -sfjj:ROlNE)Rm.sRbH7lR1iqB4h_Qa3_jbm -S=gh_ -jSQ=q71B_i4Q_hajM3k4Q -S41=7q4Bi_aQh_kj3M -j;sjRf:ljRNROEQRheblsHR_q1j_jjQ_haj -3sSqm=1j_jjh_Qa3_jk -MdS=Qjqj1_jQj_h4a__l#Jk;GN -fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa3_jlm -S=_q1j_jjQ_hajM3k4Q -Sj1=q_jjj_aQh -4SQ=_q1j_jjQ_ha4J_#lNkG;R +sfjj:ROlNEhRq7b.RsRHlMu X_q1uB7 _j +_jShm=__66jQ +Sj1=)a +_OS=Q4Mu X_q1uBO __ +H;sjRf:ljRNROEq.h7RHbsluReq__7jm +S=6h_n +_jS=Qj)_1aOQ +S4u=eq__OHs; +R:fjjNRlOQERhbeRsRHlhj_U_SH +m_=hUHj_ +jSQ=Uh_js; +R:fjjNRlOQERhbeRsRHl7B1qiQ4_hja_3Ss +m1=7q4Bi_aQh_kj3MSd +Qhj=_..c;R +sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja_3Sl +m1=7q4Bi_aQh_kj3MS4 +Qhj=__UjHQ +S4_=h.;c. +fsRjR:jlENOR7qh.sRbH7lR1iqB4h_Qa3_jMm +S=q71B_i4Q_hajM3kjQ +Sj1=7q4Bi_aQh +4SQ=q71B_i4Q_hajM3kds; +R:fjjNRlOmER)b.RsRHl7B1qiQ4_hja_3Sb +m_=hgQ +Sj1=7q4Bi_aQh_kj3MS4 +Q74=1iqB4h_Qa3_jk;Mj +fsRjR:jlENOReQhRHbsl1Rq_jjj_aQh_sj3 +=Smqj1_jQj_hja_3dkM +jSQ=.h_c +d;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_lj3 +=Smqj1_jQj_hja_34kM +jSQ=_1vqtvQqr_H6S9 +Qh4=_d.c;R sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja_3SM m1=q_jjj_aQh_kj3MSj -Q1j=vv_qQ_tqH9r6 -4SQ=_q1j_jjQ_hajM3kds; -R:fjjNRlOmER)b.RsRHlqj1_jQj_hja_3Sb -m_=h4Sj -Qqj=1j_jjh_Qa3_jk -M4S=Q4qj1_jQj_hja_3jkM;R -sfjj:ROlNEhRQesRbHhlR_..U_SH -m_=h._U.HQ -Sj_=h.;U. -fsRjR:jlENOReQhRHbsl1R7_jjj_q hA_p j -3sS7m=1j_jjh_ q Ap_kj3MSd -Q7j=1j_jjh_ q Ap_#4_JGlkN;_4 -fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_lj3 -=Sm7j1_j j_hpqA 3_jk -M4S=Qj7j1_j j_hpqA Q -S41=7_jjj_q hA_p 4J_#lNkG_ +Qqj=1j_jjh_QaQ +S41=q_jjj_aQh_kj3M +d;sjRf:ljRNROEmR).blsHR_q1j_jjQ_haj +3bShm=_ +4jS=Qjqj1_jQj_hja_34kM +4SQ=_q1j_jjQ_hajM3kjs; +R:fjjNRlOQERhbeRsRHl7j1_j j_hpqA 3_jsm +S=_71j_jj Ahqpj _3dkM +jSQ=.h_c 4;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p j -3MS7m=1j_jjh_ q Ap_kj3MSj -Qhj=_..U_SH +3lS7m=1j_jjh_ q Ap_kj3MS4 +Qhj=_U.c_SH +Qh4=_4.c;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA 3_jMm +S=_71j_jj Ahqpj _3jkM +jSQ=_71j_jj AhqpS Q74=1j_jjh_ q Ap_kj3M d;sjRf:ljRNROEmR).blsHR_71j_jj Ahqpj _3Sb m_=h4S4 @@ -3640,11 +3564,11 @@ Q7j=1j_jjh_ q Ap_kj3MS4 Q74=1j_jjh_ q Ap_kj3M j;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_sj3 =Smqj1_djj_j1j_Y_hBjM3kdQ -Sj_=h.;cn +Sj_=h.;cc fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1hjB_3Sl m1=q_jjd_jjj_h1YB3_jk M4S=Qjqj1_djj_j1j_Y -hBS=Q4hc_.ns; +hBS=Q4hc_.cs; R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_Mj3 =Smqj1_djj_j1j_Y_hBjM3kjQ SjF=b#D_O k\3MNd_#d_jjj_8 @@ -3668,54 +3592,105 @@ R:fjjNRlOmER)b.RsRHlp_71j_jjQ_haj 3bShm=_ 4dS=Qjp_71j_jjQ_hajM3k4Q S47=p1j_jjh_Qa3_jk;Mj -fsRjR:jlENOReQhRHbslWR)_jjj_q7v_sj3 -=Sm)jW_j7j_vjq_3dkM -jSQ=#bF_ OD\M3k6o_LN_O j_djH_M08s; +fsRjR:jlENOReQhRHbslWR)_jjj_aQh_sj3 +=Sm)jW_jQj_hja_3dkM +jSQ=4h_4 +6;sjRf:ljRNROEq.h7RHbslWR)_jjj_aQh_lj3 +=Sm)jW_jQj_hja_34kM +jSQ=.h_cS6 +Qh4=_644;R +sfjj:ROlNEhRq7b.RsRHl)jW_jQj_hja_3SM +mW=)_jjj_aQh_kj3MSj +Q)j=Wj_jjh_QaQ +S4W=)_jjj_aQh_kj3M +d;sjRf:ljRNROEmR).blsHR_)Wj_jjQ_haj +3bShm=_ +4cS=Qj)jW_jQj_hja_34kM +4SQ=_)Wj_jjQ_hajM3kjs; +R:fjjNRlOQERhbeRsRHlkcM4_HNloLN_k8#_N_0N8_HsHm +S=4kMcl_NH_oNL_k#8NN0_s8H_SH +Qkj=M_4cNolHNk_L#N_808N_H +s;sjRf:ljRNROEQRheblsHR_)Wj_jj7_vqj +3sS)m=Wj_jjv_7q3_jk +MdS=Qjb_F#O\D 36kM_NLoOj _dHj_M80_;R +sfjj:ROlNEhRq7b.RsRHl)jW_j7j_vjq_3Sl +mW=)_jjj_q7v_kj3MS4 +Qkj=M_4cNolHNk_L#N_808N_HHs_ +4SQ=#bF_ OD\M3k6o_LN_O j_djH_M08s; R:fjjNRlOqERhR7.blsHR_)Wj_jj7_vqj -3lS)m=Wj_jjv_7q3_jk -M4S=Qjhn_.6Q -S4F=b#D_O k\3ML6_o NO_jjd_0HM_ -8;sjRf:ljRNROEq.h7RHbslWR)_jjj_q7v_Mj3 -=Sm)jW_j7j_vjq_3jkM -jSQ=_)Wj_jj7 -vqS=Q4)jW_j7j_vjq_3dkM;R -sfjj:ROlNE)Rm.sRbH)lRWj_jjv_7q3_jbm -S=4h_6Q -SjW=)_jjj_q7v_kj3MS4 -Q)4=Wj_jjv_7q3_jk;Mj -fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa3_jsm -S=1z7_jjj_aQh_kj3MSd -Qbj=FO#_D3 \k_Md8j#_d8j_js; -R:fjjNRlOqERhR7.blsHR1z7_jjj_aQh_lj3 -=Smz_71j_jjQ_hajM3k4Q -Sjj=q_SO -Qb4=FO#_D3 \k_Md8j#_d8j_js; -R:fjjNRlOqERhR7.blsHR1z7_jjj_aQh_Mj3 -=Smz_71j_jjQ_hajM3kjQ -Sj7=z1j_jjh_QaQ -S47=z1j_jjh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbsl7Rz1j_jjh_Qa3_jbm -S=4h_nQ -Sj7=z1j_jjh_Qa3_jk -M4S=Q4z_71j_jjQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHlhj_4d -_HShm=_d4j_SH -Qhj=_d4j;R +3MS)m=Wj_jjv_7q3_jk +MjS=Qj)jW_j7j_vSq +Q)4=Wj_jjv_7q3_jk;Md +fsRjR:jlENOR.m)RHbslWR)_jjj_q7v_bj3 +=Smh6_4 +jSQ=_)Wj_jj7_vqjM3k4Q +S4W=)_jjj_q7v_kj3M +j;sjRf:ljRNROEQRheblsHR1z7_jjj_aQh_sj3 +=Smz_71j_jjQ_hajM3kdQ +SjF=b#D_O k\3M8d_#d_jjj_8;R +sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_haj +3lSzm=7j1_jQj_hja_34kM +jSQ=_qjOQ +S4F=b#D_O k\3M8d_#d_jjj_8;R +sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_haj +3MSzm=7j1_jQj_hja_3jkM +jSQ=1z7_jjj_aQh +4SQ=1z7_jjj_aQh_kj3M +d;sjRf:ljRNROEmR).blsHR1z7_jjj_aQh_bj3 +=Smhn_4 +jSQ=1z7_jjj_aQh_kj3MS4 +Qz4=7j1_jQj_hja_3jkM;R +sfjj:ROlNEhRQesRbHhlR__gjHm +S=gh_j +_HS=Qjhj_g;R sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj 3sSqm=vqQt_1Az_q hA_p 7_vqp_mWjM3kdQ SjF=b#D_O k\3ML6_o NO_jjd_0HM_ 8;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3Sl mv=qQ_tqA_z1 Ahqp7 _vpq_mjW_34kM -jSQ=4h_jHd_ -4SQ=#bF_ OD\M3k6o_LN_O j_djH_M08s; -R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA v_7qm_pW3_jMm -S=QqvtAq_z 1_hpqA v_7qm_pW3_jk -MjS=QjqtvQqz_A1h_ q Ap_q7v_Wpm -4SQ=QqvtAq_z 1_hpqA v_7qm_pW3_jk;Md -fsRjR:jlENOR.m)RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3Sb -m_=h4Sg -Qqj=vqQt_1Az_q hA_p 7_vqp_mWjM3k4Q -S4v=qQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM;R +jSQ=gh_j +_HS=Q4b_F#O\D 36kM_NLoOj _dHj_M80_;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_Mj3 +=SmqtvQqz_A1h_ q Ap_q7v_Wpm_kj3MSj +Qqj=vqQt_1Az_q hA_p 7_vqp +mWS=Q4qtvQqz_A1h_ q Ap_q7v_Wpm_kj3M +d;sjRf:ljRNROEmR).blsHRQqvtAq_z 1_hpqA v_7qm_pW3_jbm +S=4h_gQ +Sjv=qQ_tqA_z1 Ahqp7 _vpq_mjW_34kM +4SQ=QqvtAq_z 1_hpqA v_7qm_pW3_jk;Mj +fsRjR:jlENOReQhRHbsl_RhgH4_ +=Smh4_g_SH +Qhj=_;g4 +fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j +3sSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MSd +Qbj=FO#_D3 \k_M6LOoN d_jjM_H0;_8 +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_lj3 +=SmqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk +M4S=Qjh4_g_SH +Qb4=FO#_D3 \k_M6LOoN d_jjM_H0;_8 +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_Mj3 +=SmqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk +MjS=QjqtvQqz_A1h_ q Ap_q7v_t]Q]Q +S4v=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kds; +R:fjjNRlOmER)b.RsRHlqtvQqz_A1h_ q Ap_q7v_t]Q]3_jbm +S=.h_jQ +Sjv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3k4Q +S4v=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kjs; +R:fjjNRlOQERhbeRsRHlAjt_jjj_3Ss +mt=A_jjj_kj3MSd +Qbj=FO#_D3 \k_MULjo_d +j;sjRf:ljRNROEq.h7RHbsltRA_jjj_lj3 +=SmAjt_jjj_34kM +jSQ=_Atj_djOQ +S4F=b#D_O k\3MLU_od_jjs; +R:fjjNRlOqERhR7.blsHR_Atj_jjj +3MSAm=tj_jj3_jk +MjS=QjAjt_jOj_ +4SQ=_Atj_jjjM3kds; +R:fjjNRlOmER)b.RsRHlAjt_jjj_3Sb +m_=h.S4 +QAj=tj_jj3_jk +M4S=Q4Ajt_jjj_3jkM;R sfjj:ROlNEhRQesRbH7lR1d_jjj_7_SH m1=7_jjd__7jHQ Sj1=7_jjd_;7j @@ -3747,19 +3722,30 @@ jjS=Qjqj1_jQj_hHa_ R:fjjNRlOQERhbeRsRHlk_McNj#_jHj_ =Smk_McNj#_jHj_ jSQ=ckM__N#j;jj -fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_SH -m1=7_jjj_q7v_SH -Q7j=1j_jjv_7qs; -R:fjjNRlOqERhR7.blsHRnkM__8#j -djSkm=M8n_#d_jjQ -Sj1=q_jjj_SH -Q74=1j_jjv_7q;_H fsRjR:jlENOReQhRHbslMRkn#_8_jjd_SH mM=kn#_8_jjd_SH Qkj=M8n_#d_jjs; R:fjjNRlOQERhbeRsRHlk_Mnk_8#j_jjHm S=nkM_#k8_jjj_SH Qkj=Mkn_8j#_j +j;sjRf:ljRNROEQRheblsHRnkM_#D8_jjj_SH +mM=kn8_D#j_jj +_HS=Qjk_MnD_8#j;jj +fsRjR:jlENOReQhRHbsljRq_q7v_sj3 +=Smq7j_vjq_3dkM +jSQ=#bF_ OD\M3k6o_LN_O j_djH_M08s; +R:fjjNRlOqERhR7.blsHR_qj7_vqj +3lSqm=jv_7q3_jk +M4S=Qjb_F#O\D 3_qj7_vqdQ +S4F=b#D_O k\3ML6_o NO_jjd_0HM_ +8;sjRf:ljRNROEq.h7RHbsljRq_q7v_Mj3 +=Smq7j_vjq_3jkM +jSQ=_qj7 +vqS=Q4q7j_vjq_3dkM;R +sfjj:ROlNE)Rm.sRbHqlRjv_7q3_jbm +S=Uh_ +jSQ=_qj7_vqjM3k4Q +S4j=q_q7v_kj3M j; @ diff --git a/Logic/BUS68030.srr b/Logic/BUS68030.srr index 6674fb8..0d5da8e 100644 --- a/Logic/BUS68030.srr +++ b/Logic/BUS68030.srr @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Wed May 13 22:59:14 2015 +#Thu Jul 09 18:48:52 2015 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014 @N|Running in 64-bit mode @@ -42,13 +42,14 @@ State machine has 8 reachable states with original encodings of: 110 111 @N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":139:37:139:39|Trying to extract state machine for register cpu_est +@W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 23 to 20 of a(31 downto 2) are unused @W: CL246 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":23:1:23:1|Input port bits 15 to 2 of a(31 downto 2) are unused @END At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Wed May 13 22:59:15 2015 +# Thu Jul 09 18:48:52 2015 ###########################################################] Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014 @@ -58,7 +59,7 @@ File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs cha At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Wed May 13 22:59:16 2015 +# Thu Jul 09 18:48:53 2015 ###########################################################] Map & Optimize Report @@ -89,10 +90,10 @@ BI_DIR 11 uses IBUF 46 uses OBUF 15 uses BUFTH 3 uses -AND2 303 uses +AND2 304 uses INV 263 uses -XOR2 15 uses -OR2 28 uses +OR2 27 uses +XOR2 14 uses @N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. @@ -102,6 +103,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Wed May 13 22:59:16 2015 +# Thu Jul 09 18:48:54 2015 ###########################################################] diff --git a/Logic/BUS68030.srs b/Logic/BUS68030.srs index d37a669b357db3fa3c42ad86a1c77f7c2438dad2..844aca16f3b04ad2afb610c5a182ad8bea8f3562 100644 GIT binary patch delta 12347 zcmV-BFvQQ3WUFJ48Go&kG=1d+R2&w;E~2|POI6}b+tE69WKSqT{qc+gi+}?sKgQo{ z#?$E(TJIP&zpPjvPh@a38BO3G*-&7(dSXTk&cfrRgAbj7WC!WC$hXC630ab^17Bnf!D%YRG1N|X4O%XlL?k|vG_5D(()Ymb|AdX0a@O=vA#_3L zNI0~1zAmjsxDsCmK}O$%L0&ssQ`8FJPEh`Cki-MngZr|ER-TW55Zck8(5c zC~oUcN5UC64}Z1v&QsM5X+(hkvK#kO)+~+%c%h1<2a7nd(d6OtQuv7>_3Vr!U2LUnoVP{fJ-DD-~pLRT)sJitIWcE{;}yfdpRQHyjsfo}^i} z*(|d^2*kS&N;M^a7wF3fNE6`1g*Ls*usCSkA?x~*DSkhDzA zG((^>-8)zJyWex1*m0hK*}rb3GT=CVJU%`TKOevM=zC&1k>i+zL{9jD(iuIzx{jaw z0p}6@G*%P<qnSXic-Tblp5`2kyFXFGJ@NDrg7r);{Jm%2C-Mbs(kHIax*6~^$QOClcx*pqY zj7`tA=B#(mT{?dtJhH}|i$1uEslV}d%r$zO9$|BO?{YHWHk6pPd*S&;&pY;*+j*eZ zlV8b?h;e?$mg_EiYOx$g5gh{n7l z>YvY!5BGPzdrdpfK|ki5D6RMP!0-%qe>4o{j(T(2;-Y^)s}Iu|gZA|P$ED)^*=qXX z$Tl;!G5qOfGpFuI%*Tojrfl|M_m?-{&F3qZkLY;Z`d@qp$9+CG?*E*+BcFN?BELZg zukSs!dN9~=GutsbA90!P!3h3wzko?K0v_h=J2C@C&HqETokjeu_>yy&G0>GN@fV>%y9VM4^%dA^&_P9Nt4=iHdn*FBFNdvgOO#U&5p)}~Lz`{NC? z+cREc2Ydc%`Vbg2ugk=`%&ab+*2U}V;%DpPr|W+Tu&yBf=ycP%x*u!n>e9}~Q+0i$ z-Sc&Av92xjYnYDVuzn1OXUA}OZVWBLp)QM?L+4qH(U7KpffnXRI_S-&?C8xOd{|?h z{xg=xkxu5XDbiAJj&x0cUu;?rG<$x!K7#cTsBfRuw{Na*f2O|u1@&22pA{~h{%6uY zIFx^1_1nwO2Tq);B~8=D{HijxecD-eKDWp7tn7L32sQien35KK*oZRH_cpjTH@(?$ z^)U5~F%9zPr2hH)Iel*lo=q&^*-n%k$dzN!(qB<`Z*ZI**=+7Vc=sKbCNS4G@9BFp zbSxM~Cxp%=38%H=*GYI&`xE3%#)iJ$cx->RIsy~)A80EW&#`PfE!!4l6H4mKCNReH zbc}J$TQTvt(`J7^_9u@?yG^~n`;WkElSy4ayvO$6uv{M}<94U@{zi<4iQ^H%XZO0@ zZPV*+s|);dPGQ=o$d5hOzo+fab$8rFK0L>9?HR{;&-Ht>MaB=;8`>UsyQjuHz&d|o z_+;0=IF0x<|HUG~r%Uzx>RjX6>CDD0YQBFD{oBH_w4CeM`GxfQi(U)btLYn-$#JiE zKcnv>(}5L6qAh$T*S{wG2aB#b{q-Z6h_x-#mYm(S*0t?O*yM-UW3us%#2Sjo_Lq}B zqnwL9u4x@*b);>Yi#A!GB)YgwjG~!CjERaa9@go?W6Pixy|}_wBP>C9-sUD_FcQ_{kwj{aGWqC)M>Xjbg=_5vUhc;U6XBSL&mE^CltIo`bHmfOeXYCwoW8>V$TM`hw$ons+qB

zGMgZcS-;6d$OVPJ zb@ch0b|%oa8NP3&?^}OJrpx5%SCX(H8+O~*cga3!)2C$liw%=u_l~ub_Vu1lSH975 ztF-&nNtO)W?hc16Y2RIU_H9WIw&1gNM3TS}<@K+h*?O433&SqV8oqbUp8JrpX5Hbk zR$ln^v`n7absw4sud*fXok$0^iLwK+tgRT!-R+a>_7i_l#*%&g#lIe|fTlgrxqNmDIhY;RFm9npchzIK9}$~cG3e?Z^0qz``Z^mA&(lNJRvf?nCTP89*E+Z z{S)o)aI~~tHoSc&wd4X?Sw1?#O(dK*+KT{c!m$mZjJ+>>=$ zQ0Mq~x}QY$^Gy4#EFaL)S=s=;{Na**@(cPoA?#Bd^n2iGjF9vEM9*(skl&9k8*gph zVZ(p_`tyG0zko*VOXq#etdsK)z&y+sbMFOp$CvQn!{_!T`#_g}yh#2^ct5lMoz92$ zTrpSB`HRNX5A(I$8~Og6jgA-0T_5(^J^6H=9%NqY1$mj^7Fh*!q;=L zUX$vb;141emPzx|p1TwfjP{n7Ibf8n#$ z4fzNCN1N1liZ)&=BF-C*^W$F~h^X76^IPouf%#;2mD=toxk};#x!Ne7B)Wg%EQgaf z4vuZ;9~>H8!P^eKoqJSE6JtNz1w+?BSKa5O@i_Pm*RR7k$22Jov9NpQ_LUkv_BX zq@PmEPx}Y^`}-q>!uSo{t#E%X+Vt;7{jcCv<#(|y3&N)S6^p)e@&258x61xTBd&ih zmlKFS1!*}e!ZyZ^xh_zj-lq*)?ObLc#bH^vKN^PXx#-hFzudUrmUL$d|BIQHhqj^b zy+WIg)ac2--En^{-V0KUR{--AWwf$@I^2WNv#uOcYD?-nat@ZD9q51i23loUJu$EH zJ#yu6Nr!=nbQna0M=32yJ01;-bfBf{Z3q`vRMZjm4mo{px8~N_I&VnKJttqsz~>6> z)zfvmAiZ0oe9kl9_y+wh+#$qrLips)n=lmRKC!;Av42kruz7`cPz@0{T?>< zd#Lw&nD={F?YD`@NNAHqG#X4xz3YFPaQfYuLmzjyR2yf`Kf#*aO{!_hgcg3cCaV7d z*5vSj@NK$=bQ|jTb%8bun8dOT4Cub_T+-<_qf=|^$o-;_kFsH5tV=fB+O)ErSIq?> z;v?2*5c`a_)$)Is$@J1*O|x}896u#;J_uq?5CoR<1Z4}Znd3BFmmBC)g7eK`zMoo2 zI`+`c5SSPKAv{jUelqza)}xhF_p;Kng54N-=H!Y>+l;`8$?>~k~ zVysize`Md13XObwWV<27dLD-f&_imE-lRTmLa>hp9NLJaU}j^vmo{$bOQDULJ-hN-w{@#Av`lO}bUz)#31NsedVWMVd7Bm5t=qq0 zYy>SJ<_Le}yGPb-4^jTp?c>?lB7+9GD}=F4dEFZ36^a^`F{XbYuuZ-U)HvF0#xr?Xj+HI@V~V{X zguMW!*0%Y~N#Ean8F-68$YFfL83P%4A=k|2md+Lin+{%mfqn);7K4f1yat(SvuJ)c zSxGKL$O*Slzg~BfY*R~Tb-0M1Z2uAH z>XJMO=y;nH17!oJi}8Oy!QCLo%V&URw`}MPS@)rXKmSL#vaj!eW2T`|EQg9_E^_WuC>!d z?5Qb0*RgEVT}?ahWIOK)?YtB1ydvp({QR@OM7@7ZCpFl@untG0%FHl?;#^11o8MA@ z+7bB4bbr}~Vt?5>xbI4Suo&IC47M+$;Vl^f3myT|@+yO|7F$Zv!Qs zL?CZasmEbC8Ln>T-}i3l)a?-1?qRu-FJ$b&H|Q6I zQIe+P1N=_JcPNLX<=_vMF3Klq`COHWMan#!6u#@_sP9B8Q_eTqc0hi0%n*Nd(17E* z$!$57T9Xr(D%+0*YhT0uvX-T2XNxNMR?yB??d!PyM$}l*m7&t~eI7_h(zMcl5UW1f z(2AWX%=EQ-pbvx7k+E6{x$fJLN*PblZ*B`tv20Ms1~IfVwmD9F zE1y0XVJ)T{${P;_4u2ppadUsKH@)>~r$cg{6>TGu?iJg%Q`I+D#%Sdkv{AS8c>WC6 zV4wZ`yj$jYnwRj1b|X@)^$m zh||?%MGNV=Obap~ZITTqB<;ia^YTTsq05gQyE@iH8*8-VK-&ol(>zRNUummR`}XWe zOX<3OhxG{+zN^Ep#0I@eevevLYHe`j`@G2ahnY;G^Zg>u_8G1xKM2kg8rr*O*1OYT8z*!|gP+x+@< z`Rf2ON2C1|G2B-NPMhlKf6d3{`bwRV(sg^=+45lP*r3&IdEZI>X4yLK(c@hB-3eh- zKQn@UcyC?UXT*Qr0X(Yl44+-V^?x^Xjwvxfxr~Zqts1{i|Rvz;y!q>nC&npd7?lrkMX-KFy0Kxe$KHQJj;Cn_4%!|9yQmK<-l)|p z*6PUjZgty6CYuYp@PS!;b5&`(UWVE;Hbl2igwj6Yp?#vYkg_OnFP!eJDeS|!Oh2C@ z9l4zTCEGIpGT3aUs?0gvOqYkpy@hf+p3yoCxuw|*BPRmo5I&Gbi##zxUpRcEvVae? zN=F47fWUt|0ACnwIIVrJWN(*zOyKIz^Te>AcWdbdp12E(I87-V#IPxX>=;BbD3`^3 zhDbY(%REL$yfU9?t6>&Vb1`xmKlSX93frh|v&+YKYlabin#FbytsO;sQi1Mw#3MP) zdh9jz7AMP)XEJfj^z|w2vcNBZbK9ZAw-);A@GO5i+D#EVoB7mLJG!^@V;LvV^{Z)p zKi_{ex;OlLftez?tujO}1fFnn-q^fyc1WJb0cOw3ZAoq`XNu&$p|FbDxu(YQ*4=)^ zW+VNB<@V{e$D2s{qa)S>CF=XAR#u2~+ZXeCBb{!_Wn7O6`&2D+;0I{VQ0Zh4M&>(l2( zGI_}Y!7~P#3}p0F7VzT?zm)Swo#=lzmCrT5nC{vg! z(|=sW*Px7O&WwL{E|t#;{wEku^&QXjsB&RXgmMG!L}{C?yvX(+xCGSX?foCa|VBk zhRn#afqv{r@M}Q_hzZMdgBd;Esx&cAB*l2w_+bk^mmJp+A|3>`z3{B<8)aUKY6*-H z@He$)p9YP5E|UBv`7&tam%T{XoD=k=UlUd*D{rF^$93zx2`$A38_L|XpC2PB<8S_? zXYKi$=zN~6jhEQ+D>nN&Tg+nXNQ{4pBW1YNXywYY(#|2`8V=>8{Zs1mx*jdgUA;hd z&dOA_3=@PECklk5fPOrUpOQsX^c&Ury`s}{LR6L$3gwex`O3Z$;xS*2+oFxWu+rY7 z`(+B_&)AUYdLzgdL-e^c(KusVVh}T*d>W?#Jp*q7v-XTJ`I!4+%lp23UZ#H;jb7?_ zd(H!_fPkK2g@NP&mf*{T7yQ4H-w8~LeG5Uo!qi zzDbX`_gKf)hxFY5RBe1Wwgb@P#k*O(yrwN&;kGk;oS)%Y>+HNs_PFOj_Chz1wrF={ z&{MG-F#bZOzvy;ps_P^#wN-zr_zxKlkl;@4L^g=;1z9Hmxga~AGitIC`GLX(H>Mwc zPsr~pIzc@#!#Q4Mcu9eAydq|XTg-7@VPrXo3xp{ox*WgNbV};EwYtP&tJas>l&$wr^YOuXtCJ&5pb6O~=D7u3wEPVBYl&4U z;1NloZI;{ztU_O+Y+jy5_b9t{S${n|>_3t26;G@D%Tjo<2s$1MgVk2=f3$z|)V?Hb8@EM$FH&-R zkw-SA>%249N1*}XR%~qBOiorh*?|#-D;~jm)pbaa7m36cm(DRdx?blTi134D{{9qi zso~ert_@n1jd(4ziAA~nTG`UIG_*_Qx)sTFD~W>|Kd*CtN+|p(q3%yX+v4GFLZIv` z-8WWhpQG9Kgsp#)Ob%Sij9NKcNPDaM&NM6o4AAa}iv3BzL z&aXdT+omb~vFEk4QRTKn+X_Jrw%#~q0jq8&tl-1Z(~GuJyI?ErhE?00l)0gv80CdH zf-Y{YqP&pp!%eneQFhY z?@_lw*J8Ii^gG^INW=t9?O>td&i_&4BFH1jgptE!x)ge4Di{b6HC6E8~Q8o3t(; z{s%I-z+uhl0QRrxjBMyhe2Z?sy56R-Lp5BMo5c~OA|5GE{VuEY*eqgU{MvYQ7< zGZ*irSiamNy}_K#rZecj!ZqMoNU{}VY?gm~h}(icGsCBrb@uHE+f?OQNq*RB{-%lz zO!{}j)K1J(9$xV8R_s8)zs(x^T5UeLZ}?vZWgo)a^m-@xd(Hz)#||8G3p@aHFtI;N z?9#k7M>~oKrrSi9;M8%7_f$6Un$}+(liw(r^{2FqCr;@_8hkV zRPpC`Z&UjY2=`JpujEBvy*$gL$3n^WofsO+L3|4E%%{fFMGOZRP;ctrxZi*6>e&&2 zTjAWj@%$-u?WS~$0V~h40gFaS6=*BuyzMBRtqwDh>v*K18Bp{airIVZI{7Wke_ zlYcdPW}|%`=+4aXFPj(Ppr1P564V_tyTN=4`RQyEonDtV+IP`TgLWbzTT4F*%DD2p zaY9n;WRqV-#2dLl&Mlqi!T5iwI>t}@o)EgE%R6xnN9qCRVlXJ*P!yrm9=!o2gFA^{ zc7pw)2~Y5R=`MMB+e`i#WkZlM-*L4vx~`%xwKhg;x+w2e-CkvVsaO-2%C247k#!a1 z*04ry)iLl^W}CDyjzZBkX_sx272H*1`w~CalwQ)=3ckm(-?3PRrOJPhXZcO-EWhx< z;!QZ)z|wJc!bcibeX!+yBs}edt(+fxft*WF->Q>kGrbY_^r*~>`nR<5Or9fVERuHo zzNQb=%DMUe5aXHde1Ay#xxH2H4{4gxqa`L<$-9Wzj}WsTN4gxUx2a@HtHe*!<8MZF zww)|r?z_V<9K3!H-kyK=F>O!yn99#k|B~ml5C?u@zt?a}F##@#2ItI{UX2^}{g~@c zVNJ{=IzQIFcYQ4C!Se2zx;;k5u+97?LVlF|8P-`jM#O)74oKEle`cUw=9PEN;9A#p zVf~!A{+-k0q^vrMa^J>F_QGK&ujADD4Bξ6hMAWM}v+E$)AuiZ3vNDa5rPePJ?Q zPM@W|FN~QZePZUPL&3h@YD-KW2)?jB5%l_o*gU-hs1j33(fAN#u(S`UoGs;*G!{nn zJ!y+U8<(VOV<6&N3BA{zy15-Put*3^v8^KR6=;{2Y=!mvK*$UF)v0H=_ep=wN0#cz z84i}UzA8+KjhKHD8!;s*j-oEES|c`4#{9!N>fWotmKEgUz{X>`xYmO(i}4YjtG_OO z;O^L*Ucz!Id0mT%RFIjay`g;0S;qutb_d~49+G-`Y3if20ie%M+EgT_82LTaDRc;w zmq=>ws~Zyys~&9eql{HYjI_j}*ZF)ACvC8ilslTz6ZC%#$~d7IVe3V@9{9j1SwV|)1Ix-1XG_A&7gbko@yzmzj#aB6SkY?xW_mn-u2!lx|Y7lf6)0RrQ=bGpFx+xlK)-Ev1Gl+K=nG zyUzV)`g(tmXB;(KYr)@!@#J1$_fYkX*C+SG#&r-@*Fiz=MY?KO2Tkc}eT+v6%WL8# zOn7ud`E9}!16%a7ggHhw%rUZA45dm8{|nAcnL4glPuKkhX2k~@iI_hij0rni+3y*M z1EfTN{odGd!EVGttFX`1$^4cVHsaXJ&p;;=baa1eFHq(EXqw|J_*gFL*>L|=HDE~il+x{ZCEyVMp z7M>Rkt+*5;#V*ZjU5peMf4j*idghtc;4clW0E1Q87~e_6@!P_B#~8VEVdyw|vf8rE zVuybL1@rGxFP6Aa;nPeGriu@l#d*9sgouyiDL#_qvEL(J>)X@DMcVId=U~e7?i(Gq z-XEm0?19-PVnLeH(^w~OG1kf3OTNo}WY;^o$F~?$92tqNVwCl_-j(0MzVWB3vrY+obG?6epF-vB+lYJ%6MsYG1T;PA~IHg-P)A; z1vhj2PIpz%D-KNjKv!l+~1Iv_h9A%;ZK|LcFjV!@{Fh}`?U#y`B6zFxTZY=rT-)LbnVucsrI z-Rp6=aE}8z(*0waZJA(~Fd~PH(<;u=mg2&VB#v=JD*emLvbVwro?*wVW?eHqKT!9) zE;e`5{9zn(xvoTijYLecgpW$iwlx7qhGz;L;cIM6{D3Uj%=8G`X`C ze321y(q7gOL;X~`P9p{Tvh>53W1Z&l>%{%H<{Kx>Vx?YpzjurAJF)NhzIIn_LoBk| z`0VHAP5MnLYZGNea$@f4a69NruzIYpu4I~wNz}CpHe}$Lq#z%)dSj!;zoB$rn8+(> zkLMUy)4clc9u;>{-~Zt+<}H8E9T+2bP9MC&J4S1O_xFm!E*Sd><4a*YD3~1)I|}1F zVO*yyA6w16S<1`s%mE&&F)ePrail!!z^+J9ZsC0Hj;3s|X^Fe~U=^&g`%WXva@2YG zK6li)=PSp=7s&|SVlHd-1~6qkcRur08D2XE*};i%vqAio-VaVG0iJ(pVDPOL+YIpj z(Yeb!x=Qbfbxa%Ka(HEArB3XxL7^&md*D^t8wi+mgxGYOKRJal79(|U_#5PFi=O|g zw(czfq7<>hYx)ZdkB)jPpSgeZ7IpP+1?o)LOv0M_2}Rm;*{a7De{9P0R5`0&oF|-G zXz57s-WKx)o4L31d)|LNbq%q`PTBcYe2BZY!c1@4wZ8vRm(%`W-C8WBvscp3c3jfA zXUrc)%zYqk>)pF|BDR?L|Bk|M@=nno!QWi{=HmG~LUI~S-^brPeV<^4oQ_*u`>o!W zv@YK#=^D`LPuD|Uzxb`yFV~E$KmCu-iKs7KS6TjdM6^%7|Bipl-#qDys6YJ;n=Ezzn|iYmU6uHh z&^O)Ql+oUd$UB7%wz?lN*jGAc1d0P8(Y90T56S3T`p#`UCM=FOTf!fi#~!X?oj*}^ zzCzxOKx^`MX@7rRC!c6C2nYVAV&n)JMURmq{r4DeH}mr;dE}-3ZVW|D2yEhx9?v-{ z;L&87jN=S-4zqigvUnE2RZ+d+ASzo0-(FNX2Qxd;hUdk&CfON7J)V=?uWM}GG5SNB z?lCFa#H#zb1^ao^yDyQ;AU~Gv}PLu{025NyV*eafJV3FzT@ovg?KGTa-pNnS<>(k|V zqf&lyItY*f(kp2i z-mg-3-91gEy44*grMm0VD``zw!_xi0MgLyuS^0Ov{(8#i7y0JDv*q_Y#rrtU`23pe z*4lr$Rh!6I2={cO$1W;XVtr^vZlX!ZN4>(5nbOMZ^{l<}ZcWAnRyjPk?yj5x6c#*FI<&td(q5{S_ff|R- zzT#VvKEpjp=?nL}Daqv^=?lFO%Uf7apPc-zaLEHOHonxWWV+O;NK%XuB-8DLiFaOxQBBIST)qJZmyU2hlni(q*@jzt^Sc zA1lPhkvhuinDVp++@bKPHQ7=nA7mtMEVoJj$Nvv+^={!c9ySB8Cw^0Nksz(qybJ%C~@)!CbsJd3pj z0z??<{-B_A7Puk)U(n=lF29d^mDDG2P3^PqQqPNpO#!x#5j5 z9Zx+A>hJ|8aOIV=I~uN>S8?SkK1?5RkY!Bb1?`9f*m>eGuEbMeR#?H~lw%P9F9}ba zMQ?mo<5$(+JN3-0>qnhDpWkVz$Hgq(Ynhd=?zXI7Ct)pSs2r~?pOb>_Ab3OhS>IQ4 zS~;WCbat9o{XCLKaACJB#Rh*h20|WS{^;JWJ7bIcIOy>A)Yr~rrPzs)eeV}zmWH)H zRH%~&GX8nDHb>M!HdwX{?9 zUxK$o{GWiv1(uEW+?uK~LNG`q`l7c(-LdnOsM8_hM>y8>$#4Iv!}K z-Ki+sfp)99^tv`nTx5OS)w`6N?sL>_W_9UFq3$9kvt&zFYeGKq24uS6|cn zvC6nXc|L%#uLb;bY0Q6L9%l*K^dg*bqVA!r=(b4zNs^C=7F$3tK*W|z>}DNTUqAm+ z$2}{rG|0W`+!cxQ*s8ltF8}tw4CLMtqm4w1*-(C0VfMVY>D=KRX%gpGmNB45A|3ND z-=^omG#(=CDgIkwTdBnTsgoi5TSvcFQ|W+xw{YJk&F|to*_VHU{$4)g_CkA#EW1`` zm-GgUCd6~fFi)ZG3+oSZp7A}b!)4~rH=*i};>#iEG^oc7-M8AB<&|~Ze_Q3tIDZ@W zZDo%i`}Of$w7XjMHKN)wtXU(&F9VfESy*c~sk=M=g?1C!w??}O@~0I~c@X)qsDb+YOG+HN%_zTYpG)yY(`Z0+sFj;1_UX zbOiS`dGPkcm%_bnPOm_#Hzk~Ezo7AppAJd2c|6@1orL0*T3$Uh=qz6%$*kpG1_5SAW_K;7n%h3Gm zl5KZgwtd6oou5u-`-gA&be}6lzWa{}Wu>r&Ze|>Ln+Q_&6|ZEf6CE=1GIuthQ=lt;}kA5O;JF@LIA(0(^ru2&L z-(AM9y@2zG{&DU2S2yl{>`xoizvZ`G<>@6`!n~C z#kB3DzpwfaLuWHxIPR1-+GD?eLk;%cTdW@7UhDJz?j3F2P3${oW9;vYyNAF1t~0#5 zyLy;y9K-A0xp$A<>pwPk!ClO|5q~#@d$UJZ{Qem6m_rXYAFqu+`Zw@e%WJem8#90E zcxzpRa`$Gyu8*Xu6W6@6`8_IMmb zW8M<&yI1?ihkM_-q^;MW7xPw>w)?(scm{je8wPWRU6(eve;Ci=^Yn^Af4cwUQ1SlN zV)}W{R#$9g_|w(OrOr^S$Ab2!?CSI8FVEe$?!w_iIvzLv7vI5ocU|M*!?srM+J zH)#L;gU1$+2HUT$HjKJMF3UZb!9N~mu&730hHcfqJu_g|{6A#hS;QZ@n`z%L_AUAD z)MKvWz1u^5f34_$CUG)o2krP{@G%L(!GwP_6O-_dJertc6#c$TTITrANy8S6Eh?qOicM{s_;hNx@8!mm{_1M1a8n7r1c^o%ZJu2QG zub|(a@g4`*^%v8}z@T|sZEUNZwZ+r6cy(L+WLx}re_H{z6~wo8CvB^9TiI5Jwr-EL zbxS*^+gf8=YZ%ucox?%(91c#-;o#I9!a_n@CT|byS20Hen*Ie^aQC#|y_&MU=RW$d z$6CEttdAp|xbG>_QrAVgCcrONjYpc@Ki(d}_6W4M&Dz`6x3@jf-u8_4Ol;2#=XUQa zY3^*we@DIM{OgVrdo-tM*_e+G=C(&$^VZkq*v;y8yL)KacgB=7=;KP%k-oRWy}9aM z?H7+z-x$*%zfY>~e{$(3Q}AqJ0?)RiWJgA}NlSl4+ui-wJ{Z!%W&{mNrke~UdZLI069g0YKrTWQ^vsGCqyT{nR_ zcGEe=J#WUu<93t%=eaj|PMS^X{ipW~%r==+jl+9x{s*?}^JLs?H9lR7`Do*Ogz#_Y zve{|U%TA*M{L`hd>{H~&p5s5zX6v#u?jRp_abCN|-t9Vmmo~`w@p46*<4)(;oCnxO ze+>V!%O_4Fe#xI$B=~fxou}3%?w!`vxIx>WKEe3buq{pdGPa+PUZ3ctpuLK*VVxY$ ziceScQ`EL$hmmLv|0b7D6aJG$mz+NROeSJ)%d$CV_l;#`KN2?iDbAQ|z9X@RBC>w6 z(|eS2amLl{qpXdzPp;^biG6Crzdt8if6m2LkwJQ5?hDhy#bql|3zPymDlWbSxLLt zr|*L~TmE{jl&oeQM>C>C?^AJ%-vxxl}5?E>9vV`&S~?RRWHPhMH?f0_P% z?Xd}c{r$|?q*vK@yw{ra^0mNoDGJul_V3pw>)q2{^EZ2b?e&`X&ARvRd(EEMcPV|Y z1uek8+xaunz>b^t=$X#9c(&L(lg~%=nQvKS@@if=r!8?`(pQ+$d>C<2r>alZWp3;1 z8E;d50Cf(`SareK(e1<7s4@3C3nS7sor%AIrq5JX1sgg^+ zx0(}4OSmy?I}8c6o6QxSZ9t6dj&_xMvI%|2cy(xpf>%f1>2vaZ{G1%LfAbyZHEGHI z#bRyX-{kuX&HiW0`F&M8-&-$?|o>i+xqm9z4-o$}?`AjtwZ&>~wDFZRI)Cxve|nI*r@-#63ue@!IQdGhjA-5E>_99twE2sz>Jt6mHrcP_f0n8?v0rT4+|pmS zs=jPo>~{N$Rqe@aT!lKvx2kPRv~Ag>-1fI7o71On2kkt4n}74@hP<%i^MfXP`3B?K zJ$>Vi(dm9*{jH~O`5YtvwqlSEC-ccYosZ}D2kSmTSuool6?8VapU*4L2oHD<*?0`; z15WDKW{=%(lgs7{e^ADpeS6~Hb|au^4|Fb{-GI*PbOm2B3AGyW_;KS=&nGOCBfb8O z;X4lVx>L6B$)iDI(^73j{+V@!r|VjuS=Wegc*m!H-?;G{<}A7l_ZYFL8H4`e;do|o8lTsAoka8b5wX&Ku{M&rT*^#2S+1G%uPE z_N583buxI_jm^{h`D<@3c<^XPPOjmc^}b?1%rpA&oiI4H&YI`%>^T{ns_&Js!5j9< zHk;r1_ff)-B}Tb7;QFIz850fBqW3p6-KAn`Ft(*yBBMmXhTB zXU#L7HJC4S-*fAX-q3z{#$^iPpwJpbK|TtMDW|w&qapg z{(Y*i=9zO9y3F`n$h$||H20^+NpPHJ^xqWoLHOzU{>=7gj`Os9^948+$i@RWrN}w_ zr1-pff9m<@T-ucTj=e7VU(9&$H-DZ@qtn#Vn?U!@pK>6%Ba-e)Rdc(8l$c*w?>kZGIe|{T$P3DaEC+9W(2YW9z*zhb~edcrKKiU7F zfBQc8?jtjsO8_o%TMp0(eGP;?G{Fn}|M3mq-R#tg##`txDqpYz_&WI|B4 zV~iM^zaIW<_~+~EAfvMf)R+fM*?*7r{hF}Hcm7PsAYIoxlb$&0 zgg}7j%}cZ{hl^f0ynqUZ4$=znxOshf*0&loc^%8obD$s-41@T`LFNn z73NvDKd}X&zB4r>hWz+zMPK<+=%XvNcU-a6e%f95^w9_qBS#;Og~xn){Wn=DfBPkx z?M$1K3p>NKM%cE?f#z>g%#4ze*ef8%Qqa5c{9GZ{9i{f*2;StLEpW4NWJlEg!MR7> zn+!`6WmtFU?`#^4lJ$<*BNE7Y5VmgY2h4$JCx-iUJ%U5I*S@eb8=V;2 zhF&kEJx~7|)x3*+c{iI1d#XM+Q)oEK`XR3m>p@#dJ|;Z(Ji{@5IE67OJP_-`GtXfL zQm3-{sro{+ErvFa()zl4qtGYBrsQ9=<*4_92-X|Oltf~!S1h$d**lZ`e;5_|qVU0y zO~iU_W-Hcpj2%qKNZPOL9pO101$NN3qsS%~8*U>Gx^gzm2)jjE)XURxN?TY+WgFvY z)cCZbpVq>D*+%1hoY9ryS3j18{;KlLGT-aS3p4*8VFSx(mB2;`VH`X}AB(hJDYq2n zQOZc0M9BCrh(pq+L_WJie|#DH35&to=<7kaeGzdnw{@81kK1Cu%eD~YX}8;7wYG&{ zYsNQ`k{!q*F8H_j)~`XAJbY zF161#gTu1R0WJJ)e+<&KAU1lkh(CnK8V#ab*h_LaXKA_G%diG7NkY|`E!P8`%wQY@ z=tS~_fqec)a^9^15n~^ z-xH)0{rZKbf8|`SZ{+ML9U_rJD*pRw@r{O+S$D7xOa(% zzeWvfqjSF zpPkt{rv774f9SXHV*+{anRS4-!F+CEL%p(J!XKHkLE*lfTR4KS6=2Yc*GU|{uue;q zS0_IQ8!l)VeOCmZl9;`k=a2+YBa!sm0Un(BVif9=SR#EDb1Zx^u&+&;WBb|sT4rE} z77~&jUaYu%H8a?>|L#s74;%|j)qh1-2FgH_yFU7Vf4x30S;vC2figJeuZ-E<^j%+{ zM`m$?vXY!D&a8Yq?HJGKwiVkJ%#V6MP;wY&#)`cMi9+oeV|9i1?!5jczN$58L1C;E8op76m#{s(%g zpg$mOe>`<;0*B6p8SyJSombTbrY(q>~-2@#e1Yu_%?ph5XCh?y-AinRty(R8bh((K^CCCfk1L zSIai!_K?n!yMEb^1u@ty`*lmsd4|wL(wk*lf9n|kxv<++=OQ_JE|Q9Kkrd9wtQ{^m z)&(%dz1`hp@_*OaZ|J7GclNZq0UZ!&0DbK6SzvB_Vfa%A+h6cpS6n#a`k>yKJrwga zqeDuEvteVVcv^tInE^UYN3o*0OfDMI#X^-yT0uET`hViz9{csRF&{rpR`kFpCNyZR ze}Ad43yb&)w=aBbT+pR&eA;S}e7{FljEWzwg!DaavS%@t1syJAKd?;hSNhzP^*?`M zz5DfKH~N?Td`92N!+vtDpX~^pT%)!f=l#5|=;wXj&wJI+d+aBf?8sz9g^%p`>sG7)H}|K5db(5p8fdizN+ahW`i=RW2iN$6 zr`H_IRP-oCTTe#>KZROUSmB3>nE!I>|MRi{F&0VUXON7(q~$Cf8IIx zj;ZfS9n+bZIo@L~HCYIF9XbUA+g&g1^jy#OpRpJ&3=l;`83>}u6VGI}F4I$T2DdSBNDt)|_o`!KLV>+qu#SJU{ zR-wL@#I#(Gc{gs?Z%rv_v?QiLf7a-7yB2{I>jQn$;B;s#7W9a2sqWE9Q~H}L-4Wvo z-oPUY?Pq?%B6h3mxi{3GwuFy#DnH;HivF*m|LA+Y8*q@>x!@B+YAj$<=j?eqK)-9) zhlT6i^Hw~IH1+jYZ(i^>dIPV(c3T5}z$Xao&oNPb4I|TrgP52x^i`M7f2hUN^&c*1 zsk|TqYVyW`Y$(U@7TTE5RzZHOoa?;*h~tL6- ze&2(v$1C~>XA|-kbtWM_f0xg#f3jv;n^pUo%Y6;WHUrKtF4*)3GFkRms}lzRjO@Qr7tMVRO=N`jjttGCJ^Im+chhrsN;F zO5+YJkgWsDUUS4TMcfG?scr%Cx7(v4-h>SZ74|gw8}SqUoB>_!sI5lM5y2qL&=i<6 z>R1Br%KAug8FU;he=jfC(l=3&cjcI@DQpY2X@wJRE1n5+RoL@&SVT$p{@1)3+C6%n z0|~|$juQjZzOXE{?-4J^_9?sXeVOc-$uoO|f8!06Y`X2IJc_Yr#7!ADcOxBhDA$29 zw%mU@ub2hGN1LzUSvdD+IS*~y0##}U7UIW;?cS?)aj*KYe_!bErhsiAukPKmFYJC| z!al6%dtQfrW@vmU%<%~i@d;&P16ed!LkAHb)?|8@uBL*HsPmWV%hX+IGQB(1W3&d) zO}x%+Hy{Dn0saZgI6&sl!00fQ1%|#?zyO9($}cz@(wg@Qc>4>LzG1u389Xu6^=`eq zs-ohM7d+I726uC(G-Jz9(`m9OmIzj@=X3sE*l5 zJZFtcqa6yo<)U5c2O(8>Qi1MaK1mi=z`cxS3s_63j>$~Wz2N5)!u0)_>ot50j4)hB zwt3Ov_N~NCrEUC&pZ5cO>JWQH93=BYsxHgVAJzAVf4(7nOSn(+wnLEBpm8{tKs)7? zHZY6{VvHa3rT*MV{TJw;{>l0}KJ6h6T*7SX`lr@B%I(pS%b7RqvKm<-Dqvrf4Ug8L zpHmh6QZ|(s;aEEk?btFoFYHtEdR>Lr75laJ%tG6%ZvDMUmns_voZSNF-hP>6zV&84 zS8)tme=LlVj&z(fF4gy=TS?dEbzJQCv3up%fiG0qTu5&JNMnczEZ#+sVtG|Q*grn! z{UMtFlVdOsF^nrV{aok2z4?5wMn~U_Z$f0KUTRNm8LAaFi0XM()W`;7I190_^_ z(wtf9Gs-Szp`Ye~ELm4qSlP!=^ikfEed0+f7wRz^O2)D<+!18DkXR_wV}I!WbK1YF zJS4Q|;MWJ_qun;x~2tGWx~@=1gc(6-%^A z^H8is{CS88DKtJcB3l07nw!J zeJJD7nmpl-SJO9Rm6(%7 z>9&zDY2iU0==MRB3;ZD0IjZEGe*k2UY#oL=UZa=)=5&I-kh|X#j=mMbM>3Q?k`eky zwq-fSon-sd1g0ne(+i6f2rFxEHG8RJTb^z>-n}~TxS%@!{KBZ_w`iN+8Xtd&aMZ=vY?Lqrn z{$)2pKh!N7e8D}pglfJFf3`TUDyFD2N}ml2&szDj@ko#7IgKNSMI0GrwgO(P-Pf@f z&6l{~XIz6d=h#dT5)+OKVMHnUtFY_GTwVrwRQE-Zm~&NGmd8rf6=!u}zPOAZp*A6S zP&+QnwUCqbe;7#3uh^%z>SlXftHt39(XyZZ@|{&XOoo9LHm3JAI_up?jMQ5u#m%e0uwTxL&o zE9D2J>;%f55-NL=XFwr6;@w2kTggK~xKWmx6ThEj<~%6jbGny*G5blaKoWiTfU z`Mt`Y^%i^YwpD1mf6^8s|7&G=&iwx8{SeM2Z@+Od2W5^i!#oJj468k{lwC>mpVZC6 zeKrq7pNIQw9*CNUdu={H@X=8m^M6Ugp-T&b_(t7H1(Io*Y!<}XDa%W7*)fUdknGJFtRPPe_irbXFsfh4JWr3SOu)q zDr2P;*j;`OTQSND);ccgd~ID`sKJ8eIXf)l?OJ)su5@(GD}1NxFglD6F2nK5I?vIE_WIm`hzx$Ji{4r55>m(tCwih#gH#;A;ul0U6@8IZ7h9&SIg~QZE=0 zE@PQUUS`U&C@%|TnU$Btxo#K5F>XBcJxp_%GG#Ayf4h6(=MCp2?~Uku_q8eX6(fXx z|Lv%`IM*H-TiB8S7%qC;Mt^e5ZJ_L*Y2H*3FD7E{)3~t|H#D`m26^4*OFKPY*Wxtymx$#YB zvdr~~fBk6PFVlQueV1KLuT~=0*qMG=PxhsfY0;0!Ss1CD$VI+Wj`>Gr3|ftD4)YlZ zzXhb3H~2rP+^5)A=6ciB)fLrkT$pmN%EqPX#sZT%r5~4lrYr6h{3+4z^BB%0ePB}z z3!^+j(BHZ0qkq>|an-)#U9+jN?; zYs|Hw?~%3QS!>C&(tI4Mj&>Zh1s_@SESI&!s=9RVcK9vhh*RPD5A-5_OPiC#i%`CL zrN5TwLsFd^bydi{EM=06R~*Ezh!TjauyMW6NlqR%(&+=_n6I3C2t zf6nYE&H;z&nNum3b=S3rmyqT zy12uNe3Al}r@wB`u^E*8GId?plKMFCO_zDy;alYYgtjXC)%taN)R(S}A~)fPokct- z$WQIu=D(_xousULfSJ{mYq((@#-`5he<%Qwwu7)OFksymAu9PIRACLKW*a!f$d273 zXJcz>@5!9{8GjIaxD0vnMUs7AqHK@R^IV-JD@Q0RqjVmL_DXHR zQA!j1o5igvokYlm%U`dx-w>$cf4_2FvzSP9;X5e**zr+JC^clfbyzY!cIwLj344GiuU2GD*Ndb?XY3J9kwhFf0r>b%)NUO z=ZKEr98nSHh-z?-vd;_Z<&C!Tqd}n*_8f?#ZILe`K>72Bm)l=t9yQoofs{?lvH$Dr z8I^;A#2BM$>oMWFFNs#Bbn=ALp6*A2`XuJ&zb=fM4O+3 z=M)|s1*uFBv_tf-DV%}pf8zOA=X<5wv2=Pb-;;jh(trQRIjz~sV`8;M0DG37Aw0-a z_G6!~U09LxiMspB_b&HEpBb5BuNH41Io=}IG@}!ubeMp-W7n(Xg{52-!XBOR^g?b5 z$>;xVoQ!PmE%8zEn>uB@L4IQg%k^tKD~{;^njh_(aD_oDZ{wJ}e~ZnhSGa_%!Aw;MqlLN5_-8cb#ne0m?TWyIAUF92Kwi^Zb7|nC8o_nUXN*( z?#UQuoUdEfQCO0Ye>uJ>zKS9R{0n?FKJZVJdC%0`Wciy8^1hY)SJZoDUs5Ss=RSBO z^}!=SnB_L8%0*ddAI`%Ap0L^1msj~l>O2EuXMwIb(g}2=;D0P-EaV%yog&i>#5s?# z8CCRBH^!_UVUv_HeCLMVN*_vSQ(+7w#UCs4b1EB26s+-|f3W1MfId{1Hx6YEExtyV z8HnZ=Uss->PK3EWc3+z$!+c4d+yZ+`#-|{FQ>?(btvSf%YHd47Va@-~a0mIFIBe%F7>uO%l5VDO zy3UfSeWLFNf9WTp=>tW~Jbs%VAln-+kDiCM`yf2r2Zj6?NLMxcpsrl)kMU5+9c_%q zgkvp?vbaw_Ofk%oKXL987v?^3S*|NR|B&(-MVThXJW0T*QP!JJQ0U2Zzw9@D8-!XV z*{0$B|A-4~EBvNZUbOSJ3wGY9=Da-TEHtam!V-QIf95ul17H1vdl|-Dd)|({Zv8rD zShRi?C|#cGx;z)TH{`mWH@87e%w15N+n_MF?K~$^B);v1JZQVN%ejn%th#k*WqzU6 zl2+~!85>-Mu?YL-Q2E`wWgn|=21t8T9j;=AA|@8;!$Q5Ru&&k_d0;~8h>UdXo>^%V z4$z<9e-0=HFTH*$3-6fKmNESgbfx>|OFYK1cWu5JRhKVDB6q}xG@r|dlh+&P zgRtdwyLA6y<6z#H4{R#)`NAufPR(9t(rGfWGhbz1dmYx{jiJl%!t6 z+qUvEJhn#vG*3&`&N1JsRwcb#rtmdikVASWo~h_vzt;DGo<~&eZwo)kwFVX4Bxv`< zfBG?3Hr?-ap9opDF`@4LwIg&4x$p4%h4>A^ajz-q14HS7X;>)3qpuL=DV@N&t*WqeL53urb;5(q3M z4$>ThaYg=i>K5jX_;Iz4R#%?2c}mwnf4>-XkrAGVshk}TwfKF3<;2+;kz!`)eLYUB zlruS(2P}>TSRU9>AxF^k{mgk_L!Xj-|4H8WzCW9>sWT)GKG$Mn-aE4?^M?9OrK+KNyPKCP=C zexD%K)vL>O8Y$%zRra~^x3QED0DdzPBO+4$@3PbEl>8qrJDX0LgPwLec)u>+T4nC{ za-2=M|76cqr&$f^=;yq5o0KFTw%T}%!h?}tuTib z=B{cGBAyd|CyVqOw)hQoOj>5zCi;6xI|v`yZHkovBb%-q*68+OTDy*Wf8{N*n0z=( z%{(W7l#^{ZIVt*da{`^6vcA_4{fAXpWZ#^PE#Z?MiyX71v5W449FHLuE2GiJ?>n=F z>s_V({E>JQ4Skr){Gd&sVSLl;R`oZ)w*%7@`63H*Oep0rbN=*U<#d6s0n0jQ8}x4? z+FldkGg)dI=UC8Xcj3S~e;u|T!$L#*YctJVTyCEXp?#pu#mwpc(O|3cIu+va%j<** z&OGW2-!s8`J-5+^Q*ML!uYvq!)j7|WpvUS|`81&I0_eLj?a!|0?480#jJ@kJ@6mCF zkByJyBc~sc-o*b6^6}%x^fwp3InjUf2HYm%cS@uA`&|4F_euJEe@e%2pKHI>`;yk> z`}mt{?aTcj+s}S$@27hvf1iv0@%~3qSGupV=RcCHEWQ7c=f64ruDZ{~Z?5k1;(e~( z=i)vuz0b4fIZxl`h4;DEKEBUO?ekLmywpA~wa-iK^Fn+0O!oV~$CM5okJ76}flo<& zv+J;{%zqIvt_3q5f85rPwm}w;Konmi)>X#GdbB0zyY-Z4vWSTR+~ykSf5L5a3EDw<2>4-H;Okc_)x{va?zG!5P z{xEAjXLVGSlRV4wE85KZkmGF}Mdipci}D)!=N4jpFz%(4e~&`l*Y$5-%iSVvVA#I= zW=tmMqc0EIErK|+?^Vf4l$ixxqUt=ybF}8&H_2tGep&vWrOMsQ@eIPO?I_oZ{*tmE zf~&>vs~k0_=BN^XPHkJlE~zlvfsxXT$OV?=pC4p4?0=ifvDZr5tI6w^V>)o0!4Zt1 zab_upNn0Cte-+Lb`R(eQK6T|vvN)`54w-;-XiZ{iAM&dBzO?BUU(qmuho+;?{WgB_T z{2tSPf2!y}=aYN%i@9EbgMM`J}xO%P)L$q;)?z%KPhZ>o0v3n`_}WBeK}s zm7cGq{EUW+YO%YPh|AUSX=y{Pis$t{r2Z~&f6j|s=KhDp^n>9X$Z<9Ps=|KKGV*{qc>z+g$$xpBZNGJl2gp4oJ3zi0QBJ)`ieR3y%qY5%vT9Q3x4;(#GL*5w{l9dhE9X>TKtuAhWJ($)Iw$=4)wR+_B zRZ`BTaL=ns$ILDq71W&Spi;Qewh)#d97L7o-gND566e=}Oh z=@FdHafq>vb@t}+`Dy*?Zo`7FmwCi4RdKJpu7!0t^pDNI zp(W$ivhQVyxvrS||H~MwlYUOe)|3%`VB;(2D&ZwNk}gvnyH}%Z?t@`=TrHuZ{@3oHi2>ue?8sTqplx3jB$g-<(x4Jbhfp7vN!oLagcq&{1apDSJSy>m)<3Xy*j`c~{OwVec)VEJ6 z+9&6=f70JBOfeFIeT!l5e+)95O4q{*IMqPWm07|T3T1^HBjFK0L922fRek$Yja|#r zQuGI^=to_7McJ{olZtt-{AQ)T-|EUM$||vB{H{nE)0oGkJ9|Uzi^;b%ulG053s9b% zI0t@%X)H#>Rj%uefB1dvx8{<e_VD<{X2)&gMU=V z)%VXo(Q!}7D|K@3yr0I4#R(HS5sq!eX+i##XUmSo0wbw=7j=ouXHTx3@ZH08?KvL~ zWDG3Np3h^{?NF%$I*Uc&o1z$dkbQ6T=vZ|9U4Ek! zb%1|@r_5Vv-Q(m?d1ogrqUa4jAh<>*R`fkrJR{8V%ar^w3-@z>&YUkV!c>pb_ysTT z;}0)M6<-$XS9Qi$?U6z49vS3&WKgk3@_vPNxJj9xzZEx;W2;(&3^x({32E}lq3oVe h{FYYZ{{a91|Nr80P~ZXp009600|1xZnI&|o000#I!`c7< diff --git a/Logic/Programming.xcf b/Logic/Programming.xcf index a0520c0..0fa282d 100644 --- a/Logic/Programming.xcf +++ b/Logic/Programming.xcf @@ -19,8 +19,8 @@ 0 C:\Users\Matze\Documents\GitHub\68030tk\Logic\68030_tk.jed - 03/15/15 19:56:52 - 0x7A4C + 07/09/15 18:49:07 + 0x42BE Erase,Program,Verify

-
+
 #Build: Synplify Pro I-2014.03LC , Build 063R, May 27 2014
 #install: C:\ispLever\synpbase
 #OS: Windows 7 6.1
@@ -8,31 +8,31 @@
 #Implementation: logic
 
 $ Start of Compile
-#Wed May 13 22:59:14 2015
+#Thu Jul 09 18:48:52 2015
 
 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
 
-@N:CD720 : std.vhd(123) | Setting time resolution to ns
-@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
+@N:CD720 : std.vhd(123) | Setting time resolution to ns
+@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
 File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
 VHDL syntax check successful!
 File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling
-@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
-@W:CD638 : 68030-68000-bus.vhd(126) | Signal clk_out_pre is undriven 
+@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
+@W:CD638 : 68030-68000-bus.vhd(126) | Signal clk_out_pre is undriven 
 Post processing for work.bus68030.behavioral
-@W:CL169 : 68030-68000-bus.vhd(139) | Pruning register AMIGA_BUS_ENABLE_INT_4  
-@W:CL169 : 68030-68000-bus.vhd(133) | Pruning register CLK_000_D4_2  
-@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register CLK_000_D3_2  
-@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register CLK_000_D2_2  
-@W:CL169 : 68030-68000-bus.vhd(127) | Pruning register CLK_OUT_INT_2  
-@W:CL169 : 68030-68000-bus.vhd(124) | Pruning register CLK_OUT_PRE_50_D_2  
-@W:CL169 : 68030-68000-bus.vhd(155) | Pruning register CLK_030_D0_2  
-@W:CL265 : 68030-68000-bus.vhd(135) | Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... 
-@W:CL271 : 68030-68000-bus.vhd(134) | Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... 
-@W:CL189 : 68030-68000-bus.vhd(139) | Register bit BGACK_030_INT_PRE is always 1, optimizing ...
-@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register SM_AMIGA
+@W:CL169 : 68030-68000-bus.vhd(139) | Pruning register AMIGA_BUS_ENABLE_INT_4  
+@W:CL169 : 68030-68000-bus.vhd(133) | Pruning register CLK_000_D4_2  
+@W:CL169 : 68030-68000-bus.vhd(132) | Pruning register CLK_000_D3_2  
+@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register CLK_000_D2_2  
+@W:CL169 : 68030-68000-bus.vhd(127) | Pruning register CLK_OUT_INT_2  
+@W:CL169 : 68030-68000-bus.vhd(124) | Pruning register CLK_OUT_PRE_50_D_2  
+@W:CL169 : 68030-68000-bus.vhd(155) | Pruning register CLK_030_D0_2  
+@W:CL265 : 68030-68000-bus.vhd(135) | Pruning bit 12 of CLK_000_N_SYNC_3(12 downto 0) -- not in use ... 
+@W:CL271 : 68030-68000-bus.vhd(134) | Pruning bits 12 to 11 of CLK_000_P_SYNC_3(12 downto 0) -- not in use ... 
+@W:CL189 : 68030-68000-bus.vhd(139) | Register bit BGACK_030_INT_PRE is always 1, optimizing ...
+@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register SM_AMIGA
 Extracted state machine for register SM_AMIGA
 State machine has 8 reachable states with original encodings of:
    000
@@ -43,24 +43,25 @@ State machine has 8 reachable states with original encodings of:
    101
    110
    111
-@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register cpu_est
-@W:CL246 : 68030-68000-bus.vhd(23) | Input port bits 15 to 2 of a(31 downto 2) are unused 
+@N:CL201 : 68030-68000-bus.vhd(139) | Trying to extract state machine for register cpu_est
+@W:CL246 : 68030-68000-bus.vhd(23) | Input port bits 23 to 20 of a(31 downto 2) are unused 
+@W:CL246 : 68030-68000-bus.vhd(23) | Input port bits 15 to 2 of a(31 downto 2) are unused 
 @END
 
 At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 72MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Wed May 13 22:59:15 2015
+# Thu Jul 09 18:48:52 2015
 
 ###########################################################]
 Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 File C:\users\matze\documents\github\68030tk\logic\synwork\BUS68030_comp.srs changed - recompiling
 
 At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Wed May 13 22:59:16 2015
+# Thu Jul 09 18:48:53 2015
 
 ###########################################################]
 Map & Optimize Report
@@ -68,8 +69,8 @@ Map & Optimize Report
 Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May  6 2014
 Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use or distribution of the software is strictly prohibited.
 Product Version I-2014.03LC 
-@N:MF248 :  | Running in 64-bit mode. 
-@W:MO111 : 68030-68000-bus.vhd(497) | Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) 
+@N:MF248 :  | Running in 64-bit mode. 
+@W:MO111 : 68030-68000-bus.vhd(497) | Tristate driver CLK_DIV_OUT_1 on net CLK_DIV_OUT_1 has its enable tied to GND (module BUS68030) 
 Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral))
 original code -> new code
    000 -> 00000000
@@ -80,8 +81,8 @@ original code -> new code
    101 -> 00100001
    110 -> 01000001
    111 -> 10000001
-@N:MO106 : 68030-68000-bus.vhd(190) | Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits 
-@W:BN132 : 68030-68000-bus.vhd(134) | Removing instance CLK_000_P_SYNC[10],  because it is equivalent to instance CLK_000_PE
+@N:MO106 : 68030-68000-bus.vhd(190) | Found ROM, 'pos_clk\.cpu_est_11[3:0]', 16 words by 4 bits 
+@W:BN132 : 68030-68000-bus.vhd(134) | Removing instance CLK_000_P_SYNC[10],  because it is equivalent to instance CLK_000_PE
 ---------------------------------------
 Resource Usage Report
 
@@ -91,20 +92,20 @@ BI_DIR          11 uses
 IBUF            46 uses
 OBUF            15 uses
 BUFTH           3 uses
-AND2            303 uses
+AND2            304 uses
 INV             263 uses
-XOR2            15 uses
-OR2             28 uses
+OR2             27 uses
+XOR2            14 uses
 
 
-@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
+@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
 I-2014.03LC 
 Mapper successful!
 
 At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Wed May 13 22:59:16 2015
+# Thu Jul 09 18:48:54 2015
 
 ###########################################################]
 
diff --git a/Logic/syntmp/BUS68030_toc.htm b/Logic/syntmp/BUS68030_toc.htm
index f50f89c..546cf16 100644
--- a/Logic/syntmp/BUS68030_toc.htm
+++ b/Logic/syntmp/BUS68030_toc.htm
@@ -16,7 +16,7 @@
 
  • Mapper Report
  • -
  • Session Log (22:59 13-May) +
  • Session Log (18:48 09-Jul)
    • diff --git a/Logic/syntmp/run_option.xml b/Logic/syntmp/run_option.xml index 5e86169..1592d10 100644 --- a/Logic/syntmp/run_option.xml +++ b/Logic/syntmp/run_option.xml @@ -3,7 +3,7 @@ Synopsys, Inc. Version I-2014.03LC Project file C:\users\matze\documents\github\68030tk\logic\syntmp\run_option.xml - Written on Wed May 13 22:59:14 2015 + Written on Thu Jul 09 18:48:52 2015 --> diff --git a/Logic/syntmp/statusReport.html b/Logic/syntmp/statusReport.html index a6eedc5..658e1f6 100644 --- a/Logic/syntmp/statusReport.html +++ b/Logic/syntmp/statusReport.html @@ -33,12 +33,12 @@ Compile InputComplete 6 - 12 + 13 0 - -0m:01s +0m:00s - -13.05.2015
      22:59:15 +09.07.2015
      18:48:52 @@ -49,12 +49,12 @@ 0m:00s 0m:00s 105MB -13.05.2015
      22:59:16 +09.07.2015
      18:48:54 Multi-srs Generator - Complete0m:00s13.05.2015
      22:59:16 + Complete0m:00s09.07.2015
      18:48:53 \ No newline at end of file diff --git a/Logic/synwork/BUS68030_comp.fdep b/Logic/synwork/BUS68030_comp.fdep index ab9335c..ef67ba2 100644 --- a/Logic/synwork/BUS68030_comp.fdep +++ b/Logic/synwork/BUS68030_comp.fdep @@ -9,7 +9,7 @@ #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1401227568 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1401227322 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1401227322 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1431550739 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1436460513 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.fdeporig b/Logic/synwork/BUS68030_comp.fdeporig index f759e8e..f27da99 100644 --- a/Logic/synwork/BUS68030_comp.fdeporig +++ b/Logic/synwork/BUS68030_comp.fdeporig @@ -9,7 +9,7 @@ #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1401227568 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1401227322 #CUR:"C:\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1401227322 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1431550739 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1436460513 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.srs b/Logic/synwork/BUS68030_comp.srs index 1cbd9037fe0d2626347fd641fce363cb0a78e8e6..2ddc0b78b506b24cfe7a2ee3c8149cb0095238b2 100644 GIT binary patch delta 8996 zcmV+D5#49GM! z_uNZz=+l$w13SZs;|%H%Zbzwm(Two(+t*9jsX>dL8+hc#3MGN!M4o0vx-?X0b2#^+ zXONcZ4Kzj#&vGiK7ZI-XXhhpN__X^B*=AEDkq}Fjwtu62DulaESg@*b2Vyu7 z$-s5^ZLOkW3da=n1DB4t7$g>HqqGs+5i^2Q?*j)if|C6RH3x4ICy+s@GK@&d;UHW$ z89HtTJK0kunTTE%R09MKMmWbM;PSY%7_Bv&$3Rcwf860q--AM;iFR`^9MdvSBXADN z029_3QTu5%Xn)||#EoNoO&umiVJB*Vdl0u1eUNIg;EKB*A+N!ZbJuzJ?t4f#kgd1H zdb`R2H+%JT&euQgF0QX`x3iEqrNjCCZMjaDX-Hx;H)CYD!KEo50#72Q8} ztY7foe742z%<}x~EG_<{MUSdN9gY;8+G;TnjeupA7G=6Q`#8Wlw!p!w`7+Nxu9e#E zJAx1W&{iH#aV*Qq;%L3Z%Y_YhwB8S9Pl*=88^Wqwf0%$h;=1GgrNvI-?;QhPL}ROJ zAnp%JU;a;UrbT0qpy@iehu9CTpwGV(e5Pqqd;5Z3me?JuYXKq}Y>A=~no#_~zEi%5Lx;>3XnO&-a7g z=N_?toqy@i#gY9zS+ni0H?|=3IQhxezy4-#WXtGs6Brwp2JX_B zd%b{uyf*xRZSKgwbWVG_&(3GlBC+E*R!n^A-TU-FPAnjY8TA9gPL#~D^h9R6d5@Z( zKa1yL$8tPE{lk6!OdL70;=O3*#FS*G1p0RA9Tt+419cymPl6L8;eQOq5@#n8Iac{z zObBse$4m0}?g)GO5Ud#IoRWjjg1e^`|G@YSr_VpL1(wS(#l(U!#g=)Xcbg+!{QQgc zU-Z8*JYBY9!Q;@5nYXhdYzg(o2VPz;I6d;8Ebj67?lb)ib00(ZoRB(+NBw&o$A;|= zhT-}y&c%*x4lXl%YJa%@u$Q;-xWDhK_97FOFmk*&Z|_W)aTw))ha)=fZSyu_Cv-y7 ziDQ_Xd(RhRKCE_ZLb>ZH+Z1!Hhe#whO1@;)RE{10R<)%w7)F^B0})BP65oqzE$<7>|L*_MhMd$!)n zu@b^zycTgdiM%Ku@0hUHSC*~mbB6Davsd<-t)D3yzh=*`>uh$io6_3M>F+2=MQYWKP;MM z*@9Mn_ZF(YFt0Bpa_`;{R_xK3ZP$CzzwG9DfqM#N=VnXw``Wk?tS=#srN*VdHvt{j zR#y96)<=l=Pm4THKsuB>!@9m^SuW2gUviBt&`2;_1omGl*Lch(VivN=YdPD)f2-^Hep}W-9I*&m9miT7`tM_F z9YX4{4yD6k&VT-5Z`&6(>`!nkN4EI+#(r&wuti}^mHToIK(*lLL z?=|XI{kKpXJH&$et+-M@GaiGdM=zj+w||w%x?XyHX#-=h#gSvi3Cu-QsVhGC18f9>G4goEIY@{$3o9RYiTU=|{J8F#!EXMIK$ntppZQY}R zcfCB1n0I@Adh`Q#K?mX)w9mbPz|;o&=zAmIyQjf&Fed}qW{gG?Fc!>=S#9&BuVi7- z&)aV&i?bSA81q*~4iMD4)#4zFm*MiTX#^=jEB*dcl+b zIB?Z|*w6a|F*m;P5nA_0%liOY=cWEhcm%4OQ^!2h+ean41tw%CLgJajm4CeLu5;{2 z7&-A6><5+j69jse`!1u~$H2W?QZnEKq57PV9^ruHDd(_1Ih4W`Aj5eNir^7W=5Pa_ z<@vMt1YE!`xP&Wsc;3SzxPn5gP1Z|9z4&b27)LLlmp4GL-tt+F^}rKYFTp-wb=U`z zKRfTW`&j5hE!@(tvH)M`K7Uuwl6ajY2)7ehQyM1*$da+8xgD5rTCoARwqy3|+hMKn zT-!QIdoe&6GwAh4&u9L2@d9Ty)$K5~d8d7*psnTn^w!P( z90!$pFUkWK$S4xN7mcD@dcN2FETQM64+ge_ywb7osqE}Dlh@#j&q{nFbri4YDA27$ z>L>#1Uend@>81(FRDV-9X>%KgIJYs_1U0vXKGf~O9_6I2E8o-0Y~P=t99__jmSX}+ zh5v&03Ya*U?(XUB%3#au58q)vt-IAz@B!PD*y`~8+P||(j-v2EK+*K*f-3u=c!0j2abx^GruSsTzv zihs-lef-kp%m2vO`tWHXq!L(;|KJy$7cwC#F*aL4VcY-*1r)x7JL4&{vqYu z1UY}EH>jf#qkk#?0LGSt=%+xpMhQJnJURybO#m-X7US&agyg@`7t(!rn19W81t-sP zIP9FL^r__js?U2|*`pFC0~WH8YqHT#iTIRmHWeR4*Eco)#C{JSNk0JQ^`bsOUjVUs z;R^)!{#43^QxUOU3Dej-C;+`gAkM{sf zz`t@orhoZRXlZ>_*-9=%_~zw93DsUsd)&VG-~U zNo*tG0$K>$EaDir{>->Lu)y{2*wS6n+dqWeLoVUK?m#?U^o7_T%i9J|Nw<0X9d=Cd z(r9N1U?8xw;1@??ufSeT4Hn>f(6nlE1%H2uyc6=Zb^g+{0m~6sv)Hr(Q>+)thv9nv zQj~>%4bRm%Ljv=@rscKL$)X;F79q@K5!bPpA!LjmEZH}S2PEGCV~Jrbpbza3IsIZR zk;jaG4}krRhErqp&;9^x$SK9F3IGB#v-C62J-8BRzakd#x*80+{37XL>BgeJOaJ z-{Ga|GG4~ADn9De-E63gJJopvO@GIVcoZ{6BqkN#P3R~c%YzPX_)NP&UZ=z{XloEl z2_DZ(D?A?bJFeM(-L{cctZbXWCWs?0*{j~F)h1w@H;d;?#2<4TGa)+uWc%#|Fy^~9 zp%=(_f=yr(0!kzf4Fwn8c=zk^7t2T^_H|^xz7mw}M;3VODY#9VcHtxot$Mp;L<-{##hS&_}{2eYp$d!)i+I+~O zUd7>|7D4-fP(4T*HZUyagn!W~?<)U?EOKnRp#Mmn7s&W*9Gqq+3M**Ja%God$BJN$ z&^fmo7;2T2c&w{j^P9!k#r_4%wwmOzWIj60sxf0r?OSSz{>YedB(W~^2RR7XXCO0i zSjUjI+-EM^b(YJ$-2=A<{^{-Lac-qIuwQyCdmbrF9EKR@K|C9oO@BOVUcj?v6VJ-| zK}-vHSM}q<9I2Q(`aWpy{|0-%&boCY_;?i^0e;=Z->5?_aYxUCs(!h|?}0yW3%}R0 z9ctyip0t~Mt1zpweNrDSf|9o$(;)`j9st9MIol4$>|t_5Y&B%#?c{WPu!b`_o^07M zemKp_=cDpjTRofNyniA7#plfjjhBMXI)b4g)@@ABRm>Z;^D|L<4heEJJKE0gwDC2~ z_QE^<1O}Bi%P>DBuJX0}U@m!HRP_Zp>u5YI`f4Q^V3RStRKSr2N5`ata zQbMPPX$AK(tIWqy`1iy}BlcYIDk?65aevTVyxjZ91;LV_y?-#10#n7JKSGDc3L_F7 zBi6B5EG#A%4zVXv$$M1V#JGg=|6lUB2x$13)M*7LapH+HyS;j!lXx$dB;RSN=;>0x*FpFE#RJ}0--sX(pNwDocAi*b?4xQciW#_G#)2|nZGz-V`~D=BZ4 zdaMOIX>0XvoPP-4nJ=1rDAz_N`O@cr&}oZQE7O<0~DCc|@PpAk}))821FAPdiNl zt7^AREzYdl?OJ(*hpls8dri&?&ayRUrj0xJHeQQ;$bXn6d5AUpCj0{HPYhTezM#I; zZC0%N)XX1ERUQ`93uDD*>|0*fU3MowV0Z8Xb)3K3cJmE$u$@I*ElmYmkYY?8b%TzF z%nMwB*}@g*_tW3y8Rq_|^g{{e*5OW!guH54*3x`fcCbplrEHIlsheOVQ*H*K^rOR- z?|Bq+Ab-4-=ht}QMlKmNMgYsH`6L08mMI^@;`SW)9Y^t6$CAKJXD}{~p z{@<;SzPr_Dh$)2+jT|VJ@xI2V*ti7*5hwCVQSP8lKAs7A6P#*Pas$e@Z?sY57LlS2 z@_rknY=h|hL!}MzJ|+#sm`9k#^*ln@=c&$Zrhg4?)9#EHQp2raDcu(XKVO$4g%u>P z-ut$e*z`<|Q6)!3KHKJ(>UeCh-wM6#UwiC!dApla@=i>w>F2PUXN-5>@aH@i7ROSL zwdy>W#3dblW739s^xD5=%UiyqrN5Dw;ETh5P0W9*^NdL+XIsBVS7jVvujN!+^10h| z8Gmw5S|1v`+sN}xsgr~6*LA+mGeIBdJ|FraT{<+yB%07MlR}2sP*BCBurcy?!4Ktr z>l~~?fvYW47!lgA!ZzX_K)Khi^L{QHM?O8>i8<7gMY3*{O7!f%x%x{rN6$maw=bszux7w;v$zy z#+7sIdLC8qye<8T7-f$2rp>+eI%uzd{`F!UJjU2p%mD_0FN^WpiZ5@fn3?-q<(ltb z=df0G`A&(ELJZP`V7twF+sum9o}RjOAEbJ(!IxR&!nB|=WrNWrf_tbFcc)0DxqG6oom@y5BXIFF&#_G5W7-<>YxjVbPd}!0J zWxhD5gg69u$&QNHiH3_w6Bm=R&v|Y+45q)uI2SMQ;6)vf{rxJqaQRnp6r{m}>H5&r zc$xN`A=q(EI~HG(X>bwD5Pe1JB!44%_5?0MJ`r=V^EgH;$7uCvGhYDpBA%TgdnV4& zU@juY5>_GesOOh$#xbIhm^!Iw6YHiK&oDpxkd^TZ%B;?LG&X0qPxE=FZ(Frqn8#Sx z4|UnXINpYqXK`xtsOl>GOK<73TY9^TT67?nI*^FCGSa4|Rrg^4PuXqUV}Gr@;ltE0 zP@Q|y>#?+Y%6PP?xHrtBBY?FqelC2sihYl&_PxrdM-9AC6%wE#FGV!f~k+ zd^Vq|*d8XbV@v{bCsk}ui!V%rV8qT-PxupZPNyhDqhLzCb5+)$4+YNY+>Zd_l~1MR zK#4Mm)9JUhz~~r9YUA_8`Hz@)mBD5igSEmCk1yJJ_wFa@~WoJGtKvXDMKk3Sq`pR zh-Zm#4ac-N-;(1gBDsg+OohNQV2q^9Dm~D%XB9tP&`DYJ166My7mj9Kr8ikaCMGqW*fmgX@5(k_pcSbo5!qiMjrn{y?$to(N! zd)WC|8f+`n_5ul_GG_oPgN-pgUnL{)t26ju4v^=YYh0lpj0; zD*Y0+-;$2C)bbocf_;y|oQKx@4B>akd;-eIA@WIM&gR}(%6}2Y!l6&G%t6&-twhSd zZkKB18R}2)@z5{&hnPB%YJ>nZg`GNtS91>VOCj#i}A}gU$#~M743i* z);Oy`w6h9{$bSRQ`)VX~YHT*H#;@xBZ$Hv9PdHal`ci$r-!McRn87{UXYnJt)qlU5ySS_v(Cg za50DJs;#Wf3DhyvaCX)3!Bt#Ua)NQe3Fc>sNsdK%*Iu9{r-9!BC^-$8vsa!q=b}!D zD;ly6qAp|R+;mhd-8q?h9+kj+?D$|~`C`@`>wg^5fxwFMhwfOQJb!~Zcwl#7``d^W zEvXxsCBB8QIvBNUlBnwqVLRi%T-P^BJ!^xICW01`e%itEEq1HKC)@$Z0&0GaS1-!h87*`@#Oj*>y73{3xEHw z{7#r`a6JFd7>xoK0Pf2FpXVCA>yaCb3>0c{@)Hk;QgJkti-VonT9FjvifqLWN(Ko2=IS>W_dgL*%1Qn{ z{^t4n1Rp46smHb7>U}A1@_mxaq1JvblV$tjx7NOtTiJg8f4ombd%0{E&wmmpr`7wP zxctrY-);A~y3fUZuHWaC_kZ~(UVERH?{iU5dp{q4`M&(E-LJLJYwhz|`@GhE`8*fx z=f6SuQ1}0+e>f`8N@P|F1L?uv63X`rxT5zo=ARrqWI~F&QHg%i$RUXpjP6#FBx~l z6lA;8jLYu=O_a?-n^R&mlAj$RVH%_|ccy7itTSu1)yz9v9kyMx*YOUi^J&P3mEU2& z{E`&Efg~_ZalS`!1b;*uU+s)uBiFKpCsE&Anv`=R$^ggw)_L^tAYXIvGB0v8R*^@F z`38-6ZESYrk+zr1oF|$4tjj=Px9}vP$n_6Xt2`rhNZC~8@9WoZgeiY{qP`m@;_@Y* z3iG3#8wv>$od8kH5-+D_p;=5bQbUs4M zX;m>1@l6w)8Hd0ojwGL+k;TtSPGVb`USDs@CHE`NAFm19%bZHd0d=(1#`g-{q0PB! zGskvB$wQs1Gc(q)-Z@U6!e*3fpk1f(y3D1)Tx#rXno6v>B&B>*t_!*8;1zV8#``?a zZ;|nH7?IF%ZGU6!nj4v`+trSoe^Sk9Z7Xk-X=~N`jkiu2`#pKQ%qQn@E_?^`ha11! zbbKLq7h_q0S?Yoo9#?Qe*b21vFxW3H>MuRdZx_+ZIX0PxZf8t<>_?+&}gFcF7sBjYSoov~aH)cDhTypMN(SSC=Jv>{n#5&S6SET<$I8 z#4F#CtHoy8z6+z*|6jk6LJha|n~i!u7g;rSs?DEzSC{FQoGw-GfBl{e^iS;@v<)(L zQ_NARCkwt#Vtl&Jxfl68P2Bfo+=s|>b8WU&)_F1CEEG5_0iDIkh|}|%m#+inkrc6C zu|JH%w12|=(K3Dw??wsK1Kv^o?bh)zU-Ki$>#FNMdFD-Gxt6m+N}in)L2gf-WxljW ze&=j}tha6b2KtzYZ2?fkZ0Pur?he_PG6!!v$+9(@3}30reS;GG=)_v^v;NhgjV}-w z0Qcd+z4F^)qj`?c6`s3n;Kt3FN-j8kx9%2xLw|k?0WcJPsSLkYSe|Fl)>&Q`f6MRb z68xSnQ8sbHj*8Qj*Atrmeedirzs|&(?P7&FMZOZogK!LQZYejN-v+R3zyy&U73Zxh zd5d9ZTqtbGxmVw2EVw}tSCO11=JzMaLtuV?9M$vttqVE&)_-lQORp8*?G*7*?QC@s zFMo|M*|Fb?m1gh9N%12bQ7Euj)QInp3;7JBna^-xtvbK`FuqskL{#j#JWEpMIktS) zp^o#zwwNETGv-wm=QtW?D$6r=4VkLntG8F@gsbmfx@We|O>3T2O%74Ey4S>tT4QP# zbIM3HPm5G@%CNmgp3@~cC}R{U%2n~*i+@tCg74SDMj-F5<(-QBbHMPAG_du(7X9v; z%J1TW9Mv&rrR+bfZ(M%EyC%bC8k$a&CWVfYc|45$P%{pKIOJ77Qlb6$=%CaQhky3EDPW71RmG3d|o<*r=Hc>uDEjljOW5xPjY2P!~=0Q-biLBSS z&U1Z&>7#vFKFe>WYu`&R<+JQdbjiPyxp0zS@9^(rTV4L0`+45$_u$|E2LJ&7{{sL$ K=`m##cmM!}8Djzf delta 9135 zcmV;gBT(FqUzlK!LVx5}>|Nt78X!%IJlc%_gCZ$u5Q$_-Dy|BCe1}RJAYG(^VL+y- zx#wPzL!X|EAJ|#RycAFla62f|Vl}|`FP|@Ar#pkKwD2gcL#=?*M4o1gbZ)uHrf}Y4 zDu7JV8qW4k(El(;((P}GY4D=-a#~nVkEodUzXg38bDXr*v1WrL) z5a_(cvL9DN27mrl+&HG!+*4u_HdrFfq$ho>q>m4GB%!!T6C9l9qCYq{om{DDse4)_;Y>HOxktR#ec2JWa`z^T-0z!>-)~`IWyS3A}m*D?_~v+j<*1Y7TgN~b(Ym7O znEy@EzyBvRQQ)C0kf0>dJKPDZFAZ< z*Zy371%vy+%%mO|GzQF<2D2%<6PutJ(`}!2G+=j}iqyf7WcSXmf6kFCS$=_Zcka6} zvMtHddA-g#`glV>y0({j9&zK$qwD$leog1=&iyPLE$N937B`QlW#ESoblsb;XZO9% z#~u9`+g?iGz0`~C$Rl()IMIKf^8@=aUbF45S2ic~F#gHbzkaY+vSoC!39XG!Lw{k- zmYtBkA6Y@jHn-$oI-{N4NAIKUki_#mCm{h{-UW0|jw~emDGfrxj+9LE>`11&S%=ym zKZ@rP&+(Ro2KzhtOcHz3;=Oq4C6wgH6y|pE9gk$G8UwHMDLr}#ab|z^72}-K{Q7Cme=^?Tv)xAuALJ#_mFG~;zNP!k zoE?64>EF-hUp`B?8ppwL;3YlIyVU~vO)A&8#_(6ISwbRMvm{E@n(f$T?=vf)mcM5K z*|6Q-vi!h*rvJQl+3+~4*pRLBm#1M)UtU)^TjH!REZ zeB5Om`|Gmc@8L6h?&fpsvvOa}BZEG?#DVY5l4UHv`$U*U229Zj>k~TcZ1p;2a-A}= zbmjA7*r!D7%vi4Z81^RN<1weIUF|WvXJa2WvW(uNTs(h|`#GF{xo>T&{WkiGu)in; znpFK2JesUIyWekVa820LPgnz7L!=$^zVThD{I1kdvZMAM+mD-V*JE1w-5JaN2+*PE zkB|mEBQ2Qp40NODc|3c`)gBX&6P^RzP?D)V9s^Bqpoy1#F4tZ4H>6|LSGhJMj_{w% zSeyC$%K?A(|Ha8QU(XL;=7K)GqeJFX`lUM;v|QnB7v=$Sh!D4=*EJh{t@SnRuD^oPT5%e zR&(Ys33$%|61UZy$LzHtQ_SCET3)L@i0XrweH?#keH@HF4vju+)d%QP)yIL&f4;I` z+di--%v0Kege?yLn{y_{$trk(AIzLp4q=4(_rR6B|u{! zMH91s>)YtzfrU%*81l9@)mN82YhCZ9cAS5}zhJM$^|`Wy+^!zOcPLW>wj5l#r{;>k z6&Xe+k0 zwa8_lcBEV4oakUb@ac)}{egel7wg6G9-*GIvBHHP(#-;FB5{p#;evlrhI*7vR+E3p zimucgBOo|f3s6H*GRf#>zM%)S>rmesdtBIJT>Sm|Yq$<)o#ly+1~&_K3YL!{eeiq5 zGpsk}+U|utScZeU1);rODMMlXGni50+7q`N^O>`*K?`b32Dx47oQbf;9W5S-slkc#r3l|6p?d~ox!MLgyLCE6Lt(rjbt(KJ=sLUcdGhW zPU&MgTUN?6;9;?+sh3WuwV{6-OX~qs2f)?|y|NyD)%F~W-`K}(PwES#7o;(ecR<0x zU~v}$1(QqT3Z45*rT(SQ`EQN)-20LDK!#r8mlXLWkz-mZomiU<{X6JBKeHjswL1(@sP_K$z!oBdkb)MAXm z=(ISon`QVIJOJ&k+4G@w-ANBW{oG*$-4nGw zZS$%0`zAerF#@6#eXsaLZ|+P#i{z~0gMn=@%wL30b)@c8U(b?hDWkZMQM@6e#ze`e zG2#xUtbR*2fi2J`oAiI$!gvv`Z3KI4tgVoT=qr`7`5yhKimZG~E`uCJ+Po+m!88PN z0sGnkN8L%ny8t+AcY}Dqw_vn)9@%XOTM06SYum~(Fp6ugd=M^tPqk*VXNdzqw-JyH znD0&o6htRsP_Zj+GxmsfLR(vxmORn{hYtSJerby>lz6TayWoFNQV+$vuus)Kv%N!u zA^6njd$Xt9d7+X{?EE-Y*RFBR;abZ{S2#ni=h)c|p{D}dv4p(?G-t6;U?--0DAz^! zW@?ULoTM5419N2(lna~8^bfe3f_d$wDY)~9vE}38oc$yOe7c;>f2!Z;6IFcp4%NOZ zupnCa&_S&If^yxH%DN{t9xZv|2EwfNy{GvysNyIJ0)_{VZ51`C~hg z2mDAPppOclpd2;rq9b!mTSdzRHMb6*Dmg1;mSIQ3-oz`mc!%x5du9clm9mk5Q@zMR zTfk50Uux_n*hssDet$`Ki}msOP}e&p4<=yL!asoANS@)WB4ZMZO(ga-;R9H+X8vHG;(h;n~N&EsKYv1R!1$V1I1G5Yb)ee4qS zX~Ri=N87N<@86-#;_RQ&i}3}|Z2QB8f2MGxLZ(O^Fd-#RU=eS14 zmz;kiHa#ay<6bjos`fEUGjQ23XwssWQAZlzbLpN~R}+6Dz)Qv)h{B->c!|JS9)XRe zkuAo=_i+DVW%s_tf<<`i->}7>NPmbWu*dM0?JfT{2p0>V-R%Et;FNm&w{YnQ^(+DP z=tObBE5OTH$Ssy&EF{cIom=3SC0=Xbmo9(Uazyx>F4{L@zu=u@$*h0(J`JFgCH>d0 zV1|@T_4SNQ%YHy6Lq8D*n-FCU+CD6_I89JiIpy36d!YOlu-OUd`y@(2uD&I<{N=wi zhCcqamcGI$(blM8np)NoH}-@|h>3fXNZaG&FkFAA0{@a+-o-}B@)kj4; zX5~|XF(iWqj-YvVHuR!#%r&PxC|ncESE!+?4%05dpi;0pfqo3Y^?2 z?0T`^p(FWQ_9bOfiEq0ONKG(dK`%MBvVl)13&HnRyjqSGWdwLJ0)=8%$Ks`Yk^G3` z=y)%T0qmCuJb)&t1wN{^_USV}u*BhlzR;iN$K)~=KnESVqoqwZ+btSC{ zI5ojt@DeaDq81$(i8YyU#?ODX&*?wM4iUELKYV`Fv2-1SPo}wjB&uEOB?qlN6vN;h zgrsUirG`CC1OHsRwvlPKL-&*hKL`^xn~EjF+EwDrt0`46=&6i*tC(?I@|k0k1PlUL zo2YI>vcOe*wlic(rG4&~7%a-4>NsVb8+4PNS0k3K?M#r$kr!dyy*_^z`+_}NzlZj$ zxjra|jd`41BO|7+^?m;1<)Un?%ZRsr1MgkJjw5(bI~{k@Hfxtk z-3NZBl1C9j-pln%jOJdiKP>5%rf2R8mPJvwO5vM=KU(zbd&?oZ{j zZsplb*}vlXnLSnbi4A{7uY(~x#82*SPNuCFx8XDK`5Y24MjO6^7;zh3QyVXW=LOc$ z*2b=%&@R&R$WWHuKAJfwXx(|>>4SYKatHLY$v)8X7W}|B+d)o7s(m)$%4WteM+(Lg zkQmy1lbYSjL~I!O>vULQV+(pC1Xz`U)nI&X3;JZ(vj2uKVJB=1(%-gyVs2$W*>6>NAOHoGLtV8_76Q+uYDr0c|F9TrgU)o5js;Mzx)SxyL~I zi4A`)T2p4G7xH$}^LaZ;_tA7}`qatq`qXNi@Z0DiTs|`EcF#gTxMd4}X$J!Xv#sKC zOP>XufnAZ?ZfPSXOU{DHfSEBW;(~3QrJl-_PBqV`is#)`uv{7#Qx@5R?o*_Dp^pg2 z6vUZ9yK4P}7!}GN{9YA9ay$p~WE|?1@gslWo1>A}r|~(|_a-*xg0Yto8M}h9$Y-#` z3v7E#UvlAx&;F#B=;|zPbq=5CJbkaMUE|r7$kI0C9b6XO(C<;Md|^^?MDU%!vCm-?c0EwB8VT`Xo}= z75U8iCBAF$pu&<&J2rF$rXu`P2L7zF!wO$i+qCGbw)n*5dSnseAsNi2l1n-HHWK_^ z;BM}@cHrICcyPl%Ck_9c7;~=Vlh%Lj>@zZ;lJ^UL6~;kS{u}+e7P5p|1I-h*ficZ? zegi}ML3o~FU(azK!ZoP$A1Px(^ju~Q~(ED>v{V3DQ$rg%RAo6ki{Bq`)# zj+taR{w-u;^PRf9IBhmo-`8ud{g%m=uIhMVe<1M;{za~J=TnFzE%PE)mWGK zd=8<&4je=QLD)M^BlZ`%?XhLE-OSHGoU0!HtHu7R{WxVmWt`MDF?Rw}OPxsK0aeUB zK8v}>Z(!~wj*0g{12_C*g_E|$M@wHS5x&$g)c#gt^2{aMVK%T8Z4%)VcrJXft^9#V z+Xj*L2kP}8!hYxka-NwdDinXfR?xKtnzr+zo};Kcxo8)wcv+H5x}%>$Gp2_dCgg;Q zv#I9{-x#qju4H&iTvzYg#INJp)qAF$SLAA$SXE-^7L8ZodV+6`aaXK!Q|lQUan(r} z4%lh36fr{;hn*nMGzcejd8%NZI0ulSNGq3YIimNAsQrpeTdpn7vQd8z1m7pxirhaf zA2DaP)!vqPz<`z3a01mG(qEi|L!3nUjNnrDKaA zk2?WfB;(H#xAl&Z@Ll^rwPXBp1mBv7eb+TK(*7!Q zGR7jdE$lVY)pV}&x;lUEv?4FqM5t83yEETaxCo}4!;rC))pCmjEyw&ku28#I&p}ak zMo~A&I^T6J0jePQ7vy!;%q1{rxDuNS*#rzkw!A*k)*J$~WfWE|_$Lzl6B+zN!kB6Q z2s#61nw&1RKd~~-(7E4=Ff`1mVlUxdp=`y3Axd17TPEgK$)|rgvzJCJGeS8^;z-uy z6g(?rR-e$%s;&z4JL-q}n$4CcMMp`B^-M{Exp$cJSc%mdc~$4ybCkJ5!q&&HU4n6p zA=TJMt8PPEYG zbRTcXzyxzH@E^4=W}ez4ZneG6w-eoN;0G~6l{QugA&m)~GL|?2>cmRE3h0s|wvsCu zuJS`r;d!1&v9(Whu*6z(AWBhpUcoMZJf}e?oQ$d%0JQhioocC zq$9_@$>_<9%{oC`!C_2%t$q*V_I)a8^OB6#b-u1Gv0qqK6Z_>=-$CFsvBG{izsQT_ z8bsAR%cv5surJZGS*K`T*KFpA${TnahfMUdt^USA+YA?T@lih#%;SLlqHb1+Pr~|C z_?!lVv-f{G!Jwj(;l5+up9KS@zhaQ7SlSqYKdR}TkreCdS9`0xzP5Cpy~4o}UnkTS z7+Gk>-D9|ixfYa9{~<-5MN!|~YEM7Votej_Z~)gk+Gd_{rF}CM^+H$j+=Z(*ulN3y z&OL$EIbF$Gx+~20I$dFX-BqKl?_X8?%EG=^wpD*L^~ja-CF^l7SX(S%U+p(7|WW4I3m=Q{xbL>;`|1Stt!{>ZK-k1_M06GEZ^$*TBbUw$Zv8% zOuB!{&T?)Y=F`&&}-9F|U_Ti6|J+S_ca|=?1mNh+UkL^_D=aPRj zdwH(CzQ^@gae=!w@R0Jn7#mCTJ$3d4yx*n$zR1JHx#M@7t7~>zd?~g(5#-Aj{6OR| zGSc+36b*6#uO;G44w}T;U&H!EteryH?F?82D{A2}*l(+0Ya2CH9_Xw84X6c%sQ>Us zh?tN+3a!C_`Xc8MYgaiI$YL=o6_tOkvbeTGh|h<{eXXaPGq_AhYug*Z&|*~iTRs%;5HGzi?V6`J{SLo`$Rr3 zX$kIgq}%d+E=#^Y;QOMwo2%dO{0CCB$@?F8`J3bK zw)Ufk#|$Z?eKrxDUxR8IZR`w&g3fk5Pd2filcPE@D+EH8>i|^f*;hHgB==m zcM69!bXIrP?>F$Ytjzam*C$T9u2wlkbv;8*a=i9;NTc>-#)$Sq5ijN9=$@ps#lcsF z@0ggiH_f`jYJO;2>~C!8bE0Vd?5N+Yq1W|_Z^Ww2_*Dg~OZI<(eJ1zyT)X~$+(#pq zfA0o~zNcs=()9_E#$wUFwDuF>Pny>vc4}bkCjP8)=hc~8x|Y(7B+d|JS!nCJt%a~( zh5ch*tNP9gW+KNe{q$B`rl>X4cmZQX;EZ|LY}3i?KHnxi;_Onbyi+qjMHg9r54-J=e0HC z8|T*Mt#QirCuJUPTYFL8UTM2@E}eNEph;tG?QQhf3D1A$=HN=?&eW3kY0?|%EA zV-W`5m}f)Cv)~Fj$xAt@Y$&fYZ}qDc?g|uF!u=71?Lh>7A`_GHa|6+cWB~FY?G+ z^$cHnvfs8?64q!aJR4)Mm|H1guuifAdeHTeV)k!NWYG92wuL=CU{!>I$6yJXV|B;50AJ8WpkDGG76H zV=mGqJ;nmJQSVXr`EsCpjMrY$VKL^`A#Q&YJBV>r;ybWM{9Jseey<+isqdA-OE2eR z@p?WMujeqDG()#lJHJi?x~>uTIg+&}^*YcvaL&?H{a&p`xPb{*zv{7yk#BFwKv)>+4}y;(s^j}@0uos&4IP{wLIe&YH{os07Z4FCz4=YE5Y zt17Bnf!D%YRG1N|X4O%XlL?k|vG_5D(()Ymb|AdX0a@O=vA#_3L zNI0~1zAmjsxDsCmK}O$%L0&ssQ`8FJPEh`Cki-MngZr|ER-TW55Zck8(5c zC~oUcN5UC64}Z1v&QsM5X+(hkvK#kO)+~+%c%h1<2a7nd(d6OtQuv7>_3Vr!U2LUnoVP{fJ-DD-~pLRT)sJitIWcE{;}yfdpRQHyjsfo}^i} z*(|d^2*kS&N;M^a7wF3fNE6`1g*Ls*usCSkA?x~*DSkhDzA zG((^>-8)zJyWex1*m0hK*}rb3GT=CVJU%`TKOevM=zC&1k>i+zL{9jD(iuIzx{jaw z0p}6@G*%P<qnSXic-Tblp5`2kyFXFGJ@NDrg7r);{Jm%2C-Mbs(kHIax*6~^$QOClcx*pqY zj7`tA=B#(mT{?dtJhH}|i$1uEslV}d%r$zO9$|BO?{YHWHk6pPd*S&;&pY;*+j*eZ zlV8b?h;e?$mg_EiYOx$g5gh{n7l z>YvY!5BGPzdrdpfK|ki5D6RMP!0-%qe>4o{j(T(2;-Y^)s}Iu|gZA|P$ED)^*=qXX z$Tl;!G5qOfGpFuI%*Tojrfl|M_m?-{&F3qZkLY;Z`d@qp$9+CG?*E*+BcFN?BELZg zukSs!dN9~=GutsbA90!P!3h3wzko?K0v_h=J2C@C&HqETokjeu_>yy&G0>GN@fV>%y9VM4^%dA^&_P9Nt4=iHdn*FBFNdvgOO#U&5p)}~Lz`{NC? z+cREc2Ydc%`Vbg2ugk=`%&ab+*2U}V;%DpPr|W+Tu&yBf=ycP%x*u!n>e9}~Q+0i$ z-Sc&Av92xjYnYDVuzn1OXUA}OZVWBLp)QM?L+4qH(U7KpffnXRI_S-&?C8xOd{|?h z{xg=xkxu5XDbiAJj&x0cUu;?rG<$x!K7#cTsBfRuw{Na*f2O|u1@&22pA{~h{%6uY zIFx^1_1nwO2Tq);B~8=D{HijxecD-eKDWp7tn7L32sQien35KK*oZRH_cpjTH@(?$ z^)U5~F%9zPr2hH)Iel*lo=q&^*-n%k$dzN!(qB<`Z*ZI**=+7Vc=sKbCNS4G@9BFp zbSxM~Cxp%=38%H=*GYI&`xE3%#)iJ$cx->RIsy~)A80EW&#`PfE!!4l6H4mKCNReH zbc}J$TQTvt(`J7^_9u@?yG^~n`;WkElSy4ayvO$6uv{M}<94U@{zi<4iQ^H%XZO0@ zZPV*+s|);dPGQ=o$d5hOzo+fab$8rFK0L>9?HR{;&-Ht>MaB=;8`>UsyQjuHz&d|o z_+;0=IF0x<|HUG~r%Uzx>RjX6>CDD0YQBFD{oBH_w4CeM`GxfQi(U)btLYn-$#JiE zKcnv>(}5L6qAh$T*S{wG2aB#b{q-Z6h_x-#mYm(S*0t?O*yM-UW3us%#2Sjo_Lq}B zqnwL9u4x@*b);>Yi#A!GB)YgwjG~!CjERaa9@go?W6Pixy|}_wBP>C9-sUD_FcQ_{kwj{aGWqC)M>Xjbg=_5vUhc;U6XBSL&mE^CltIo`bHmfOeXYCwoW8>V$TM`hw$ons+qB

      zGMgZcS-;6d$OVPJ zb@ch0b|%oa8NP3&?^}OJrpx5%SCX(H8+O~*cga3!)2C$liw%=u_l~ub_Vu1lSH975 ztF-&nNtO)W?hc16Y2RIU_H9WIw&1gNM3TS}<@K+h*?O433&SqV8oqbUp8JrpX5Hbk zR$ln^v`n7absw4sud*fXok$0^iLwK+tgRT!-R+a>_7i_l#*%&g#lIe|fTlgrxqNmDIhY;RFm9npchzIK9}$~cG3e?Z^0qz``Z^mA&(lNJRvf?nCTP89*E+Z z{S)o)aI~~tHoSc&wd4X?Sw1?#O(dK*+KT{c!m$mZjJ+>>=$ zQ0Mq~x}QY$^Gy4#EFaL)S=s=;{Na**@(cPoA?#Bd^n2iGjF9vEM9*(skl&9k8*gph zVZ(p_`tyG0zko*VOXq#etdsK)z&y+sbMFOp$CvQn!{_!T`#_g}yh#2^ct5lMoz92$ zTrpSB`HRNX5A(I$8~Og6jgA-0T_5(^J^6H=9%NqY1$mj^7Fh*!q;=L zUX$vb;141emPzx|p1TwfjP{n7Ibf8n#$ z4fzNCN1N1liZ)&=BF-C*^W$F~h^X76^IPouf%#;2mD=toxk};#x!Ne7B)Wg%EQgaf z4vuZ;9~>H8!P^eKoqJSE6JtNz1w+?BSKa5O@i_Pm*RR7k$22Jov9NpQ_LUkv_BX zq@PmEPx}Y^`}-q>!uSo{t#E%X+Vt;7{jcCv<#(|y3&N)S6^p)e@&258x61xTBd&ih zmlKFS1!*}e!ZyZ^xh_zj-lq*)?ObLc#bH^vKN^PXx#-hFzudUrmUL$d|BIQHhqj^b zy+WIg)ac2--En^{-V0KUR{--AWwf$@I^2WNv#uOcYD?-nat@ZD9q51i23loUJu$EH zJ#yu6Nr!=nbQna0M=32yJ01;-bfBf{Z3q`vRMZjm4mo{px8~N_I&VnKJttqsz~>6> z)zfvmAiZ0oe9kl9_y+wh+#$qrLips)n=lmRKC!;Av42kruz7`cPz@0{T?>< zd#Lw&nD={F?YD`@NNAHqG#X4xz3YFPaQfYuLmzjyR2yf`Kf#*aO{!_hgcg3cCaV7d z*5vSj@NK$=bQ|jTb%8bun8dOT4Cub_T+-<_qf=|^$o-;_kFsH5tV=fB+O)ErSIq?> z;v?2*5c`a_)$)Is$@J1*O|x}896u#;J_uq?5CoR<1Z4}Znd3BFmmBC)g7eK`zMoo2 zI`+`c5SSPKAv{jUelqza)}xhF_p;Kng54N-=H!Y>+l;`8$?>~k~ zVysize`Md13XObwWV<27dLD-f&_imE-lRTmLa>hp9NLJaU}j^vmo{$bOQDULJ-hN-w{@#Av`lO}bUz)#31NsedVWMVd7Bm5t=qq0 zYy>SJ<_Le}yGPb-4^jTp?c>?lB7+9GD}=F4dEFZ36^a^`F{XbYuuZ-U)HvF0#xr?Xj+HI@V~V{X zguMW!*0%Y~N#Ean8F-68$YFfL83P%4A=k|2md+Lin+{%mfqn);7K4f1yat(SvuJ)c zSxGKL$O*Slzg~BfY*R~Tb-0M1Z2uAH z>XJMO=y;nH17!oJi}8Oy!QCLo%V&URw`}MPS@)rXKmSL#vaj!eW2T`|EQg9_E^_WuC>!d z?5Qb0*RgEVT}?ahWIOK)?YtB1ydvp({QR@OM7@7ZCpFl@untG0%FHl?;#^11o8MA@ z+7bB4bbr}~Vt?5>xbI4Suo&IC47M+$;Vl^f3myT|@+yO|7F$Zv!Qs zL?CZasmEbC8Ln>T-}i3l)a?-1?qRu-FJ$b&H|Q6I zQIe+P1N=_JcPNLX<=_vMF3Klq`COHWMan#!6u#@_sP9B8Q_eTqc0hi0%n*Nd(17E* z$!$57T9Xr(D%+0*YhT0uvX-T2XNxNMR?yB??d!PyM$}l*m7&t~eI7_h(zMcl5UW1f z(2AWX%=EQ-pbvx7k+E6{x$fJLN*PblZ*B`tv20Ms1~IfVwmD9F zE1y0XVJ)T{${P;_4u2ppadUsKH@)>~r$cg{6>TGu?iJg%Q`I+D#%Sdkv{AS8c>WC6 zV4wZ`yj$jYnwRj1b|X@)^$m zh||?%MGNV=Obap~ZITTqB<;ia^YTTsq05gQyE@iH8*8-VK-&ol(>zRNUummR`}XWe zOX<3OhxG{+zN^Ep#0I@eevevLYHe`j`@G2ahnY;G^Zg>u_8G1xKM2kg8rr*O*1OYT8z*!|gP+x+@< z`Rf2ON2C1|G2B-NPMhlKf6d3{`bwRV(sg^=+45lP*r3&IdEZI>X4yLK(c@hB-3eh- zKQn@UcyC?UXT*Qr0X(Yl44+-V^?x^Xjwvxfxr~Zqts1{i|Rvz;y!q>nC&npd7?lrkMX-KFy0Kxe$KHQJj;Cn_4%!|9yQmK<-l)|p z*6PUjZgty6CYuYp@PS!;b5&`(UWVE;Hbl2igwj6Yp?#vYkg_OnFP!eJDeS|!Oh2C@ z9l4zTCEGIpGT3aUs?0gvOqYkpy@hf+p3yoCxuw|*BPRmo5I&Gbi##zxUpRcEvVae? zN=F47fWUt|0ACnwIIVrJWN(*zOyKIz^Te>AcWdbdp12E(I87-V#IPxX>=;BbD3`^3 zhDbY(%REL$yfU9?t6>&Vb1`xmKlSX93frh|v&+YKYlabin#FbytsO;sQi1Mw#3MP) zdh9jz7AMP)XEJfj^z|w2vcNBZbK9ZAw-);A@GO5i+D#EVoB7mLJG!^@V;LvV^{Z)p zKi_{ex;OlLftez?tujO}1fFnn-q^fyc1WJb0cOw3ZAoq`XNu&$p|FbDxu(YQ*4=)^ zW+VNB<@V{e$D2s{qa)S>CF=XAR#u2~+ZXeCBb{!_Wn7O6`&2D+;0I{VQ0Zh4M&>(l2( zGI_}Y!7~P#3}p0F7VzT?zm)Swo#=lzmCrT5nC{vg! z(|=sW*Px7O&WwL{E|t#;{wEku^&QXjsB&RXgmMG!L}{C?yvX(+xCGSX?foCa|VBk zhRn#afqv{r@M}Q_hzZMdgBd;Esx&cAB*l2w_+bk^mmJp+A|3>`z3{B<8)aUKY6*-H z@He$)p9YP5E|UBv`7&tam%T{XoD=k=UlUd*D{rF^$93zx2`$A38_L|XpC2PB<8S_? zXYKi$=zN~6jhEQ+D>nN&Tg+nXNQ{4pBW1YNXywYY(#|2`8V=>8{Zs1mx*jdgUA;hd z&dOA_3=@PECklk5fPOrUpOQsX^c&Ury`s}{LR6L$3gwex`O3Z$;xS*2+oFxWu+rY7 z`(+B_&)AUYdLzgdL-e^c(KusVVh}T*d>W?#Jp*q7v-XTJ`I!4+%lp23UZ#H;jb7?_ zd(H!_fPkK2g@NP&mf*{T7yQ4H-w8~LeG5Uo!qi zzDbX`_gKf)hxFY5RBe1Wwgb@P#k*O(yrwN&;kGk;oS)%Y>+HNs_PFOj_Chz1wrF={ z&{MG-F#bZOzvy;ps_P^#wN-zr_zxKlkl;@4L^g=;1z9Hmxga~AGitIC`GLX(H>Mwc zPsr~pIzc@#!#Q4Mcu9eAydq|XTg-7@VPrXo3xp{ox*WgNbV};EwYtP&tJas>l&$wr^YOuXtCJ&5pb6O~=D7u3wEPVBYl&4U z;1NloZI;{ztU_O+Y+jy5_b9t{S${n|>_3t26;G@D%Tjo<2s$1MgVk2=f3$z|)V?Hb8@EM$FH&-R zkw-SA>%249N1*}XR%~qBOiorh*?|#-D;~jm)pbaa7m36cm(DRdx?blTi134D{{9qi zso~ert_@n1jd(4ziAA~nTG`UIG_*_Qx)sTFD~W>|Kd*CtN+|p(q3%yX+v4GFLZIv` z-8WWhpQG9Kgsp#)Ob%Sij9NKcNPDaM&NM6o4AAa}iv3BzL z&aXdT+omb~vFEk4QRTKn+X_Jrw%#~q0jq8&tl-1Z(~GuJyI?ErhE?00l)0gv80CdH zf-Y{YqP&pp!%eneQFhY z?@_lw*J8Ii^gG^INW=t9?O>td&i_&4BFH1jgptE!x)ge4Di{b6HC6E8~Q8o3t(; z{s%I-z+uhl0QRrxjBMyhe2Z?sy56R-Lp5BMo5c~OA|5GE{VuEY*eqgU{MvYQ7< zGZ*irSiamNy}_K#rZecj!ZqMoNU{}VY?gm~h}(icGsCBrb@uHE+f?OQNq*RB{-%lz zO!{}j)K1J(9$xV8R_s8)zs(x^T5UeLZ}?vZWgo)a^m-@xd(Hz)#||8G3p@aHFtI;N z?9#k7M>~oKrrSi9;M8%7_f$6Un$}+(liw(r^{2FqCr;@_8hkV zRPpC`Z&UjY2=`JpujEBvy*$gL$3n^WofsO+L3|4E%%{fFMGOZRP;ctrxZi*6>e&&2 zTjAWj@%$-u?WS~$0V~h40gFaS6=*BuyzMBRtqwDh>v*K18Bp{airIVZI{7Wke_ zlYcdPW}|%`=+4aXFPj(Ppr1P564V_tyTN=4`RQyEonDtV+IP`TgLWbzTT4F*%DD2p zaY9n;WRqV-#2dLl&Mlqi!T5iwI>t}@o)EgE%R6xnN9qCRVlXJ*P!yrm9=!o2gFA^{ zc7pw)2~Y5R=`MMB+e`i#WkZlM-*L4vx~`%xwKhg;x+w2e-CkvVsaO-2%C247k#!a1 z*04ry)iLl^W}CDyjzZBkX_sx272H*1`w~CalwQ)=3ckm(-?3PRrOJPhXZcO-EWhx< z;!QZ)z|wJc!bcibeX!+yBs}edt(+fxft*WF->Q>kGrbY_^r*~>`nR<5Or9fVERuHo zzNQb=%DMUe5aXHde1Ay#xxH2H4{4gxqa`L<$-9Wzj}WsTN4gxUx2a@HtHe*!<8MZF zww)|r?z_V<9K3!H-kyK=F>O!yn99#k|B~ml5C?u@zt?a}F##@#2ItI{UX2^}{g~@c zVNJ{=IzQIFcYQ4C!Se2zx;;k5u+97?LVlF|8P-`jM#O)74oKEle`cUw=9PEN;9A#p zVf~!A{+-k0q^vrMa^J>F_QGK&ujADD4Bξ6hMAWM}v+E$)AuiZ3vNDa5rPePJ?Q zPM@W|FN~QZePZUPL&3h@YD-KW2)?jB5%l_o*gU-hs1j33(fAN#u(S`UoGs;*G!{nn zJ!y+U8<(VOV<6&N3BA{zy15-Put*3^v8^KR6=;{2Y=!mvK*$UF)v0H=_ep=wN0#cz z84i}UzA8+KjhKHD8!;s*j-oEES|c`4#{9!N>fWotmKEgUz{X>`xYmO(i}4YjtG_OO z;O^L*Ucz!Id0mT%RFIjay`g;0S;qutb_d~49+G-`Y3if20ie%M+EgT_82LTaDRc;w zmq=>ws~Zyys~&9eql{HYjI_j}*ZF)ACvC8ilslTz6ZC%#$~d7IVe3V@9{9j1SwV|)1Ix-1XG_A&7gbko@yzmzj#aB6SkY?xW_mn-u2!lx|Y7lf6)0RrQ=bGpFx+xlK)-Ev1Gl+K=nG zyUzV)`g(tmXB;(KYr)@!@#J1$_fYkX*C+SG#&r-@*Fiz=MY?KO2Tkc}eT+v6%WL8# zOn7ud`E9}!16%a7ggHhw%rUZA45dm8{|nAcnL4glPuKkhX2k~@iI_hij0rni+3y*M z1EfTN{odGd!EVGttFX`1$^4cVHsaXJ&p;;=baa1eFHq(EXqw|J_*gFL*>L|=HDE~il+x{ZCEyVMp z7M>Rkt+*5;#V*ZjU5peMf4j*idghtc;4clW0E1Q87~e_6@!P_B#~8VEVdyw|vf8rE zVuybL1@rGxFP6Aa;nPeGriu@l#d*9sgouyiDL#_qvEL(J>)X@DMcVId=U~e7?i(Gq z-XEm0?19-PVnLeH(^w~OG1kf3OTNo}WY;^o$F~?$92tqNVwCl_-j(0MzVWB3vrY+obG?6epF-vB+lYJ%6MsYG1T;PA~IHg-P)A; z1vhj2PIpz%D-KNjKv!l+~1Iv_h9A%;ZK|LcFjV!@{Fh}`?U#y`B6zFxTZY=rT-)LbnVucsrI z-Rp6=aE}8z(*0waZJA(~Fd~PH(<;u=mg2&VB#v=JD*emLvbVwro?*wVW?eHqKT!9) zE;e`5{9zn(xvoTijYLecgpW$iwlx7qhGz;L;cIM6{D3Uj%=8G`X`C ze321y(q7gOL;X~`P9p{Tvh>53W1Z&l>%{%H<{Kx>Vx?YpzjurAJF)NhzIIn_LoBk| z`0VHAP5MnLYZGNea$@f4a69NruzIYpu4I~wNz}CpHe}$Lq#z%)dSj!;zoB$rn8+(> zkLMUy)4clc9u;>{-~Zt+<}H8E9T+2bP9MC&J4S1O_xFm!E*Sd><4a*YD3~1)I|}1F zVO*yyA6w16S<1`s%mE&&F)ePrail!!z^+J9ZsC0Hj;3s|X^Fe~U=^&g`%WXva@2YG zK6li)=PSp=7s&|SVlHd-1~6qkcRur08D2XE*};i%vqAio-VaVG0iJ(pVDPOL+YIpj z(Yeb!x=Qbfbxa%Ka(HEArB3XxL7^&md*D^t8wi+mgxGYOKRJal79(|U_#5PFi=O|g zw(czfq7<>hYx)ZdkB)jPpSgeZ7IpP+1?o)LOv0M_2}Rm;*{a7De{9P0R5`0&oF|-G zXz57s-WKx)o4L31d)|LNbq%q`PTBcYe2BZY!c1@4wZ8vRm(%`W-C8WBvscp3c3jfA zXUrc)%zYqk>)pF|BDR?L|Bk|M@=nno!QWi{=HmG~LUI~S-^brPeV<^4oQ_*u`>o!W zv@YK#=^D`LPuD|Uzxb`yFV~E$KmCu-iKs7KS6TjdM6^%7|Bipl-#qDys6YJ;n=Ezzn|iYmU6uHh z&^O)Ql+oUd$UB7%wz?lN*jGAc1d0P8(Y90T56S3T`p#`UCM=FOTf!fi#~!X?oj*}^ zzCzxOKx^`MX@7rRC!c6C2nYVAV&n)JMURmq{r4DeH}mr;dE}-3ZVW|D2yEhx9?v-{ z;L&87jN=S-4zqigvUnE2RZ+d+ASzo0-(FNX2Qxd;hUdk&CfON7J)V=?uWM}GG5SNB z?lCFa#H#zb1^ao^yDyQ;AU~Gv}PLu{025NyV*eafJV3FzT@ovg?KGTa-pNnS<>(k|V zqf&lyItY*f(kp2i z-mg-3-91gEy44*grMm0VD``zw!_xi0MgLyuS^0Ov{(8#i7y0JDv*q_Y#rrtU`23pe z*4lr$Rh!6I2={cO$1W;XVtr^vZlX!ZN4>(5nbOMZ^{l<}ZcWAnRyjPk?yj5x6c#*FI<&td(q5{S_ff|R- zzT#VvKEpjp=?nL}Daqv^=?lFO%Uf7apPc-zaLEHOHonxWWV+O;NK%XuB-8DLiFaOxQBBIST)qJZmyU2hlni(q*@jzt^Sc zA1lPhkvhuinDVp++@bKPHQ7=nA7mtMEVoJj$Nvv+^={!c9ySB8Cw^0Nksz(qybJ%C~@)!CbsJd3pj z0z??<{-B_A7Puk)U(n=lF29d^mDDG2P3^PqQqPNpO#!x#5j5 z9Zx+A>hJ|8aOIV=I~uN>S8?SkK1?5RkY!Bb1?`9f*m>eGuEbMeR#?H~lw%P9F9}ba zMQ?mo<5$(+JN3-0>qnhDpWkVz$Hgq(Ynhd=?zXI7Ct)pSs2r~?pOb>_Ab3OhS>IQ4 zS~;WCbat9o{XCLKaACJB#Rh*h20|WS{^;JWJ7bIcIOy>A)Yr~rrPzs)eeV}zmWH)H zRH%~&GX8nDHb>M!HdwX{?9 zUxK$o{GWiv1(uEW+?uK~LNG`q`l7c(-LdnOsM8_hM>y8>$#4Iv!}K z-Ki+sfp)99^tv`nTx5OS)w`6N?sL>_W_9UFq3$9kvt&zFYeGKq24uS6|cn zvC6nXc|L%#uLb;bY0Q6L9%l*K^dg*bqVA!r=(b4zNs^C=7F$3tK*W|z>}DNTUqAm+ z$2}{rG|0W`+!cxQ*s8ltF8}tw4CLMtqm4w1*-(C0VfMVY>D=KRX%gpGmNB45A|3ND z-=^omG#(=CDgIkwTdBnTsgoi5TSvcFQ|W+xw{YJk&F|to*_VHU{$4)g_CkA#EW1`` zm-GgUCd6~fFi)ZG3+oSZp7A}b!)4~rH=*i};>#iEG^oc7-M8AB<&|~Ze_Q3tIDZ@W zZDo%i`}Of$w7XjMHKN)wtXU(&F9VfESy*c~sk=M=g?1C!w??}O@~0I~c@X)qsDb+YOG+HN%_zTYpG)yY(`Z0+sFj;1_UX zbOiS`dGPkcm%_bnPOm_#Hzk~Ezo7AppAJd2c|6@1orL0*T3$Uh=qz6%$*kpG1_5SAW_K;7n%h3Gm zl5KZgwtd6oou5u-`-gA&be}6lzWa{}Wu>r&Ze|>Ln+Q_&6|ZEf6CE=1GIuthQ=lt;}kA5O;JF@LIA(0(^ru2&L z-(AM9y@2zG{&DU2S2yl{>`xoizvZ`G<>@6`!n~C z#kB3DzpwfaLuWHxIPR1-+GD?eLk;%cTdW@7UhDJz?j3F2P3${oW9;vYyNAF1t~0#5 zyLy;y9K-A0xp$A<>pwPk!ClO|5q~#@d$UJZ{Qem6m_rXYAFqu+`Zw@e%WJem8#90E zcxzpRa`$Gyu8*Xu6W6@6`8_IMmb zW8M<&yI1?ihkM_-q^;MW7xPw>w)?(scm{je8wPWRU6(eve;Ci=^Yn^Af4cwUQ1SlN zV)}W{R#$9g_|w(OrOr^S$Ab2!?CSI8FVEe$?!w_iIvzLv7vI5ocU|M*!?srM+J zH)#L;gU1$+2HUT$HjKJMF3UZb!9N~mu&730hHcfqJu_g|{6A#hS;QZ@n`z%L_AUAD z)MKvWz1u^5f34_$CUG)o2krP{@G%L(!GwP_6O-_dJertc6#c$TTITrANy8S6Eh?qOicM{s_;hNx@8!mm{_1M1a8n7r1c^o%ZJu2QG zub|(a@g4`*^%v8}z@T|sZEUNZwZ+r6cy(L+WLx}re_H{z6~wo8CvB^9TiI5Jwr-EL zbxS*^+gf8=YZ%ucox?%(91c#-;o#I9!a_n@CT|byS20Hen*Ie^aQC#|y_&MU=RW$d z$6CEttdAp|xbG>_QrAVgCcrONjYpc@Ki(d}_6W4M&Dz`6x3@jf-u8_4Ol;2#=XUQa zY3^*we@DIM{OgVrdo-tM*_e+G=C(&$^VZkq*v;y8yL)KacgB=7=;KP%k-oRWy}9aM z?H7+z-x$*%zfY>~e{$(3Q}AqJ0?)RiWJgA}NlSl4+ui-wJ{Z!%W&{mNrke~UdZLI069g0YKrTWQ^vsGCqyT{nR_ zcGEe=J#WUu<93t%=eaj|PMS^X{ipW~%r==+jl+9x{s*?}^JLs?H9lR7`Do*Ogz#_Y zve{|U%TA*M{L`hd>{H~&p5s5zX6v#u?jRp_abCN|-t9Vmmo~`w@p46*<4)(;oCnxO ze+>V!%O_4Fe#xI$B=~fxou}3%?w!`vxIx>WKEe3buq{pdGPa+PUZ3ctpuLK*VVxY$ ziceScQ`EL$hmmLv|0b7D6aJG$mz+NROeSJ)%d$CV_l;#`KN2?iDbAQ|z9X@RBC>w6 z(|eS2amLl{qpXdzPp;^biG6Crzdt8if6m2LkwJQ5?hDhy#bql|3zPymDlWbSxLLt zr|*L~TmE{jl&oeQM>C>C?^AJ%-vxxl}5?E>9vV`&S~?RRWHPhMH?f0_P% z?Xd}c{r$|?q*vK@yw{ra^0mNoDGJul_V3pw>)q2{^EZ2b?e&`X&ARvRd(EEMcPV|Y z1uek8+xaunz>b^t=$X#9c(&L(lg~%=nQvKS@@if=r!8?`(pQ+$d>C<2r>alZWp3;1 z8E;d50Cf(`SareK(e1<7s4@3C3nS7sor%AIrq5JX1sgg^+ zx0(}4OSmy?I}8c6o6QxSZ9t6dj&_xMvI%|2cy(xpf>%f1>2vaZ{G1%LfAbyZHEGHI z#bRyX-{kuX&HiW0`F&M8-&-$?|o>i+xqm9z4-o$}?`AjtwZ&>~wDFZRI)Cxve|nI*r@-#63ue@!IQdGhjA-5E>_99twE2sz>Jt6mHrcP_f0n8?v0rT4+|pmS zs=jPo>~{N$Rqe@aT!lKvx2kPRv~Ag>-1fI7o71On2kkt4n}74@hP<%i^MfXP`3B?K zJ$>Vi(dm9*{jH~O`5YtvwqlSEC-ccYosZ}D2kSmTSuool6?8VapU*4L2oHD<*?0`; z15WDKW{=%(lgs7{e^ADpeS6~Hb|au^4|Fb{-GI*PbOm2B3AGyW_;KS=&nGOCBfb8O z;X4lVx>L6B$)iDI(^73j{+V@!r|VjuS=Wegc*m!H-?;G{<}A7l_ZYFL8H4`e;do|o8lTsAoka8b5wX&Ku{M&rT*^#2S+1G%uPE z_N583buxI_jm^{h`D<@3c<^XPPOjmc^}b?1%rpA&oiI4H&YI`%>^T{ns_&Js!5j9< zHk;r1_ff)-B}Tb7;QFIz850fBqW3p6-KAn`Ft(*yBBMmXhTB zXU#L7HJC4S-*fAX-q3z{#$^iPpwJpbK|TtMDW|w&qapg z{(Y*i=9zO9y3F`n$h$||H20^+NpPHJ^xqWoLHOzU{>=7gj`Os9^948+$i@RWrN}w_ zr1-pff9m<@T-ucTj=e7VU(9&$H-DZ@qtn#Vn?U!@pK>6%Ba-e)Rdc(8l$c*w?>kZGIe|{T$P3DaEC+9W(2YW9z*zhb~edcrKKiU7F zfBQc8?jtjsO8_o%TMp0(eGP;?G{Fn}|M3mq-R#tg##`txDqpYz_&WI|B4 zV~iM^zaIW<_~+~EAfvMf)R+fM*?*7r{hF}Hcm7PsAYIoxlb$&0 zgg}7j%}cZ{hl^f0ynqUZ4$=znxOshf*0&loc^%8obD$s-41@T`LFNn z73NvDKd}X&zB4r>hWz+zMPK<+=%XvNcU-a6e%f95^w9_qBS#;Og~xn){Wn=DfBPkx z?M$1K3p>NKM%cE?f#z>g%#4ze*ef8%Qqa5c{9GZ{9i{f*2;StLEpW4NWJlEg!MR7> zn+!`6WmtFU?`#^4lJ$<*BNE7Y5VmgY2h4$JCx-iUJ%U5I*S@eb8=V;2 zhF&kEJx~7|)x3*+c{iI1d#XM+Q)oEK`XR3m>p@#dJ|;Z(Ji{@5IE67OJP_-`GtXfL zQm3-{sro{+ErvFa()zl4qtGYBrsQ9=<*4_92-X|Oltf~!S1h$d**lZ`e;5_|qVU0y zO~iU_W-Hcpj2%qKNZPOL9pO101$NN3qsS%~8*U>Gx^gzm2)jjE)XURxN?TY+WgFvY z)cCZbpVq>D*+%1hoY9ryS3j18{;KlLGT-aS3p4*8VFSx(mB2;`VH`X}AB(hJDYq2n zQOZc0M9BCrh(pq+L_WJie|#DH35&to=<7kaeGzdnw{@81kK1Cu%eD~YX}8;7wYG&{ zYsNQ`k{!q*F8H_j)~`XAJbY zF161#gTu1R0WJJ)e+<&KAU1lkh(CnK8V#ab*h_LaXKA_G%diG7NkY|`E!P8`%wQY@ z=tS~_fqec)a^9^15n~^ z-xH)0{rZKbf8|`SZ{+ML9U_rJD*pRw@r{O+S$D7xOa(% zzeWvfqjSF zpPkt{rv774f9SXHV*+{anRS4-!F+CEL%p(J!XKHkLE*lfTR4KS6=2Yc*GU|{uue;q zS0_IQ8!l)VeOCmZl9;`k=a2+YBa!sm0Un(BVif9=SR#EDb1Zx^u&+&;WBb|sT4rE} z77~&jUaYu%H8a?>|L#s74;%|j)qh1-2FgH_yFU7Vf4x30S;vC2figJeuZ-E<^j%+{ zM`m$?vXY!D&a8Yq?HJGKwiVkJ%#V6MP;wY&#)`cMi9+oeV|9i1?!5jczN$58L1C;E8op76m#{s(%g zpg$mOe>`<;0*B6p8SyJSombTbrY(q>~-2@#e1Yu_%?ph5XCh?y-AinRty(R8bh((K^CCCfk1L zSIai!_K?n!yMEb^1u@ty`*lmsd4|wL(wk*lf9n|kxv<++=OQ_JE|Q9Kkrd9wtQ{^m z)&(%dz1`hp@_*OaZ|J7GclNZq0UZ!&0DbK6SzvB_Vfa%A+h6cpS6n#a`k>yKJrwga zqeDuEvteVVcv^tInE^UYN3o*0OfDMI#X^-yT0uET`hViz9{csRF&{rpR`kFpCNyZR ze}Ad43yb&)w=aBbT+pR&eA;S}e7{FljEWzwg!DaavS%@t1syJAKd?;hSNhzP^*?`M zz5DfKH~N?Td`92N!+vtDpX~^pT%)!f=l#5|=;wXj&wJI+d+aBf?8sz9g^%p`>sG7)H}|K5db(5p8fdizN+ahW`i=RW2iN$6 zr`H_IRP-oCTTe#>KZROUSmB3>nE!I>|MRi{F&0VUXON7(q~$Cf8IIx zj;ZfS9n+bZIo@L~HCYIF9XbUA+g&g1^jy#OpRpJ&3=l;`83>}u6VGI}F4I$T2DdSBNDt)|_o`!KLV>+qu#SJU{ zR-wL@#I#(Gc{gs?Z%rv_v?QiLf7a-7yB2{I>jQn$;B;s#7W9a2sqWE9Q~H}L-4Wvo z-oPUY?Pq?%B6h3mxi{3GwuFy#DnH;HivF*m|LA+Y8*q@>x!@B+YAj$<=j?eqK)-9) zhlT6i^Hw~IH1+jYZ(i^>dIPV(c3T5}z$Xao&oNPb4I|TrgP52x^i`M7f2hUN^&c*1 zsk|TqYVyW`Y$(U@7TTE5RzZHOoa?;*h~tL6- ze&2(v$1C~>XA|-kbtWM_f0xg#f3jv;n^pUo%Y6;WHUrKtF4*)3GFkRms}lzRjO@Qr7tMVRO=N`jjttGCJ^Im+chhrsN;F zO5+YJkgWsDUUS4TMcfG?scr%Cx7(v4-h>SZ74|gw8}SqUoB>_!sI5lM5y2qL&=i<6 z>R1Br%KAug8FU;he=jfC(l=3&cjcI@DQpY2X@wJRE1n5+RoL@&SVT$p{@1)3+C6%n z0|~|$juQjZzOXE{?-4J^_9?sXeVOc-$uoO|f8!06Y`X2IJc_Yr#7!ADcOxBhDA$29 zw%mU@ub2hGN1LzUSvdD+IS*~y0##}U7UIW;?cS?)aj*KYe_!bErhsiAukPKmFYJC| z!al6%dtQfrW@vmU%<%~i@d;&P16ed!LkAHb)?|8@uBL*HsPmWV%hX+IGQB(1W3&d) zO}x%+Hy{Dn0saZgI6&sl!00fQ1%|#?zyO9($}cz@(wg@Qc>4>LzG1u389Xu6^=`eq zs-ohM7d+I726uC(G-Jz9(`m9OmIzj@=X3sE*l5 zJZFtcqa6yo<)U5c2O(8>Qi1MaK1mi=z`cxS3s_63j>$~Wz2N5)!u0)_>ot50j4)hB zwt3Ov_N~NCrEUC&pZ5cO>JWQH93=BYsxHgVAJzAVf4(7nOSn(+wnLEBpm8{tKs)7? zHZY6{VvHa3rT*MV{TJw;{>l0}KJ6h6T*7SX`lr@B%I(pS%b7RqvKm<-Dqvrf4Ug8L zpHmh6QZ|(s;aEEk?btFoFYHtEdR>Lr75laJ%tG6%ZvDMUmns_voZSNF-hP>6zV&84 zS8)tme=LlVj&z(fF4gy=TS?dEbzJQCv3up%fiG0qTu5&JNMnczEZ#+sVtG|Q*grn! z{UMtFlVdOsF^nrV{aok2z4?5wMn~U_Z$f0KUTRNm8LAaFi0XM()W`;7I190_^_ z(wtf9Gs-Szp`Ye~ELm4qSlP!=^ikfEed0+f7wRz^O2)D<+!18DkXR_wV}I!WbK1YF zJS4Q|;MWJ_qun;x~2tGWx~@=1gc(6-%^A z^H8is{CS88DKtJcB3l07nw!J zeJJD7nmpl-SJO9Rm6(%7 z>9&zDY2iU0==MRB3;ZD0IjZEGe*k2UY#oL=UZa=)=5&I-kh|X#j=mMbM>3Q?k`eky zwq-fSon-sd1g0ne(+i6f2rFxEHG8RJTb^z>-n}~TxS%@!{KBZ_w`iN+8Xtd&aMZ=vY?Lqrn z{$)2pKh!N7e8D}pglfJFf3`TUDyFD2N}ml2&szDj@ko#7IgKNSMI0GrwgO(P-Pf@f z&6l{~XIz6d=h#dT5)+OKVMHnUtFY_GTwVrwRQE-Zm~&NGmd8rf6=!u}zPOAZp*A6S zP&+QnwUCqbe;7#3uh^%z>SlXftHt39(XyZZ@|{&XOoo9LHm3JAI_up?jMQ5u#m%e0uwTxL&o zE9D2J>;%f55-NL=XFwr6;@w2kTggK~xKWmx6ThEj<~%6jbGny*G5blaKoWiTfU z`Mt`Y^%i^YwpD1mf6^8s|7&G=&iwx8{SeM2Z@+Od2W5^i!#oJj468k{lwC>mpVZC6 zeKrq7pNIQw9*CNUdu={H@X=8m^M6Ugp-T&b_(t7H1(Io*Y!<}XDa%W7*)fUdknGJFtRPPe_irbXFsfh4JWr3SOu)q zDr2P;*j;`OTQSND);ccgd~ID`sKJ8eIXf)l?OJ)su5@(GD}1NxFglD6F2nK5I?vIE_WIm`hzx$Ji{4r55>m(tCwih#gH#;A;ul0U6@8IZ7h9&SIg~QZE=0 zE@PQUUS`U&C@%|TnU$Btxo#K5F>XBcJxp_%GG#Ayf4h6(=MCp2?~Uku_q8eX6(fXx z|Lv%`IM*H-TiB8S7%qC;Mt^e5ZJ_L*Y2H*3FD7E{)3~t|H#D`m26^4*OFKPY*Wxtymx$#YB zvdr~~fBk6PFVlQueV1KLuT~=0*qMG=PxhsfY0;0!Ss1CD$VI+Wj`>Gr3|ftD4)YlZ zzXhb3H~2rP+^5)A=6ciB)fLrkT$pmN%EqPX#sZT%r5~4lrYr6h{3+4z^BB%0ePB}z z3!^+j(BHZ0qkq>|an-)#U9+jN?; zYs|Hw?~%3QS!>C&(tI4Mj&>Zh1s_@SESI&!s=9RVcK9vhh*RPD5A-5_OPiC#i%`CL zrN5TwLsFd^bydi{EM=06R~*Ezh!TjauyMW6NlqR%(&+=_n6I3C2t zf6nYE&H;z&nNum3b=S3rmyqT zy12uNe3Al}r@wB`u^E*8GId?plKMFCO_zDy;alYYgtjXC)%taN)R(S}A~)fPokct- z$WQIu=D(_xousULfSJ{mYq((@#-`5he<%Qwwu7)OFksymAu9PIRACLKW*a!f$d273 zXJcz>@5!9{8GjIaxD0vnMUs7AqHK@R^IV-JD@Q0RqjVmL_DXHR zQA!j1o5igvokYlm%U`dx-w>$cf4_2FvzSP9;X5e**zr+JC^clfbyzY!cIwLj344GiuU2GD*Ndb?XY3J9kwhFf0r>b%)NUO z=ZKEr98nSHh-z?-vd;_Z<&C!Tqd}n*_8f?#ZILe`K>72Bm)l=t9yQoofs{?lvH$Dr z8I^;A#2BM$>oMWFFNs#Bbn=ALp6*A2`XuJ&zb=fM4O+3 z=M)|s1*uFBv_tf-DV%}pf8zOA=X<5wv2=Pb-;;jh(trQRIjz~sV`8;M0DG37Aw0-a z_G6!~U09LxiMspB_b&HEpBb5BuNH41Io=}IG@}!ubeMp-W7n(Xg{52-!XBOR^g?b5 z$>;xVoQ!PmE%8zEn>uB@L4IQg%k^tKD~{;^njh_(aD_oDZ{wJ}e~ZnhSGa_%!Aw;MqlLN5_-8cb#ne0m?TWyIAUF92Kwi^Zb7|nC8o_nUXN*( z?#UQuoUdEfQCO0Ye>uJ>zKS9R{0n?FKJZVJdC%0`Wciy8^1hY)SJZoDUs5Ss=RSBO z^}!=SnB_L8%0*ddAI`%Ap0L^1msj~l>O2EuXMwIb(g}2=;D0P-EaV%yog&i>#5s?# z8CCRBH^!_UVUv_HeCLMVN*_vSQ(+7w#UCs4b1EB26s+-|f3W1MfId{1Hx6YEExtyV z8HnZ=Uss->PK3EWc3+z$!+c4d+yZ+`#-|{FQ>?(btvSf%YHd47Va@-~a0mIFIBe%F7>uO%l5VDO zy3UfSeWLFNf9WTp=>tW~Jbs%VAln-+kDiCM`yf2r2Zj6?NLMxcpsrl)kMU5+9c_%q zgkvp?vbaw_Ofk%oKXL987v?^3S*|NR|B&(-MVThXJW0T*QP!JJQ0U2Zzw9@D8-!XV z*{0$B|A-4~EBvNZUbOSJ3wGY9=Da-TEHtam!V-QIf95ul17H1vdl|-Dd)|({Zv8rD zShRi?C|#cGx;z)TH{`mWH@87e%w15N+n_MF?K~$^B);v1JZQVN%ejn%th#k*WqzU6 zl2+~!85>-Mu?YL-Q2E`wWgn|=21t8T9j;=AA|@8;!$Q5Ru&&k_d0;~8h>UdXo>^%V z4$z<9e-0=HFTH*$3-6fKmNESgbfx>|OFYK1cWu5JRhKVDB6q}xG@r|dlh+&P zgRtdwyLA6y<6z#H4{R#)`NAufPR(9t(rGfWGhbz1dmYx{jiJl%!t6 z+qUvEJhn#vG*3&`&N1JsRwcb#rtmdikVASWo~h_vzt;DGo<~&eZwo)kwFVX4Bxv`< zfBG?3Hr?-ap9opDF`@4LwIg&4x$p4%h4>A^ajz-q14HS7X;>)3qpuL=DV@N&t*WqeL53urb;5(q3M z4$>ThaYg=i>K5jX_;Iz4R#%?2c}mwnf4>-XkrAGVshk}TwfKF3<;2+;kz!`)eLYUB zlruS(2P}>TSRU9>AxF^k{mgk_L!Xj-|4H8WzCW9>sWT)GKG$Mn-aE4?^M?9OrK+KNyPKCP=C zexD%K)vL>O8Y$%zRra~^x3QED0DdzPBO+4$@3PbEl>8qrJDX0LgPwLec)u>+T4nC{ za-2=M|76cqr&$f^=;yq5o0KFTw%T}%!h?}tuTib z=B{cGBAyd|CyVqOw)hQoOj>5zCi;6xI|v`yZHkovBb%-q*68+OTDy*Wf8{N*n0z=( z%{(W7l#^{ZIVt*da{`^6vcA_4{fAXpWZ#^PE#Z?MiyX71v5W449FHLuE2GiJ?>n=F z>s_V({E>JQ4Skr){Gd&sVSLl;R`oZ)w*%7@`63H*Oep0rbN=*U<#d6s0n0jQ8}x4? z+FldkGg)dI=UC8Xcj3S~e;u|T!$L#*YctJVTyCEXp?#pu#mwpc(O|3cIu+va%j<** z&OGW2-!s8`J-5+^Q*ML!uYvq!)j7|WpvUS|`81&I0_eLj?a!|0?480#jJ@kJ@6mCF zkByJyBc~sc-o*b6^6}%x^fwp3InjUf2HYm%cS@uA`&|4F_euJEe@e%2pKHI>`;yk> z`}mt{?aTcj+s}S$@27hvf1iv0@%~3qSGupV=RcCHEWQ7c=f64ruDZ{~Z?5k1;(e~( z=i)vuz0b4fIZxl`h4;DEKEBUO?ekLmywpA~wa-iK^Fn+0O!oV~$CM5okJ76}flo<& zv+J;{%zqIvt_3q5f85rPwm}w;Konmi)>X#GdbB0zyY-Z4vWSTR+~ykSf5L5a3EDw<2>4-H;Okc_)x{va?zG!5P z{xEAjXLVGSlRV4wE85KZkmGF}Mdipci}D)!=N4jpFz%(4e~&`l*Y$5-%iSVvVA#I= zW=tmMqc0EIErK|+?^Vf4l$ixxqUt=ybF}8&H_2tGep&vWrOMsQ@eIPO?I_oZ{*tmE zf~&>vs~k0_=BN^XPHkJlE~zlvfsxXT$OV?=pC4p4?0=ifvDZr5tI6w^V>)o0!4Zt1 zab_upNn0Cte-+Lb`R(eQK6T|vvN)`54w-;-XiZ{iAM&dBzO?BUU(qmuho+;?{WgB_T z{2tSPf2!y}=aYN%i@9EbgMM`J}xO%P)L$q;)?z%KPhZ>o0v3n`_}WBeK}s zm7cGq{EUW+YO%YPh|AUSX=y{Pis$t{r2Z~&f6j|s=KhDp^n>9X$Z<9Ps=|KKGV*{qc>z+g$$xpBZNGJl2gp4oJ3zi0QBJ)`ieR3y%qY5%vT9Q3x4;(#GL*5w{l9dhE9X>TKtuAhWJ($)Iw$=4)wR+_B zRZ`BTaL=ns$ILDq71W&Spi;Qewh)#d97L7o-gND566e=}Oh z=@FdHafq>vb@t}+`Dy*?Zo`7FmwCi4RdKJpu7!0t^pDNI zp(W$ivhQVyxvrS||H~MwlYUOe)|3%`VB;(2D&ZwNk}gvnyH}%Z?t@`=TrHuZ{@3oHi2>ue?8sTqplx3jB$g-<(x4Jbhfp7vN!oLagcq&{1apDSJSy>m)<3Xy*j`c~{OwVec)VEJ6 z+9&6=f70JBOfeFIeT!l5e+)95O4q{*IMqPWm07|T3T1^HBjFK0L922fRek$Yja|#r zQuGI^=to_7McJ{olZtt-{AQ)T-|EUM$||vB{H{nE)0oGkJ9|Uzi^;b%ulG053s9b% zI0t@%X)H#>Rj%uefB1dvx8{<e_VD<{X2)&gMU=V z)%VXo(Q!}7D|K@3yr0I4#R(HS5sq!eX+i##XUmSo0wbw=7j=ouXHTx3@ZH08?KvL~ zWDG3Np3h^{?NF%$I*Uc&o1z$dkbQ6T=vZ|9U4Ek! zb%1|@r_5Vv-Q(m?d1ogrqUa4jAh<>*R`fkrJR{8V%ar^w3-@z>&YUkV!c>pb_ysTT z;}0)M6<-$XS9Qi$?U6z49vS3&WKgk3@_vPNxJj9xzZEx;W2;(&3^x({32E}lq3oVe h{FYYZ{{a91|Nr80P~ZXp009600|1xZnI&|o000#I!`c7< diff --git a/Logic/synwork/BUS68030_mult_srs/skeleton.srs b/Logic/synwork/BUS68030_mult_srs/skeleton.srs index 5ac7b1077e1a8dbedc854956bf32c00f95a1503a..fa809b88e27c66d0340cf18cba54d8048ba793e0 100644 GIT binary patch delta 1093 zcmV-L1iJhA2=)k&K!2Q7O>f&c5IvW_f(Ub19U@2w0mbG?A61!!rG^7oDFOro$#yGK zbuC(UQmE*EUs9>t?w*=Gks1yk=e_w56ma_{A0Uj!FYBe&DJxk=Qjog}t~1PUSrC*dfp6vY9aOhjj(IaQC4a+4Hd)GVV8Y0*tGv6# zqLY8iw30UhG$G%GyTkCZ_>2|XcSGLXpai0S+F3KO5`woE=h!;@oU!0~5bT0Ld3Rl~ zODr<^MyA8fH@GmLo>$L*JttRGuj2S><$p@9%vH4VSIbX)718x7UVbMRViAHt%R>NJ z7#*UvZ!Ye^vwt@2Y>~CCoh^bf)YiHX1j0T#pNCXE95kQL6oe0)9_B*Nh>>uZueon# zFDsdeg$85&r6F8M3dM);gePhehY@?!b7!$WPH*K$h=1VcadI@+||GD1#*()(9CNX12hTJp>rH*1MfSAX)rsuJjjhW8M4XYX=C6?8Ei={WC&iJJMBG6 zy&7m8Qh%&>qr>;ey^sk!PkA`6&R3bIqcIp^NjE1_EQu>lQpU9mDtTR295?~bC4&VP2N4UX0}h8OJGHg&5#9E3469|EQk3=tp^CK zzLDX{79$R!tt)>1u2l;k3VJ%Bl`5f;t*bxAeCY{1*m{`y#I79{E0)Ty;CBUL$)=ix zeSfn%jJ39XQnCgvn*#G{2r^dgfh>RV4kajjpnP`V@DM8M*0sg?%4AwV=QAv)UT(-9 ztQM2jP&RIUG(kR8`>eFdU;fk=2I=X0)LbK=cdtR~>04=RyBdnpN9KBdZ+VWet7ivo zu}g5XW@z%Dtg`)*fvu;;GMJH3IsI=x{U);{_i zmz{U`8qLNDQ)_n0ssI2|NjF3 Llx-p1A_xEg6S delta 1096 zcmV-O1h@P42>A$*K!21~O>f&c5Irw{1rg>@9U@o=v5L);KB_VcOAQCGQUnMFQsqLX z>R7bwq)^fSzNAvO**(=KQp4fnyf+_$9B%*01_+|@saa{A!1BE;?y__!#2qPMxXxs; zYrkG35Iv27^=K{%LGDVpPBFV>vssZ4_+DJ!L3x{InAJmFFn_FNohJMSCXDpD%(`35 zJNb`HN_itd6Y@j2I}9)LuUN8OH)QndsZ~;#ur{t>$plJvP36<*g(f{eHgyJy-i<@6Q*n8peUy zINlY6M#LLpGFStRXUWhxjwKy8G&fs}%;8_`LNhM?eUX?lRJxaX_ zXcbVbwtu6;_sG4FF}zH9IIYfCndgHs7-Hzrs5N~_p-58Z-ZTe`JYp2s<0>?$h$pgV zFbWSQ!ZDcqdx~3g56?y&(AFIq+D6#Z9=G@Wgm1Gl(g54uL{#D9p?iefaQy$B&{`fJ z)nV^+vU)3_TJog^4GUeeB28L2gn%Coq76@Wr+*K`>loJ`ASSV>HGEmjGz>1s;Re-q zjU%rRHgS*ZP&qxYNhTV^$8clodN5tKYl>2?`%5pYJ(51d6(~u{htDOmgUahQ-v&HQ9mH zV$vFl+Rcx8mJQ`DEo|~vzx0JcdiowU*9hp{YtVZ7RvO!`g1qpNxt`xyo+E6l`CeP> z0^F<_>TFh&>2Afq)>Ca6FF?))hr{jsn1Ar>)zDUt<;(|idrmHSA(p zx6{A%wl=GoUuZVXu|JNDLgaA|!HcL@K$!wdIzRVuSSG_+ISd0gXI&3CeSo`Ky+L6b z8hwh(&OAJ)&c+E-YkvBVSnc=?c1-^#zxo`^{x@d-1~WYy^Ire}0RR8ua!}v`00030 O{{sMy60=Gs2mk=gDIs_O diff --git a/Logic/synwork/BUS68030_s.srs b/Logic/synwork/BUS68030_s.srs index 1cbd9037fe0d2626347fd641fce363cb0a78e8e6..2ddc0b78b506b24cfe7a2ee3c8149cb0095238b2 100644 GIT binary patch delta 8996 zcmV+D5#49GM! z_uNZz=+l$w13SZs;|%H%Zbzwm(Two(+t*9jsX>dL8+hc#3MGN!M4o0vx-?X0b2#^+ zXONcZ4Kzj#&vGiK7ZI-XXhhpN__X^B*=AEDkq}Fjwtu62DulaESg@*b2Vyu7 z$-s5^ZLOkW3da=n1DB4t7$g>HqqGs+5i^2Q?*j)if|C6RH3x4ICy+s@GK@&d;UHW$ z89HtTJK0kunTTE%R09MKMmWbM;PSY%7_Bv&$3Rcwf860q--AM;iFR`^9MdvSBXADN z029_3QTu5%Xn)||#EoNoO&umiVJB*Vdl0u1eUNIg;EKB*A+N!ZbJuzJ?t4f#kgd1H zdb`R2H+%JT&euQgF0QX`x3iEqrNjCCZMjaDX-Hx;H)CYD!KEo50#72Q8} ztY7foe742z%<}x~EG_<{MUSdN9gY;8+G;TnjeupA7G=6Q`#8Wlw!p!w`7+Nxu9e#E zJAx1W&{iH#aV*Qq;%L3Z%Y_YhwB8S9Pl*=88^Wqwf0%$h;=1GgrNvI-?;QhPL}ROJ zAnp%JU;a;UrbT0qpy@iehu9CTpwGV(e5Pqqd;5Z3me?JuYXKq}Y>A=~no#_~zEi%5Lx;>3XnO&-a7g z=N_?toqy@i#gY9zS+ni0H?|=3IQhxezy4-#WXtGs6Brwp2JX_B zd%b{uyf*xRZSKgwbWVG_&(3GlBC+E*R!n^A-TU-FPAnjY8TA9gPL#~D^h9R6d5@Z( zKa1yL$8tPE{lk6!OdL70;=O3*#FS*G1p0RA9Tt+419cymPl6L8;eQOq5@#n8Iac{z zObBse$4m0}?g)GO5Ud#IoRWjjg1e^`|G@YSr_VpL1(wS(#l(U!#g=)Xcbg+!{QQgc zU-Z8*JYBY9!Q;@5nYXhdYzg(o2VPz;I6d;8Ebj67?lb)ib00(ZoRB(+NBw&o$A;|= zhT-}y&c%*x4lXl%YJa%@u$Q;-xWDhK_97FOFmk*&Z|_W)aTw))ha)=fZSyu_Cv-y7 ziDQ_Xd(RhRKCE_ZLb>ZH+Z1!Hhe#whO1@;)RE{10R<)%w7)F^B0})BP65oqzE$<7>|L*_MhMd$!)n zu@b^zycTgdiM%Ku@0hUHSC*~mbB6Davsd<-t)D3yzh=*`>uh$io6_3M>F+2=MQYWKP;MM z*@9Mn_ZF(YFt0Bpa_`;{R_xK3ZP$CzzwG9DfqM#N=VnXw``Wk?tS=#srN*VdHvt{j zR#y96)<=l=Pm4THKsuB>!@9m^SuW2gUviBt&`2;_1omGl*Lch(VivN=YdPD)f2-^Hep}W-9I*&m9miT7`tM_F z9YX4{4yD6k&VT-5Z`&6(>`!nkN4EI+#(r&wuti}^mHToIK(*lLL z?=|XI{kKpXJH&$et+-M@GaiGdM=zj+w||w%x?XyHX#-=h#gSvi3Cu-QsVhGC18f9>G4goEIY@{$3o9RYiTU=|{J8F#!EXMIK$ntppZQY}R zcfCB1n0I@Adh`Q#K?mX)w9mbPz|;o&=zAmIyQjf&Fed}qW{gG?Fc!>=S#9&BuVi7- z&)aV&i?bSA81q*~4iMD4)#4zFm*MiTX#^=jEB*dcl+b zIB?Z|*w6a|F*m;P5nA_0%liOY=cWEhcm%4OQ^!2h+ean41tw%CLgJajm4CeLu5;{2 z7&-A6><5+j69jse`!1u~$H2W?QZnEKq57PV9^ruHDd(_1Ih4W`Aj5eNir^7W=5Pa_ z<@vMt1YE!`xP&Wsc;3SzxPn5gP1Z|9z4&b27)LLlmp4GL-tt+F^}rKYFTp-wb=U`z zKRfTW`&j5hE!@(tvH)M`K7Uuwl6ajY2)7ehQyM1*$da+8xgD5rTCoARwqy3|+hMKn zT-!QIdoe&6GwAh4&u9L2@d9Ty)$K5~d8d7*psnTn^w!P( z90!$pFUkWK$S4xN7mcD@dcN2FETQM64+ge_ywb7osqE}Dlh@#j&q{nFbri4YDA27$ z>L>#1Uend@>81(FRDV-9X>%KgIJYs_1U0vXKGf~O9_6I2E8o-0Y~P=t99__jmSX}+ zh5v&03Ya*U?(XUB%3#au58q)vt-IAz@B!PD*y`~8+P||(j-v2EK+*K*f-3u=c!0j2abx^GruSsTzv zihs-lef-kp%m2vO`tWHXq!L(;|KJy$7cwC#F*aL4VcY-*1r)x7JL4&{vqYu z1UY}EH>jf#qkk#?0LGSt=%+xpMhQJnJURybO#m-X7US&agyg@`7t(!rn19W81t-sP zIP9FL^r__js?U2|*`pFC0~WH8YqHT#iTIRmHWeR4*Eco)#C{JSNk0JQ^`bsOUjVUs z;R^)!{#43^QxUOU3Dej-C;+`gAkM{sf zz`t@orhoZRXlZ>_*-9=%_~zw93DsUsd)&VG-~U zNo*tG0$K>$EaDir{>->Lu)y{2*wS6n+dqWeLoVUK?m#?U^o7_T%i9J|Nw<0X9d=Cd z(r9N1U?8xw;1@??ufSeT4Hn>f(6nlE1%H2uyc6=Zb^g+{0m~6sv)Hr(Q>+)thv9nv zQj~>%4bRm%Ljv=@rscKL$)X;F79q@K5!bPpA!LjmEZH}S2PEGCV~Jrbpbza3IsIZR zk;jaG4}krRhErqp&;9^x$SK9F3IGB#v-C62J-8BRzakd#x*80+{37XL>BgeJOaJ z-{Ga|GG4~ADn9De-E63gJJopvO@GIVcoZ{6BqkN#P3R~c%YzPX_)NP&UZ=z{XloEl z2_DZ(D?A?bJFeM(-L{cctZbXWCWs?0*{j~F)h1w@H;d;?#2<4TGa)+uWc%#|Fy^~9 zp%=(_f=yr(0!kzf4Fwn8c=zk^7t2T^_H|^xz7mw}M;3VODY#9VcHtxot$Mp;L<-{##hS&_}{2eYp$d!)i+I+~O zUd7>|7D4-fP(4T*HZUyagn!W~?<)U?EOKnRp#Mmn7s&W*9Gqq+3M**Ja%God$BJN$ z&^fmo7;2T2c&w{j^P9!k#r_4%wwmOzWIj60sxf0r?OSSz{>YedB(W~^2RR7XXCO0i zSjUjI+-EM^b(YJ$-2=A<{^{-Lac-qIuwQyCdmbrF9EKR@K|C9oO@BOVUcj?v6VJ-| zK}-vHSM}q<9I2Q(`aWpy{|0-%&boCY_;?i^0e;=Z->5?_aYxUCs(!h|?}0yW3%}R0 z9ctyip0t~Mt1zpweNrDSf|9o$(;)`j9st9MIol4$>|t_5Y&B%#?c{WPu!b`_o^07M zemKp_=cDpjTRofNyniA7#plfjjhBMXI)b4g)@@ABRm>Z;^D|L<4heEJJKE0gwDC2~ z_QE^<1O}Bi%P>DBuJX0}U@m!HRP_Zp>u5YI`f4Q^V3RStRKSr2N5`ata zQbMPPX$AK(tIWqy`1iy}BlcYIDk?65aevTVyxjZ91;LV_y?-#10#n7JKSGDc3L_F7 zBi6B5EG#A%4zVXv$$M1V#JGg=|6lUB2x$13)M*7LapH+HyS;j!lXx$dB;RSN=;>0x*FpFE#RJ}0--sX(pNwDocAi*b?4xQciW#_G#)2|nZGz-V`~D=BZ4 zdaMOIX>0XvoPP-4nJ=1rDAz_N`O@cr&}oZQE7O<0~DCc|@PpAk}))821FAPdiNl zt7^AREzYdl?OJ(*hpls8dri&?&ayRUrj0xJHeQQ;$bXn6d5AUpCj0{HPYhTezM#I; zZC0%N)XX1ERUQ`93uDD*>|0*fU3MowV0Z8Xb)3K3cJmE$u$@I*ElmYmkYY?8b%TzF z%nMwB*}@g*_tW3y8Rq_|^g{{e*5OW!guH54*3x`fcCbplrEHIlsheOVQ*H*K^rOR- z?|Bq+Ab-4-=ht}QMlKmNMgYsH`6L08mMI^@;`SW)9Y^t6$CAKJXD}{~p z{@<;SzPr_Dh$)2+jT|VJ@xI2V*ti7*5hwCVQSP8lKAs7A6P#*Pas$e@Z?sY57LlS2 z@_rknY=h|hL!}MzJ|+#sm`9k#^*ln@=c&$Zrhg4?)9#EHQp2raDcu(XKVO$4g%u>P z-ut$e*z`<|Q6)!3KHKJ(>UeCh-wM6#UwiC!dApla@=i>w>F2PUXN-5>@aH@i7ROSL zwdy>W#3dblW739s^xD5=%UiyqrN5Dw;ETh5P0W9*^NdL+XIsBVS7jVvujN!+^10h| z8Gmw5S|1v`+sN}xsgr~6*LA+mGeIBdJ|FraT{<+yB%07MlR}2sP*BCBurcy?!4Ktr z>l~~?fvYW47!lgA!ZzX_K)Khi^L{QHM?O8>i8<7gMY3*{O7!f%x%x{rN6$maw=bszux7w;v$zy z#+7sIdLC8qye<8T7-f$2rp>+eI%uzd{`F!UJjU2p%mD_0FN^WpiZ5@fn3?-q<(ltb z=df0G`A&(ELJZP`V7twF+sum9o}RjOAEbJ(!IxR&!nB|=WrNWrf_tbFcc)0DxqG6oom@y5BXIFF&#_G5W7-<>YxjVbPd}!0J zWxhD5gg69u$&QNHiH3_w6Bm=R&v|Y+45q)uI2SMQ;6)vf{rxJqaQRnp6r{m}>H5&r zc$xN`A=q(EI~HG(X>bwD5Pe1JB!44%_5?0MJ`r=V^EgH;$7uCvGhYDpBA%TgdnV4& zU@juY5>_GesOOh$#xbIhm^!Iw6YHiK&oDpxkd^TZ%B;?LG&X0qPxE=FZ(Frqn8#Sx z4|UnXINpYqXK`xtsOl>GOK<73TY9^TT67?nI*^FCGSa4|Rrg^4PuXqUV}Gr@;ltE0 zP@Q|y>#?+Y%6PP?xHrtBBY?FqelC2sihYl&_PxrdM-9AC6%wE#FGV!f~k+ zd^Vq|*d8XbV@v{bCsk}ui!V%rV8qT-PxupZPNyhDqhLzCb5+)$4+YNY+>Zd_l~1MR zK#4Mm)9JUhz~~r9YUA_8`Hz@)mBD5igSEmCk1yJJ_wFa@~WoJGtKvXDMKk3Sq`pR zh-Zm#4ac-N-;(1gBDsg+OohNQV2q^9Dm~D%XB9tP&`DYJ166My7mj9Kr8ikaCMGqW*fmgX@5(k_pcSbo5!qiMjrn{y?$to(N! zd)WC|8f+`n_5ul_GG_oPgN-pgUnL{)t26ju4v^=YYh0lpj0; zD*Y0+-;$2C)bbocf_;y|oQKx@4B>akd;-eIA@WIM&gR}(%6}2Y!l6&G%t6&-twhSd zZkKB18R}2)@z5{&hnPB%YJ>nZg`GNtS91>VOCj#i}A}gU$#~M743i* z);Oy`w6h9{$bSRQ`)VX~YHT*H#;@xBZ$Hv9PdHal`ci$r-!McRn87{UXYnJt)qlU5ySS_v(Cg za50DJs;#Wf3DhyvaCX)3!Bt#Ua)NQe3Fc>sNsdK%*Iu9{r-9!BC^-$8vsa!q=b}!D zD;ly6qAp|R+;mhd-8q?h9+kj+?D$|~`C`@`>wg^5fxwFMhwfOQJb!~Zcwl#7``d^W zEvXxsCBB8QIvBNUlBnwqVLRi%T-P^BJ!^xICW01`e%itEEq1HKC)@$Z0&0GaS1-!h87*`@#Oj*>y73{3xEHw z{7#r`a6JFd7>xoK0Pf2FpXVCA>yaCb3>0c{@)Hk;QgJkti-VonT9FjvifqLWN(Ko2=IS>W_dgL*%1Qn{ z{^t4n1Rp46smHb7>U}A1@_mxaq1JvblV$tjx7NOtTiJg8f4ombd%0{E&wmmpr`7wP zxctrY-);A~y3fUZuHWaC_kZ~(UVERH?{iU5dp{q4`M&(E-LJLJYwhz|`@GhE`8*fx z=f6SuQ1}0+e>f`8N@P|F1L?uv63X`rxT5zo=ARrqWI~F&QHg%i$RUXpjP6#FBx~l z6lA;8jLYu=O_a?-n^R&mlAj$RVH%_|ccy7itTSu1)yz9v9kyMx*YOUi^J&P3mEU2& z{E`&Efg~_ZalS`!1b;*uU+s)uBiFKpCsE&Anv`=R$^ggw)_L^tAYXIvGB0v8R*^@F z`38-6ZESYrk+zr1oF|$4tjj=Px9}vP$n_6Xt2`rhNZC~8@9WoZgeiY{qP`m@;_@Y* z3iG3#8wv>$od8kH5-+D_p;=5bQbUs4M zX;m>1@l6w)8Hd0ojwGL+k;TtSPGVb`USDs@CHE`NAFm19%bZHd0d=(1#`g-{q0PB! zGskvB$wQs1Gc(q)-Z@U6!e*3fpk1f(y3D1)Tx#rXno6v>B&B>*t_!*8;1zV8#``?a zZ;|nH7?IF%ZGU6!nj4v`+trSoe^Sk9Z7Xk-X=~N`jkiu2`#pKQ%qQn@E_?^`ha11! zbbKLq7h_q0S?Yoo9#?Qe*b21vFxW3H>MuRdZx_+ZIX0PxZf8t<>_?+&}gFcF7sBjYSoov~aH)cDhTypMN(SSC=Jv>{n#5&S6SET<$I8 z#4F#CtHoy8z6+z*|6jk6LJha|n~i!u7g;rSs?DEzSC{FQoGw-GfBl{e^iS;@v<)(L zQ_NARCkwt#Vtl&Jxfl68P2Bfo+=s|>b8WU&)_F1CEEG5_0iDIkh|}|%m#+inkrc6C zu|JH%w12|=(K3Dw??wsK1Kv^o?bh)zU-Ki$>#FNMdFD-Gxt6m+N}in)L2gf-WxljW ze&=j}tha6b2KtzYZ2?fkZ0Pur?he_PG6!!v$+9(@3}30reS;GG=)_v^v;NhgjV}-w z0Qcd+z4F^)qj`?c6`s3n;Kt3FN-j8kx9%2xLw|k?0WcJPsSLkYSe|Fl)>&Q`f6MRb z68xSnQ8sbHj*8Qj*Atrmeedirzs|&(?P7&FMZOZogK!LQZYejN-v+R3zyy&U73Zxh zd5d9ZTqtbGxmVw2EVw}tSCO11=JzMaLtuV?9M$vttqVE&)_-lQORp8*?G*7*?QC@s zFMo|M*|Fb?m1gh9N%12bQ7Euj)QInp3;7JBna^-xtvbK`FuqskL{#j#JWEpMIktS) zp^o#zwwNETGv-wm=QtW?D$6r=4VkLntG8F@gsbmfx@We|O>3T2O%74Ey4S>tT4QP# zbIM3HPm5G@%CNmgp3@~cC}R{U%2n~*i+@tCg74SDMj-F5<(-QBbHMPAG_du(7X9v; z%J1TW9Mv&rrR+bfZ(M%EyC%bC8k$a&CWVfYc|45$P%{pKIOJ77Qlb6$=%CaQhky3EDPW71RmG3d|o<*r=Hc>uDEjljOW5xPjY2P!~=0Q-biLBSS z&U1Z&>7#vFKFe>WYu`&R<+JQdbjiPyxp0zS@9^(rTV4L0`+45$_u$|E2LJ&7{{sL$ K=`m##cmM!}8Djzf delta 9135 zcmV;gBT(FqUzlK!LVx5}>|Nt78X!%IJlc%_gCZ$u5Q$_-Dy|BCe1}RJAYG(^VL+y- zx#wPzL!X|EAJ|#RycAFla62f|Vl}|`FP|@Ar#pkKwD2gcL#=?*M4o1gbZ)uHrf}Y4 zDu7JV8qW4k(El(;((P}GY4D=-a#~nVkEodUzXg38bDXr*v1WrL) z5a_(cvL9DN27mrl+&HG!+*4u_HdrFfq$ho>q>m4GB%!!T6C9l9qCYq{om{DDse4)_;Y>HOxktR#ec2JWa`z^T-0z!>-)~`IWyS3A}m*D?_~v+j<*1Y7TgN~b(Ym7O znEy@EzyBvRQQ)C0kf0>dJKPDZFAZ< z*Zy371%vy+%%mO|GzQF<2D2%<6PutJ(`}!2G+=j}iqyf7WcSXmf6kFCS$=_Zcka6} zvMtHddA-g#`glV>y0({j9&zK$qwD$leog1=&iyPLE$N937B`QlW#ESoblsb;XZO9% z#~u9`+g?iGz0`~C$Rl()IMIKf^8@=aUbF45S2ic~F#gHbzkaY+vSoC!39XG!Lw{k- zmYtBkA6Y@jHn-$oI-{N4NAIKUki_#mCm{h{-UW0|jw~emDGfrxj+9LE>`11&S%=ym zKZ@rP&+(Ro2KzhtOcHz3;=Oq4C6wgH6y|pE9gk$G8UwHMDLr}#ab|z^72}-K{Q7Cme=^?Tv)xAuALJ#_mFG~;zNP!k zoE?64>EF-hUp`B?8ppwL;3YlIyVU~vO)A&8#_(6ISwbRMvm{E@n(f$T?=vf)mcM5K z*|6Q-vi!h*rvJQl+3+~4*pRLBm#1M)UtU)^TjH!REZ zeB5Om`|Gmc@8L6h?&fpsvvOa}BZEG?#DVY5l4UHv`$U*U229Zj>k~TcZ1p;2a-A}= zbmjA7*r!D7%vi4Z81^RN<1weIUF|WvXJa2WvW(uNTs(h|`#GF{xo>T&{WkiGu)in; znpFK2JesUIyWekVa820LPgnz7L!=$^zVThD{I1kdvZMAM+mD-V*JE1w-5JaN2+*PE zkB|mEBQ2Qp40NODc|3c`)gBX&6P^RzP?D)V9s^Bqpoy1#F4tZ4H>6|LSGhJMj_{w% zSeyC$%K?A(|Ha8QU(XL;=7K)GqeJFX`lUM;v|QnB7v=$Sh!D4=*EJh{t@SnRuD^oPT5%e zR&(Ys33$%|61UZy$LzHtQ_SCET3)L@i0XrweH?#keH@HF4vju+)d%QP)yIL&f4;I` z+di--%v0Kege?yLn{y_{$trk(AIzLp4q=4(_rR6B|u{! zMH91s>)YtzfrU%*81l9@)mN82YhCZ9cAS5}zhJM$^|`Wy+^!zOcPLW>wj5l#r{;>k z6&Xe+k0 zwa8_lcBEV4oakUb@ac)}{egel7wg6G9-*GIvBHHP(#-;FB5{p#;evlrhI*7vR+E3p zimucgBOo|f3s6H*GRf#>zM%)S>rmesdtBIJT>Sm|Yq$<)o#ly+1~&_K3YL!{eeiq5 zGpsk}+U|utScZeU1);rODMMlXGni50+7q`N^O>`*K?`b32Dx47oQbf;9W5S-slkc#r3l|6p?d~ox!MLgyLCE6Lt(rjbt(KJ=sLUcdGhW zPU&MgTUN?6;9;?+sh3WuwV{6-OX~qs2f)?|y|NyD)%F~W-`K}(PwES#7o;(ecR<0x zU~v}$1(QqT3Z45*rT(SQ`EQN)-20LDK!#r8mlXLWkz-mZomiU<{X6JBKeHjswL1(@sP_K$z!oBdkb)MAXm z=(ISon`QVIJOJ&k+4G@w-ANBW{oG*$-4nGw zZS$%0`zAerF#@6#eXsaLZ|+P#i{z~0gMn=@%wL30b)@c8U(b?hDWkZMQM@6e#ze`e zG2#xUtbR*2fi2J`oAiI$!gvv`Z3KI4tgVoT=qr`7`5yhKimZG~E`uCJ+Po+m!88PN z0sGnkN8L%ny8t+AcY}Dqw_vn)9@%XOTM06SYum~(Fp6ugd=M^tPqk*VXNdzqw-JyH znD0&o6htRsP_Zj+GxmsfLR(vxmORn{hYtSJerby>lz6TayWoFNQV+$vuus)Kv%N!u zA^6njd$Xt9d7+X{?EE-Y*RFBR;abZ{S2#ni=h)c|p{D}dv4p(?G-t6;U?--0DAz^! zW@?ULoTM5419N2(lna~8^bfe3f_d$wDY)~9vE}38oc$yOe7c;>f2!Z;6IFcp4%NOZ zupnCa&_S&If^yxH%DN{t9xZv|2EwfNy{GvysNyIJ0)_{VZ51`C~hg z2mDAPppOclpd2;rq9b!mTSdzRHMb6*Dmg1;mSIQ3-oz`mc!%x5du9clm9mk5Q@zMR zTfk50Uux_n*hssDet$`Ki}msOP}e&p4<=yL!asoANS@)WB4ZMZO(ga-;R9H+X8vHG;(h;n~N&EsKYv1R!1$V1I1G5Yb)ee4qS zX~Ri=N87N<@86-#;_RQ&i}3}|Z2QB8f2MGxLZ(O^Fd-#RU=eS14 zmz;kiHa#ay<6bjos`fEUGjQ23XwssWQAZlzbLpN~R}+6Dz)Qv)h{B->c!|JS9)XRe zkuAo=_i+DVW%s_tf<<`i->}7>NPmbWu*dM0?JfT{2p0>V-R%Et;FNm&w{YnQ^(+DP z=tObBE5OTH$Ssy&EF{cIom=3SC0=Xbmo9(Uazyx>F4{L@zu=u@$*h0(J`JFgCH>d0 zV1|@T_4SNQ%YHy6Lq8D*n-FCU+CD6_I89JiIpy36d!YOlu-OUd`y@(2uD&I<{N=wi zhCcqamcGI$(blM8np)NoH}-@|h>3fXNZaG&FkFAA0{@a+-o-}B@)kj4; zX5~|XF(iWqj-YvVHuR!#%r&PxC|ncESE!+?4%05dpi;0pfqo3Y^?2 z?0T`^p(FWQ_9bOfiEq0ONKG(dK`%MBvVl)13&HnRyjqSGWdwLJ0)=8%$Ks`Yk^G3` z=y)%T0qmCuJb)&t1wN{^_USV}u*BhlzR;iN$K)~=KnESVqoqwZ+btSC{ zI5ojt@DeaDq81$(i8YyU#?ODX&*?wM4iUELKYV`Fv2-1SPo}wjB&uEOB?qlN6vN;h zgrsUirG`CC1OHsRwvlPKL-&*hKL`^xn~EjF+EwDrt0`46=&6i*tC(?I@|k0k1PlUL zo2YI>vcOe*wlic(rG4&~7%a-4>NsVb8+4PNS0k3K?M#r$kr!dyy*_^z`+_}NzlZj$ zxjra|jd`41BO|7+^?m;1<)Un?%ZRsr1MgkJjw5(bI~{k@Hfxtk z-3NZBl1C9j-pln%jOJdiKP>5%rf2R8mPJvwO5vM=KU(zbd&?oZ{j zZsplb*}vlXnLSnbi4A{7uY(~x#82*SPNuCFx8XDK`5Y24MjO6^7;zh3QyVXW=LOc$ z*2b=%&@R&R$WWHuKAJfwXx(|>>4SYKatHLY$v)8X7W}|B+d)o7s(m)$%4WteM+(Lg zkQmy1lbYSjL~I!O>vULQV+(pC1Xz`U)nI&X3;JZ(vj2uKVJB=1(%-gyVs2$W*>6>NAOHoGLtV8_76Q+uYDr0c|F9TrgU)o5js;Mzx)SxyL~I zi4A`)T2p4G7xH$}^LaZ;_tA7}`qatq`qXNi@Z0DiTs|`EcF#gTxMd4}X$J!Xv#sKC zOP>XufnAZ?ZfPSXOU{DHfSEBW;(~3QrJl-_PBqV`is#)`uv{7#Qx@5R?o*_Dp^pg2 z6vUZ9yK4P}7!}GN{9YA9ay$p~WE|?1@gslWo1>A}r|~(|_a-*xg0Yto8M}h9$Y-#` z3v7E#UvlAx&;F#B=;|zPbq=5CJbkaMUE|r7$kI0C9b6XO(C<;Md|^^?MDU%!vCm-?c0EwB8VT`Xo}= z75U8iCBAF$pu&<&J2rF$rXu`P2L7zF!wO$i+qCGbw)n*5dSnseAsNi2l1n-HHWK_^ z;BM}@cHrICcyPl%Ck_9c7;~=Vlh%Lj>@zZ;lJ^UL6~;kS{u}+e7P5p|1I-h*ficZ? zegi}ML3o~FU(azK!ZoP$A1Px(^ju~Q~(ED>v{V3DQ$rg%RAo6ki{Bq`)# zj+taR{w-u;^PRf9IBhmo-`8ud{g%m=uIhMVe<1M;{za~J=TnFzE%PE)mWGK zd=8<&4je=QLD)M^BlZ`%?XhLE-OSHGoU0!HtHu7R{WxVmWt`MDF?Rw}OPxsK0aeUB zK8v}>Z(!~wj*0g{12_C*g_E|$M@wHS5x&$g)c#gt^2{aMVK%T8Z4%)VcrJXft^9#V z+Xj*L2kP}8!hYxka-NwdDinXfR?xKtnzr+zo};Kcxo8)wcv+H5x}%>$Gp2_dCgg;Q zv#I9{-x#qju4H&iTvzYg#INJp)qAF$SLAA$SXE-^7L8ZodV+6`aaXK!Q|lQUan(r} z4%lh36fr{;hn*nMGzcejd8%NZI0ulSNGq3YIimNAsQrpeTdpn7vQd8z1m7pxirhaf zA2DaP)!vqPz<`z3a01mG(qEi|L!3nUjNnrDKaA zk2?WfB;(H#xAl&Z@Ll^rwPXBp1mBv7eb+TK(*7!Q zGR7jdE$lVY)pV}&x;lUEv?4FqM5t83yEETaxCo}4!;rC))pCmjEyw&ku28#I&p}ak zMo~A&I^T6J0jePQ7vy!;%q1{rxDuNS*#rzkw!A*k)*J$~WfWE|_$Lzl6B+zN!kB6Q z2s#61nw&1RKd~~-(7E4=Ff`1mVlUxdp=`y3Axd17TPEgK$)|rgvzJCJGeS8^;z-uy z6g(?rR-e$%s;&z4JL-q}n$4CcMMp`B^-M{Exp$cJSc%mdc~$4ybCkJ5!q&&HU4n6p zA=TJMt8PPEYG zbRTcXzyxzH@E^4=W}ez4ZneG6w-eoN;0G~6l{QugA&m)~GL|?2>cmRE3h0s|wvsCu zuJS`r;d!1&v9(Whu*6z(AWBhpUcoMZJf}e?oQ$d%0JQhioocC zq$9_@$>_<9%{oC`!C_2%t$q*V_I)a8^OB6#b-u1Gv0qqK6Z_>=-$CFsvBG{izsQT_ z8bsAR%cv5surJZGS*K`T*KFpA${TnahfMUdt^USA+YA?T@lih#%;SLlqHb1+Pr~|C z_?!lVv-f{G!Jwj(;l5+up9KS@zhaQ7SlSqYKdR}TkreCdS9`0xzP5Cpy~4o}UnkTS z7+Gk>-D9|ixfYa9{~<-5MN!|~YEM7Votej_Z~)gk+Gd_{rF}CM^+H$j+=Z(*ulN3y z&OL$EIbF$Gx+~20I$dFX-BqKl?_X8?%EG=^wpD*L^~ja-CF^l7SX(S%U+p(7|WW4I3m=Q{xbL>;`|1Stt!{>ZK-k1_M06GEZ^$*TBbUw$Zv8% zOuB!{&T?)Y=F`&&}-9F|U_Ti6|J+S_ca|=?1mNh+UkL^_D=aPRj zdwH(CzQ^@gae=!w@R0Jn7#mCTJ$3d4yx*n$zR1JHx#M@7t7~>zd?~g(5#-Aj{6OR| zGSc+36b*6#uO;G44w}T;U&H!EteryH?F?82D{A2}*l(+0Ya2CH9_Xw84X6c%sQ>Us zh?tN+3a!C_`Xc8MYgaiI$YL=o6_tOkvbeTGh|h<{eXXaPGq_AhYug*Z&|*~iTRs%;5HGzi?V6`J{SLo`$Rr3 zX$kIgq}%d+E=#^Y;QOMwo2%dO{0CCB$@?F8`J3bK zw)Ufk#|$Z?eKrxDUxR8IZR`w&g3fk5Pd2filcPE@D+EH8>i|^f*;hHgB==m zcM69!bXIrP?>F$Ytjzam*C$T9u2wlkbv;8*a=i9;NTc>-#)$Sq5ijN9=$@ps#lcsF z@0ggiH_f`jYJO;2>~C!8bE0Vd?5N+Yq1W|_Z^Ww2_*Dg~OZI<(eJ1zyT)X~$+(#pq zfA0o~zNcs=()9_E#$wUFwDuF>Pny>vc4}bkCjP8)=hc~8x|Y(7B+d|JS!nCJt%a~( zh5ch*tNP9gW+KNe{q$B`rl>X4cmZQX;EZ|LY}3i?KHnxi;_Onbyi+qjMHg9r54-J=e0HC z8|T*Mt#QirCuJUPTYFL8UTM2@E}eNEph;tG?QQhf3D1A$=HN=?&eW3kY0?|%EA zV-W`5m}f)Cv)~Fj$xAt@Y$&fYZ}qDc?g|uF!u=71?Lh>7A`_GHa|6+cWB~FY?G+ z^$cHnvfs8?64q!aJR4)Mm|H1guuifAdeHTeV)k!NWYG92wuL=CU{!>I$6yJXV|B;50AJ8WpkDGG76H zV=mGqJ;nmJQSVXr`EsCpjMrY$VKL^`A#Q&YJBV>r;ybWM{9Jseey<+isqdA-OE2eR z@p?WMujeqDG()#lJHJi?x~>uTIg+&}^*YcvaL&?H{a&p`xPb{*zv{7yk#BFwKv)>+4}y;(s^j}@0uos&4IP{wLIe&YH{os07Z4FCz4=YE5Y zt